all options
trixie  ] [  sid  ]
[ Source: nextpnr  ]

Package: nextpnr-ice40-chipdb (0.7-1)

Links for nextpnr-ice40-chipdb

Screenshot

Debian Resources:

Download Source Package nextpnr:

Maintainers:

External Resources:

Similar packages:

strumento per posizionamento e percorsi per FPGA per Lattice iCE40 -- file chipdb

nextpnr è uno strumento per posizionamento e instradamento di FPGA. Il suo scopo è di trasformare una descrizione topologica di hardware digitale prodotta da uno strumento di sintesi logica FPGA, come yosys, in una mappa elaborata di connessioni tra unità funzionali fisse disponibili all'interno della struttura di FPGA.

Per verificare il corretto funzionamento ad alta velocità del progetto completamente implementato, è anche supportata l'analisi temporale del progetto.

Questo pacchetto contiene i file chipdb di descrizione delle disposizioni per FPGA.

Download nextpnr-ice40-chipdb

Download for all available architectures
Architecture Package Size Installed Size Files
amd64 30,767.1 kB224,817.0 kB [list of files]
arm64 30,767.1 kB224,817.0 kB [list of files]
i386 30,767.1 kB224,817.0 kB [list of files]
mips64el 30,767.1 kB224,817.0 kB [list of files]
ppc64el 30,767.1 kB224,817.0 kB [list of files]
s390x 29,804.3 kB224,817.0 kB [list of files]