屬於 "bullseye" 發行版的套件

0ad (0.0.23.1-5+b1)
Real-time strategy game of ancient warfare
0ad-data (0.0.23.1-1.1)
Real-time strategy game of ancient warfare (data files)
0ad-data-common (0.0.23.1-1.1)
Real-time strategy game of ancient warfare (common data files)
0install (2.16-1)
cross-distribution packaging system
0install-core (2.16-1)
cross-distribution packaging system (non-GUI parts)
0xffff (0.9-1)
Open Free Fiasco Firmware Flasher
2048 (0.20210105.1243-1)
Slide and add puzzle game for text mode
2048-qt (0.1.6-2+b2 [amd64], 0.1.6-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mathematics based puzzle game
2ping (4.5-1)
Ping utility to determine directional packet loss
2to3 (3.9.2-3)
2to3 binary using python3
2vcard (0.6-4)
convert an addressbook to VCARD file format
3270-common (4.0ga12-3)
Common files for IBM 3270 emulators and pr3287
389-ds (1.4.4.11-2)
389 Directory Server suite - metapackage
389-ds-base (1.4.4.11-2)
389 Directory Server suite - server
389-ds-base-dev (1.4.4.11-2)
389 Directory Server suite - development files
389-ds-base-libs (1.4.4.11-2)
389 Directory Server suite - libraries
3dchess (0.8.1-20)
Play chess across 3 boards!
3depict (0.0.22-2+b4)
visualisation and analysis for single valued point data
4g8 (1.0-3.3)
Packet Capture and Interception for Switched Networks
4pane (7.0-1)
four-pane detailed-list file manager
4ti2 (1.6.9+ds-2)
mathematical tool suite for problems on linear spaces -- tools
4ti2-doc (1.6.9+ds-2)
mathematical tool suite for problems on linear spaces -- user guide
64tass (1.55.2200-1)
cross (turbo) assembler targeting the MOS 65xx series of micro processors
6tunnel (1:0.13-2)
TCP proxy for non-IPv6 applications
7kaa (2.15.4p1+dfsg-1)
Seven Kingdoms Ancient Adversaries: real-time strategy game
7kaa-data (2.15.4p1+dfsg-1)
Seven Kingdoms Ancient Adversaries - game data
9base (1:6-11)
Plan 9 userland tools
9menu (1.10-1)
Creates X menus from the shell
9mount (1.3+hg20170412-1)
Plan 9 filesystem (v9fs) user mount utilities
9wm (1.4.1-1)
X11 window manager inspired by Plan 9's rio
a2jmidid (9-2)
Daemon for exposing legacy ALSA MIDI in JACK MIDI systems
a2ps (1:4.14-7)
GNU a2ps - 'Anything to PostScript' converter and pretty-printer
a52dec
本虛擬套件由這些套件填實: liba52-0.7.4-dev
a52dec-dev
本虛擬套件由這些套件填實: liba52-0.7.4-dev
a56 (1.3+dfsg-9+b1 [amd64], 1.3+dfsg-9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Motorola DSP56001 assembler
a7xpg (0.11.dfsg1-10+b1)
chase action game
a7xpg-data (0.11.dfsg1-10)
chase action game - game data
aa3d (1.0-8+b2)
ASCII art stereogram generator
aac-enc (2.0.1-1) [non-free]
Fraunhofer FDK AAC Codec Library - frontend binary
aalib-bin
本虛擬套件由這些套件填實: libaa-bin
aalib1
本虛擬套件由這些套件填實: libaa1
aaphoto (0.45-1+b1)
Auto Adjust Photo, automatic color correction of photos
aapt (1:10.0.0+r36-3)
Android Asset Packaging Tool
aapt
本虛擬套件由這些套件填實: google-android-build-tools-installer
abacas (1.3.1-9)
close gaps in genomic alignments from short reads
abacas-examples (1.3.1-9)
sample data for abacas to close gaps in genomic alignments
abcde (2.9.3-1)
A Better CD Encoder
abcm2ps (8.14.11-0.1)
Translates ABC music description files to PostScript
abcmidi (20210221-1)
converter from ABC to MIDI format and back
abe (1.1+dfsg-4)
side-scrolling game named "Abe's Amazing Adventure"
abe-data (1.1+dfsg-4)
side-scrolling game named "Abe's Amazing Adventure" -- data
abgate (1.1.9-2.1)
LV2 noise gate plugin
abi-compliance-checker (2.3-0.2)
tool to compare ABI compatibility of shared C/C++ library versions
abi-dumper (1.2-1)
tool to dump ABI of an ELF object containing DWARF debug info
abi-monitor (1.12-2.1)
monitor ABI of shared libraries
abi-tracker (1.11-1.1)
visualize ABI changes of a C/C++ library
abicheck (1.2-6)
binary compatibility checking tool
abigail-doc (1.8-1)
ABI Generic Analysis and Instrumentation Library (documentation)
abigail-tools (1.8-1)
ABI Generic Analysis and Instrumentation Library (tools)
abinit (9.2.2-1)
package for electronic structure calculations
abinit-data (9.2.2-1)
package for electronic structure calculations (Data files)
abinit-doc (9.2.2-1)
package for electronic structure calculations (Documentation)
abisip-find (1.6.1+dfsg1-4)
Command line utility to find ip.access compatible BTS
abiword (3.0.4~dfsg-3)
efficient, featureful word processor with collaboration
abiword-common (3.0.4~dfsg-3)
efficient, featureful word processor with collaboration -- common files
abiword-plugin-grammar (3.0.4~dfsg-3)
grammar checking plugin for AbiWord
ableton-link-dev (3.0.3+dfsg-1)
synchronizes musical applications on multiple devices - development
ableton-link-utils (3.0.3+dfsg-1)
synchronizes musical applications on multiple devices - cmdline utils
abntex (0.9~beta2-6)
LaTeX class for writing documents in ABNT standard
abook (0.6.1-1+b3)
純文字 ncurses 通訊錄程式
abootimg (0.6-1+b2)
Tool to read/write/update android boot images
abr2gbr (1:1.0.2-2.1)
Converts PhotoShop brushes to GIMP
abs-guide (10-4)
The Advanced Bash-Scripting Guide
abw2epub (0.9.6-2)
AbiWord to EPUB format converter
abw2odt (0.9.6-2)
AbiWord to OpenDocument converter
abx (0.0~b1-1+b1)
audio ABX testing software
abyss (2.2.5+dfsg-1)
de novo, parallel, sequence assembler for short reads
accerciser (3.38.0-1)
interactive Python accessibility explorer for the GNOME desktop
accountsservice (0.6.55-3)
query and manipulate user account information
accountwizard (4:20.08.3-1)
wizard for KDE PIM applications account setup
acct (6.6.4-4)
GNU Accounting utilities for process and login accounting
ace (0.0.5-3.1+b5)
HTML template engine for Go (command-line tool)
ace-gperf (6.5.12+dfsg-3)
ACE perfect hash function generator
ace-netsvcs (6.5.12+dfsg-3)
ACE network service implementations
ace-of-penguins (1.5~rc2-4)
penguin-themed solitaire games
acedb-other (4.9.39+dfsg.02-5)
retrieval of DNA or protein sequences
acedb-other-belvu (4.9.39+dfsg.02-5)
transitional package for belvu
acedb-other-belvu
本虛擬套件由這些套件填實: belvu
acedb-other-dotter (4.9.39+dfsg.02-5)
transitional package for dotter
acedb-other-dotter
本虛擬套件由這些套件填實: dotter
aces3 (3.0.8-7)
Advanced Concepts in Electronic Structure III
aces3-data (3.0.8-7)
Advanced Concepts in Electronic Structure III
acetoneiso (2.4-3+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.4-3 [armel, armhf])
feature-rich application to mount and manage CD and DVD images
acheck (0.5.9)
Check common localisation mistakes
acheck-rules (0.3.5)
Basic rules for acheck
achilles (2-10)
Artificial life and evolution simulator
ack (3.4.0-1)
grep-like program specifically for large source trees
ack-grep
本虛擬套件由這些套件填實: ack
acl (2.2.53-10)
access control list - utilities
acl-dev
本虛擬套件由這些套件填實: libacl1-dev
acl-udeb (2.2.53-10)
access control list - udeb utilities
acl2 (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: main binary
acl2-books (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: compiled libraries
acl2-books-certs (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: library certificates
acl2-books-source (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: library sources
acl2-doc (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: documentation
acl2-emacs (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: emacs interface
acl2-infix (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: infix interface
acl2-infix-source (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: infix source
acl2-source (8.3dfsg-2)
Computational Logic for Applicative Common Lisp: source files
aclock.app (0.4.0-2+b2)
Analog dockapp clock for GNUstep
acm (6.0+20200416-1+b1 [amd64], 6.0+20200416-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Multi-player classic aerial combat simulation
acme (1:0.97~svn20201116+ds-1)
Multi-platform cross assembler for 6502/6510/65816 CPU
acme-tiny (1:4.1.0-2)
letsencrypt tiny Python client
acmetool (0.2.1-4+b5)
automatic certificate acquisition tool for Let's Encrypt
aconnectgui (0.9.0rc2-1-10+b1)
graphical ALSA sequencer connection manager
acorn (= 8.0.5+ds+~cs19.19.27-3)
本虛擬套件由這些套件填實: node-debbundle-acorn
acorn-fdisk (3.0.6-12)
partition editor for Acorn/RISC OS machines
acpi (1.7-1.1)
顯示 ACPI 設備的相關資訊
acpi-call
本虛擬套件由這些套件填實: acpi-call-dkms
acpi-call-dkms (1.1.0-6)
Kernel module that enables you to call ACPI methods
acpi-fakekey (0.143-5)
tool to generate fake key events
acpi-modules
本虛擬套件由這些套件填實: acpi-modules-5.10.0-27-686-di, acpi-modules-5.10.0-26-686-pae-di, acpi-modules-5.10.0-28-686-pae-di, acpi-modules-5.10.0-25-amd64-di, acpi-modules-5.10.0-28-686-di, acpi-modules-5.10.0-26-686-di, acpi-modules-5.10.0-28-amd64-di, acpi-modules-5.10.0-25-686-di, acpi-modules-5.10.0-27-amd64-di, acpi-modules-5.10.0-27-686-pae-di, acpi-modules-5.10.0-26-amd64-di, acpi-modules-5.10.0-25-686-pae-di
acpi-modules-5.10.0-25-686-di (5.10.191-1) [security]
ACPI support modules
acpi-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
ACPI support modules
acpi-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
ACPI support modules
acpi-modules-5.10.0-26-686-di (5.10.197-1)
ACPI support modules
acpi-modules-5.10.0-26-686-pae-di (5.10.197-1)
ACPI support modules
acpi-modules-5.10.0-26-amd64-di (5.10.197-1)
ACPI support modules
acpi-modules-5.10.0-27-686-di (5.10.205-2) [security]
ACPI support modules
acpi-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
ACPI support modules
acpi-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
ACPI support modules
acpi-modules-5.10.0-28-686-di (5.10.209-2)
ACPI support modules
acpi-modules-5.10.0-28-686-pae-di (5.10.209-2)
ACPI support modules
acpi-modules-5.10.0-28-amd64-di (5.10.209-2)
ACPI support modules
acpi-override-initramfs (0.1+nmu1)
initramfs-tools hook to override ACPI tables
acpi-support (0.143-5)
scripts for handling many ACPI events
acpi-support-base (0.143-5)
scripts for handling base ACPI events such as the power button
acpica-tools (20200925-1.2)
ACPICA tools for the development and debug of ACPI tables
acpid (1:2.0.32-1)
Advanced Configuration and Power Interface event daemon
acpidump
本虛擬套件由這些套件填實: acpica-tools
acpitail (0.1-4+b1)
Show ACPI information in a tail-like style
acpitool (0.5.1-6)
command line ACPI client
acr (1.9.4-1)
autoconf like tool
actiona (3.10.1-1+b1)
emulate human activity through a powerful GUI and JavaScript
activemq (5.16.1-1)
Java message broker - server
ada-reference-manual-2005 (1:2020.1commit85143dcb-2)
reference documentation for the Ada language (2005 standard)
ada-reference-manual-2012 (1:2020.1commit85143dcb-2)
reference documentation for the Ada language (2012 standard)
ada-reference-manual-2020 (1:2020.1commit85143dcb-2)
reference documentation for the Ada language (2020 preview)
adabrowse (4.0.3-14)
HTML generator for Ada 95 library unit specifications
adacontrol (1.21r6b-7)
Ada rules controller
adacontrol-doc (1.21r6b-7)
Ada rules controller (documentation)
adapta-gtk-theme (3.95.0.11-2)
Adaptive Gtk+ theme
adapta-kde (20180828-2)
Port of the popular Gtk theme Adapta for Plasma 5 desktop
adapterremoval (2.3.1-3)
rapid adapter trimming, identification, and read merging of gene sequences
adapterremoval-examples (2.3.1-3)
rapid adapter trimming, identification, and read merging (example data)
adb (1:10.0.0+r36-7)
Android Debug Bridge
adcli (0.9.0-1)
Tool for performing actions on an Active Directory domain
addresses-goodies-for-gnustep (0.4.8-3+b2)
Personal Address Manager for GNUstep (Goodies)
addressmanager.app (0.4.8-3+b2)
Personal Address Manager for GNUstep
adduser (3.118+deb11u1)
新增、移除使用者與群組
adequate (0.15.6)
Debian package quality testing tool
adjtimex (1.29-11)
kernel time variables configuration utility
adlibtracker2 (2.4.24-1)
userfriendly tracker aimed for the OPL3 FM-chip
admesh (0.98.4-1)
Tool for processing triangulated solid meshes. Binary
adminer (4.7.9-2)
Web-based database administration tool
adms (2.3.6-3)
Automatic device model synthesizer for Verilog-AMS
adns-tools (1.6.0-2)
Asynchronous-capable DNS client utilities
adonthell (0.3.8-1+b2)
2D graphical roleplaying game
adonthell-data (0.3.8-1)
Data files needed by Adonthell
adplay (1.8.1-2)
console-based OPL2 audio player
adplug-utils (2.3.3+dfsg-2)
free AdLib sound library (utils)
adql-java (1.5-1)
Parse, manipulate and translate ADQL queries with Java
adql-java-doc (1.5-1)
Parse, manipulate and translate ADQL queries (API doc)
adun-core (0.81-14)
Molecular Simulator
adun.app (0.81-14)
Molecular Simulator for GNUstep (GUI)
adv-17v35x-dkms (5.0.6.0-1)
dkms driver sources for Advantech PCI/PCIe ACOM Series adapters
advancecomp (2.1-2.1)
collection of recompression utilities
adventure
本虛擬套件由這些套件填實: colossal-cave-adventure, bsdgames
advi (1.10.2-9)
active DVI previewer and presenter
advi-examples (1.10.2-9)
example presentations for Active-DVI (advi)
adwaita-icon-theme (3.38.0-1)
default icon theme of GNOME
adwaita-qt (1.2.0-2)
Qt 5 port of GNOME’s Adwaita theme
aegean (0.16.0+dfsg-2)
integrated genome analysis toolkit
aegisub (3.2.2+dfsg-6+b1)
advanced subtitle editor
aegisub-l10n (3.2.2+dfsg-6)
aegisub language packages
aeolus (0.9.9-1)
Synthesised pipe organ emulator
aephea (12-248-3)
text-based authoring tool for HTML
aesfix (1.0.1-8)
tool for correcting bit errors in an AES key schedule
aeskeyfind (1:1.0-11)
tool for locating AES keys in a captured memory image
aeskulap (0.2.2-beta2+git20190406.ef77f01-3+b1)
medical image viewer and DICOM network client
aeson-pretty (0.8.8-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.8.8-1+b1 [armel, armhf, i386])
JSON pretty-printing tool
aespipe (2.4d-1+b1)
AES-encryption tool with loop-AES support
aevol (5.0+ds-2)
digital genetics model to run Evolution Experiments in silico
aewan (1.0.01-4.1+b2 [mips64el], 1.0.01-4.1+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
ASCII-art Editor Without A Name
aewm++ (1.1.2-5.1)
minimal window manager written in C++
aewm++-goodies (1.0-10+b1 [amd64], 1.0-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
utilities to complement a minimal window manager
afdko (3.6.0+dfsg1-3)
Adobe Font Development Kit for OpenType
afdko-bin (3.6.0+dfsg1-3)
Adobe Font Development Kit for OpenType (Binaries)
afdko-doc (3.6.0+dfsg1-3)
Adobe Font Development Kit for OpenType (common documentation)
afew (3.0.1-1)
Tagging script for notmuch mail
affiche.app (0.6.0-11+b1)
Application to "stick" little notes on the desktop
afflib-tools (3.7.19-1)
Advanced Forensics Format Library (utilities)
affs-modules
本虛擬套件由這些套件填實: affs-modules-5.10.0-28-4kc-malta-di, affs-modules-5.10.0-25-loongson-3-di, affs-modules-5.10.0-27-octeon-di, affs-modules-5.10.0-26-octeon-di, affs-modules-5.10.0-27-5kc-malta-di, affs-modules-5.10.0-25-4kc-malta-di, affs-modules-5.10.0-28-octeon-di, affs-modules-5.10.0-28-5kc-malta-di, affs-modules-5.10.0-28-loongson-3-di, affs-modules-5.10.0-25-octeon-di, affs-modules-5.10.0-26-4kc-malta-di, affs-modules-5.10.0-26-loongson-3-di, affs-modules-5.10.0-27-4kc-malta-di, affs-modules-5.10.0-25-5kc-malta-di, affs-modules-5.10.0-27-loongson-3-di, affs-modules-5.10.0-26-5kc-malta-di
affs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Amiga filesystem support
affs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Amiga filesystem support
affs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Amiga filesystem support
affs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Amiga filesystem support
affs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Amiga filesystem support
affs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Amiga filesystem support
affs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Amiga filesystem support
affs-modules-5.10.0-26-octeon-di (5.10.197-1)
Amiga filesystem support
affs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Amiga filesystem support
affs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Amiga filesystem support
affs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Amiga filesystem support
affs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Amiga filesystem support
affs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Amiga filesystem support
affs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Amiga filesystem support
affs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Amiga filesystem support
affs-modules-5.10.0-28-octeon-di (5.10.209-2)
Amiga filesystem support
afio (2.5.2-1+b1 [amd64], 2.5.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
archive file manipulation program
afl (2.68c-1)
transitional dummy package for afl to afl++
afl++ (2.68c-1+b1)
instrumentation-driven fuzzer for binary formats
afl++-clang (2.68c-1+b1)
instrumentation-driven fuzzer for binary formats - clang support
afl++-doc (2.68c-1)
instrumentation-driven fuzzer for binary formats - documentation
afl-clang (2.68c-1)
transitional dummy package for afl-clang to afl++-clang
afl-doc (2.68c-1)
transitional dummy package for afl-doc to afl++-doc
afnix (3.4.0-2)
Compiler and run-time for the AFNIX programming language
afnix-doc (3.4.0-2)
Compiler and run-time for the AFNIX programming language (documentation)
aft (2:5.098-4.1)
"free form" document preparation system
afterstep (2.2.12-15)
window manager with the NEXTSTEP look and feel
afterstep-data (2.2.12-15)
data files for AfterStep window manager
afuse (0.4.1-1+b3)
automounting file system implemented in user-space using FUSE
agda (2.6.1-1)
dependently typed functional programming language
agda-bin (2.6.1-1+b3 [s390x], 2.6.1-1+b2 [amd64, arm64, i386, ppc64el])
commandline interface to Agda
agda-stdlib (1.3-2)
standard library for Agda
agda-stdlib-doc (1.3-2)
standard library for Agda — documentation
age (1.0.0~rc1-2+b3)
simple, modern and secure encryption tool
agedu (9723-1+b1)
Unix utility for tracking down wasted disk space
agenda.app (0.44-2+b2)
Calendar manager for GNUstep
agent-transfer (0.43-3.1)
copy a secret key from GnuPG's gpg-agent to OpenSSH's ssh-agent
aggregate (1.6-7+b1)
ipv4 cidr prefix aggregator
aghermann (1.1.2-3+b1)
Sleep-research experiment manager
aglfn (1.7+git20191031.4036a9c-2)
Adobe Glyph List For New Fonts
agrep
本虛擬套件由這些套件填實: glimpse
aha (0.5.1-1)
ANSI color to HTML converter
ahcpd (0.53-3)
Ad-Hoc Configuration Protocol
aide (0.17.3-4+deb11u2)
Advanced Intrusion Detection Environment - static binary
aide-binary
本虛擬套件由這些套件填實: aide-dynamic, aide
aide-common (0.17.3-4+deb11u2)
Advanced Intrusion Detection Environment - Common files
aide-dynamic (0.17.3-4+deb11u2)
Advanced Intrusion Detection Environment - dynamic binary
aide-xen (0.17.3-4+deb11u2)
Advanced Intrusion Detection Environment - transitional package
aidl (1:10.0.0+r36-3)
Binder generator of AIDL interfaces
aiksaurus (1.2.1+dev-0.12-6.3)
This package provides an English-language thesaurus (utility)
aiohttp-wsgi-serve (0.8.2-2)
run a WSGI application
air-quality-sensor (0.1.5-1)
user space driver for AppliedSensor's Indoor Air Monitor
aircrack-ng (1:1.6+git20210130.91820bc-1)
wireless WEP/WPA cracking utilities
airgraph-ng (1:1.6+git20210130.91820bc-1)
Tool to graph txt files created by aircrack-ng
airport-utils (2-8)
configuration and management utilities for Apple AirPort base stations
airspy (1.0.9-4)
Tiny and efficient software defined radio receiver - utilities
airspyhf (1.6.8-3)
HF+VHF software defined radio receiver - user runtime
airstrike (0.99+1.0pre6a-10)
2d dogfight game in the tradition of 'Biplanes' and 'BIP'
airstrike-common (0.99+1.0pre6a-10)
2d dogfight game - data files
aiscm (0.22.1-1)
Guile numerical arrays and tensor extension
aisleriot (1:3.22.9-1)
GNOME solitaire card game collection
aj-snapshot (0.9.9-2)
make snapshots of JACK connections
akira (0.0.13-3)
user experience design tool
akonadi-backend-mysql (4:20.08.3-3)
MySQL storage backend for Akonadi
akonadi-backend-postgresql (4:20.08.3-3)
PostgreSQL storage backend for Akonadi
akonadi-backend-sqlite (4:20.08.3-3)
SQLite storage backend for Akonadi
akonadi-contacts-data (4:20.08.3-1)
Akonadi contacts access library - data files
akonadi-import-wizard (4:20.08.3-1)
PIM data import wizard
akonadi-mime-data (4:20.08.3-1)
Akonadi MIME handling library - data files
akonadi-server (4:20.08.3-3)
Akonadi PIM storage service
akonadiconsole (4:20.08.3-1)
management and debugging console for akonadi
akqml (8.6.1+dfsg-2.1)
full featured webcam capture application - qml module
akregator (4:20.08.3-1+deb11u1)
RSS/Atom feed aggregator
alacarte (3.36.0-1)
easy GNOME menu editing tool
aladin (11.024+dfsg2-1)
Interactive sky atlas for astronomical images and datasets
album (4.15-1) [non-free]
HTML photo album generator with theme support
album-data (4.05-7.2) [non-free]
themes, plugins and translations for album
aldo (0.7.8-2)
Morse code training program
ale (0.9.0.3-5)
synthetic capture engine and renderer
alembic (1.4.3-1)
lightweight database migration tool for SQLAlchemy
alertmanager-irc-relay (0.4.2-1+b1)
Send Prometheus Alerts to IRC using Webhooks
alevt (1:1.8.0-2)
X11 Teletext/Videotext browser
alevt
本虛擬套件由這些套件填實: dvb-apps
alevtd (3.107-1)
HTTP daemon for teletext pages
alex (3.2.5-2)
lexical analyser generator for Haskell
alex4 (1.1-9)
Alex the Allegator 4 - a retro platform game
alex4-data (1.1-9)
Alex the Allegator 4 - game data
alfa (2.1-1)
Automated Line Fitting Algorithm
alfred (2020.3-1+b1)
Almighty Lightweight Fact Remote Exchange Daemon
algobox (1.0.3+dfsg-1+b1 [amd64, arm64, i386, mipsel], 1.0.3+dfsg-1 [armhf])
algorithmics introduction - French UI
algol68g (2.8.4-1+b1 [amd64], 2.8.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Implementation of Algol 68 as defined by the Revised Report
algotutor (0.8.6-4)
program for observing the intermediate steps of algorithm
alice (0.19-2)
Web browser (WebKit or Gecko) based IRC client
alien (8.95.4)
convert and install rpm and other packages
alien-arena (7.66+dfsg-6) [contrib]
Standalone 3D first person online deathmatch shooter
alien-arena-data (7.66-4) [non-free]
Game data files for Alien Arena
alien-arena-server (7.66+dfsg-6) [contrib]
Dedicated server for Alien Arena
alien-hunter (1.7-8)
Interpolated Variable Order Motifs to identify horizontally acquired DNA
alienblaster (1.1.0-11)
Classic 2D shoot 'em up
alienblaster-data (1.1.0-11)
Game data for Alien Blaster
aliki (0.3.0-5)
Measurement tool for Impulse Responses
all-knowing-dns (1.7-3)
tiny DNS server for IPv6 Reverse DNS
allegro4-doc (2:4.4.3.1-2)
documentation for the Allegro library
allegro5-doc (2:5.2.6.0-3)
documentation for the Allegro 5 library
allelecount (4.2.1-1)
NGS copy number algorithms
allure (0.9.5.0-2)
near-future Sci-Fi roguelike and tactical squad game
almanah (0.12.3-1)
Application to ease management of a personal diary
alot (0.9.1-2)
Text mode MUA using notmuch mail
alot-doc (0.9.1-2)
Text mode MUA using notmuch mail - documentation
alpine (2.24+dfsg1-1)
Text-based email client, friendly for novices but powerful
alpine-doc (2.24+dfsg1-1)
Text-based email client's documentation
alpine-pico (2.24+dfsg1-1)
Simple text editor from Alpine, a text-based email client
alqalam (0.2-8.1)
Qur'an typesetting macros for TeX/LaTeX
alsa-firmware-loaders (1.2.2-1) [contrib]
ALSA software loaders for specific hardware
alsa-oss (1.1.8-1)
ALSA wrapper for OSS applications
alsa-tools (1.2.2-1)
Console based ALSA utilities for specific hardware
alsa-tools-gui (1.2.2-1)
GUI based ALSA utilities for specific hardware
alsa-topology-conf (1.2.4-1)
ALSA topology configuration files
alsa-ucm-conf (1.2.4-2)
ALSA Use Case Manager configuration files
alsa-utils (1.2.4-1)
Utilities for configuring and using ALSA
alsa-utils-udeb (1.2.4-1)
Utilities for configuring and using ALSA (udeb)
alsamixergui (0.9.0rc2-1-10+b1)
graphical soundcard mixer for ALSA soundcard driver
alsaplayer
本虛擬套件由這些套件填實: alsaplayer-common
alsaplayer-alsa (0.99.81-2+b1)
alsaplayer output module for ALSA
alsaplayer-common (0.99.81-2+b1)
audio player (common files)
alsaplayer-daemon (0.99.81-2+b1)
alsaplayer daemon
alsaplayer-gtk (0.99.81-2+b1)
alsaplayer gtk interface
alsaplayer-interface
本虛擬套件由這些套件填實: alsaplayer-gtk, alsaplayer-text, alsaplayer-xosd, alsaplayer-daemon
alsaplayer-jack (0.99.81-2+b1)
alsaplayer output module for JACK
alsaplayer-nas (0.99.81-2+b1)
alsaplayer output module for NAS
alsaplayer-oss (0.99.81-2+b1)
alsaplayer output module for OSS
alsaplayer-output
本虛擬套件由這些套件填實: alsaplayer-oss, alsaplayer-jack, alsaplayer-nas, alsaplayer-alsa
alsaplayer-text (0.99.81-2+b1)
alsaplayer text interface
alsaplayer-xosd (0.99.81-2+b1)
alsaplayer XOSD display module
alt-ergo (2.0.0-7+b4)
Automatic theorem prover dedicated to program verification
alter-sequence-alignment (1.3.4-4)
genomic sequences ALignment Transformation EnviRonment
altermime (0.3.10-12)
utility used to alter mime-encoded mailpacks
altos (1.9.6-1)
Altus Metrum firmware and utilities
altree (1.3.1-10+b1)
program to perform phylogeny-based association and localization analysis
altree-examples (1.3.1-10)
example files for ALTree
alttab (1.5.0-2)
task switcher for minimalistic WMs or standalone X session
alure-doc (1.2-7)
AL Utilities REtooled (documentation)
alure-utils (1.2-7)
AL Utilities REtooled (utilities)
amanda-client (1:3.5.1-7)
Advanced Maryland Automatic Network Disk Archiver (Client)
amanda-common (1:3.5.1-7)
Advanced Maryland Automatic Network Disk Archiver (Libs)
amanda-server (1:3.5.1-7)
Advanced Maryland Automatic Network Disk Archiver (Server)
amap-align (2.2+git20080214.600fc29+dfsg-2)
Protein multiple alignment by sequence annealing
amavis
本虛擬套件由這些套件填實: amavisd-new
amavisd-milter (1.7.1-2)
amavisd-new interface for milter-capable MTAs
amavisd-new (1:2.11.1-5)
Interface between MTA and virus scanner/content filters
amavisd-new-milter
本虛擬套件由這些套件填實: amavisd-milter
amazon-ec2-utils (1.3+git20200518-2)
Utilities to manage Amazon EC2 instance storage
amazon-ecr-credential-helper (0.5.0-1+b4)
Amazon ECR Credential Helper for Docker
amb-plugins (0.8.1-7+b1 [amd64], 0.8.1-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ambisonics LADSPA plugins
ambdec (0.7.1-1)
Ambisonic decoder for first and second order
amber (0.0~git20171010.cdade1c-1+b17)
Elegant HTML templating engine for Go, inspired from HAML and Jade (CLI tool)
amd64-microcode (3.20230808.1.1~deb11u1) [non-free]
Processor microcode firmware for AMD CPUs
amdgcn-tools (9)
linker tools for the amdgcn architecture
amide (1.0.5-15)
software for Medical Imaging
amideco (0.31e-3.1+b2)
Decompress flashfiles equipped with an AMI BIOS
amiga-fdisk-cross (0.04-15+b2)
Partition editor for Amiga partitions (cross version)
amispammer (3.3-2.1)
Powerful Mail Server checker on blacklists
amiwm (0.21pl2-2) [non-free]
Amiga look alike window manager
amoeba (1.1-30) [contrib]
fast-paced, polished OpenGL demonstration by Excess
amoeba-data (1.1-6) [non-free]
Fast-paced, polished OpenGL demonstration by Excess (data)
amoebax (0.2.1+dfsg-5)
Puyo Puyo-style puzzle game for up to two players
amoebax-data (0.2.1+dfsg-5)
Data files for amoebax
amphetamine (0.8.10-21)
jump'n run game with unique visual effects
amphetamine-data (0.8.7-15.1)
data files for the game "Amphetamine"
ample (0.5.7-11)
simple MP3 server easy to use
ampliconnoise (1.29-9)
removal of noise from 454 sequenced PCR amplicons
ampr-ripd (2.4-1+b1 [amd64], 2.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Routing daemon for AMPRnet gateway announcements
amqp-specs (1-0r0-3.1)
specs for the Advanced Message Queuing Protocol (AMQP)
amqp-tools (0.10.0-1)
Command-line utilities for interacting with AMQP servers
ams (2.2.0-1)
Realtime modular synthesizer for ALSA
amsynth (1.12.2-1)
two oscillators software synthesizer
amtterm (1.4-3)
Serial-over-lan (sol) client for Intel AMT, console version
amule (1:2.3.3-1)
client for the eD2k and Kad networks, like eMule
amule-common (1:2.3.3-1)
common files for the rest of aMule packages
amule-daemon (1:2.3.3-1)
non-graphic version of aMule, a client for the eD2k and Kad networks
amule-emc (0.5.2-4+b1 [amd64], 0.5.2-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lists ed2k links inside emulecollection files
amule-gnome-support (1:2.3.3-1)
ed2k links handling support for GNOME web browsers
amule-utils (1:2.3.3-1)
utilities for aMule (command-line version)
amule-utils-gui (1:2.3.3-1)
graphic utilities for aMule
an (1.2-6+b1)
very fast anagram generator
anacrolix-dms (1.1.0-1)
Go UPnP DLNA Digital Media Server with basic video transcoding
anacron (2.3-30)
cron-like program that doesn't go by time
anacron
本虛擬套件由這些套件填實: systemd-cron
analitza-common (4:20.12.0-2)
common files for Analitza
analog (2:6.0-22+b1)
web server log analyzer
anarchism (15.3-3)
Exhaustive exploration of Anarchist theory and practice
anbox (0.0~git20210106-1) [contrib]
Android in a box
ancient (1.0-2)
decompression routines for ancient formats
and (1.2.2-4.1+b2)
Auto Nice Daemon
andi (0.13-3+b1)
Efficient Estimation of Evolutionary Distances
androguard (3.4.0~a1-1)
full Python tool to play with Android files
android-androresolvd (1.3-1+b1)
Daemon to transfer Android DNS property to resolv.conf
android-file-transfer (4.2-1)
reliable MTP client with minimalistic UI
android-framework-res (1:10.0.0+r36-3)
Android platform framework resources
android-libaapt (1:10.0.0+r36-3)
Android Asset Packaging Tool - Shared library
android-libadb (1:10.0.0+r36-7)
Library for Android Debug Bridge
android-libadb-dev (1:10.0.0+r36-7)
Library for Android Debug Bridge - Development files
android-libandroidfw (1:10.0.0+r36-3)
Android utility library
android-libandroidfw-dev (1:10.0.0+r36-3)
Android utility library - Development files
android-libart (10.0.0+r36-3)
Android Runtime
android-libbacktrace (1:10.0.0+r36-7)
Android backtrace library
android-libbacktrace-dev (1:10.0.0+r36-7)
Android backtrace library - Development files
android-libbase (1:10.0.0+r36-7)
Android base library
android-libbase-dev (1:10.0.0+r36-7)
Android base library - Development files
android-libboringssl (10.0.0+r36-1)
Google's internal fork of OpenSSL for the Android SDK
android-libboringssl-dev (10.0.0+r36-1)
Google's internal fork of OpenSSL for the Android SDK - devel
android-libcrypto-utils (1:10.0.0+r36-7)
Android crypto-utils library
android-libcrypto-utils-dev (1:10.0.0+r36-7)
Android crypto-utils library - Development files
android-libcutils (1:10.0.0+r36-7)
Android utils library for C
android-libcutils-dev (1:10.0.0+r36-7)
Android utils library for C - Development files
android-libetc1 (1:10.0.0+r36-1)
ETC1 compression library
android-libetc1-dev (1:10.0.0+r36-1)
ETC1 compression library - Development files
android-libext4-utils (10.0.0+r36+ds-2)
Android ext4 utility library
android-libext4-utils-dev (10.0.0+r36+ds-2)
Android ext4 utility library - Development files
android-libfec (10.0.0+r36+ds-2)
Android libfec library
android-libfec-dev (10.0.0+r36+ds-2)
Android libfec library - Development files
android-liblog (1:10.0.0+r36-7)
Android NDK logger interfaces
android-liblog-dev (1:10.0.0+r36-7)
Android NDK logger interfaces - Development files
android-libnativebridge (1:10.0.0+r36-7)
Android native bridge library
android-libnativebridge-dev (1:10.0.0+r36-7)
Android native bridge library - Development files
android-libnativehelper (10.0.0+r36-2)
Support functions for Android's class libraries
android-libnativehelper-dev (10.0.0+r36-2)
Support functions for Android's class libraries - Development files
android-libnativeloader (1:10.0.0+r36-7)
Android native loader library
android-libnativeloader-dev (1:10.0.0+r36-7)
Android native loader library - Development files
android-libselinux (10.0.0+r36-1)
Security-Enhanced Linux for Android
android-libselinux-dev (10.0.0+r36-1)
Security-Enhanced Linux for Android - Development files
android-libsepol (10.0.0+r36-1)
Security-Policy Linux for Android
android-libsepol-dev (10.0.0+r36-1)
Security-Policy Linux for Android - Development files
android-libsparse (1:10.0.0+r36-7)
Library for sparse files
android-libsparse-dev (1:10.0.0+r36-7)
Library for sparse files - Development files
android-libunwind (10.0.0+r36-4)
libunwind for Android
android-libunwind-dev (10.0.0+r36-4)
libunwind for Android - Development files
android-libutils (1:10.0.0+r36-7)
Android Utility Function Library
android-libutils-dev (1:10.0.0+r36-7)
Android Utility Function Library - Development files
android-libziparchive (1:10.0.0+r36-7)
Library for ZIP archives
android-libziparchive-dev (1:10.0.0+r36-7)
Library for ZIP archives - Development files
android-logtags-tools (1:10.0.0+r36-1)
Tools from AOSP that process event-log-tags files
android-platform-frameworks-native-headers (1:10.0.0+r36-1)
Headers of android-platform-frameworks-native
android-platform-libcore-headers (10.0.0+r36-1)
Header files in AOSP repository platform/libcore
android-platform-system-core-headers (1:10.0.0+r36-7)
Shared headers in AOSP repository platform/system/core
android-sdk (28.0.2+3)
Software development kit for Android platform
android-sdk-build-tools (29.0.3+3)
Tools for building Android applications
android-sdk-build-tools-common (29.0.3+3)
Tools for building Android applications - Common files
android-sdk-common (28.0.2+3)
Common files of Android SDK base toolset
android-sdk-libsparse-utils (1:10.0.0+r36-7)
Android sparse image creation tool
android-sdk-platform-23 (6.0.1+r72-6)
Android SDK Platform for API Level 23 (6.0 Marshmallow)
android-sdk-platform-tools (28.0.2+3)
Tools for interacting with an Android platform
android-sdk-platform-tools-common (28.0.2+3)
Tools for interacting with an Android platform - Common files
android-system-dev
本虛擬套件由這些套件填實: android-platform-system-core-headers
android-tools-adb
本虛擬套件由這些套件填實: adb
android-tools-fastboot
本虛擬套件由這些套件填實: fastboot
android-tools-mkbootimg (1:10.0.0+r36-7)
transitional package
anfo (0.98-8)
Short Read Aligner/Mapper from MPG
angband (1:3.5.1-2.4)
Single-player, text-based, dungeon simulation game
angband-data (1:3.5.1-2.4)
Game data for angband
angrydd (1.0.1-13)
Angry Drunken Dwarves - falling blocks puzzle game
animals (201207131226-2.1)
Traditional AI animal guessing engine using a binary tree DB
anjuta (2:3.34.0-3+b4)
GNOME development IDE, for C/C++
anjuta-common (2:3.34.0-3)
GNOME development IDE, for C/C++ - data files
anjuta-extras (3.26.0-5)
plugins and extras for anjuta
anki (2.1.15+dfsg-3)
extensible flashcard learning program
ann-tools (1.1.2+doc-7)
Approximate Nearest Neighbor Searching library (tools)
anna (1.83)
anna's not nearly apt, but for the Debian installer, it will do
anomaly (1.1.0-3+b1)
detect anomalous data in a numeric stream
anope (2.0.9-1)
IRC Services designed for flexibility and ease of use
anorack (0.2.7-1)
specialized spell-checker that finds incorrect indefinite articles
ansible (2.10.7+merged+base+2.10.8+dfsg-1)
Configuration management, deployment, and task execution system
ansible-lint (4.3.7-1)
lint tool for Ansible playbooks
ansible-mitogen (0.3.0~rc1-4)
Fast connection strategy for Ansible
ansilove (4.1.4-1)
ANSI and ASCII art to PNG converter
ansiweather (1.11-1.1)
Weather in your terminal, with ANSI colors and Unicode symbols
ant (1.10.9-4)
Java based build tool like make
ant-contrib (1.0~b3+svn177-12)
collection of tasks, types and other tools for Apache Ant
ant-contrib-cpptasks (1.0~b5-5)
C/C++ compilation tasks for Ant
ant-doc (1.10.9-4)
Java based build tool like make - API documentation and manual
ant-optional (1.10.9-4)
Java based build tool like make - optional libraries
antennavis (0.3.1-4+b1)
antenna radiation pattern visualization software
anthy (1:0.4-2)
Japanese kana-kanji conversion - utilities
anthy-common (1:0.4-2)
Japanese kana-kanji conversion - dictionary
anthy-el (1:0.4-2)
Japanese kana-kanji conversion - elisp frontend
antigravitaattori (0.0.3-9)
Multiplayer flying saucer racing game
antimicro (3.1.4-1)
GUI for mapping keyboard keys and mouse controls to a gamepad
antimicrox
本虛擬套件由這些套件填實: antimicro
antimony (0.9.3-2+b2 [amd64], 0.9.3-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Computer-aided design CAD tool
antiword (0.37-16)
Converts MS Word files to text, PS, PDF and XML
antlr (2.7.7+dfsg-10)
language tool for constructing recognizers, compilers etc
antlr-doc (2.7.7+dfsg-10)
language tool for constructing recognizers, compilers etc
antlr3 (3.5.2-9)
language tool for constructing recognizers, compilers etc
antlr3-doc (3.5.2-9)
language tool for constructing compilers etc - documentation
antlr3-gunit-maven-plugin (3.5.2-9)
Maven plugin for gUnit, a unit test framework for ANTLR grammars
antlr3-maven-plugin (3.5.2-9)
Maven plugin for ANTLR 3
antlr3.2 (3.2-16)
language tool for constructing recognizers, compilers etc
antlr3.2-gunit-maven-plugin (3.2-16)
Maven plugin for gUnit, a unit test framework for ANTLR grammars
antlr3.2-maven-plugin (3.2-16)
Maven plugin for ANTLR 3.2
antlr4 (4.7.2-5)
ANTLR Parser Generator
antlr4-doc (4.7.2-5)
ANTLR Parser Generator (documentation)
antlr4-maven-plugin (4.7.2-5)
Maven plugin for ANTLR 4
antpm (1.19-6+b2)
ANT+ information retrieval client for Garmin GPS products
anymeal (1.13-1)
Recipe management software
anypaper (2.4-2.1)
front-end for wallpapersetter
anyremote (6.7.3-3)
Remote control daemon for applications using Bluetooth, IrDA or Wi-Fi
anyremote-data (6.7.3-3)
architecture independent files for anyremote
anyremote-doc (6.7.3-3)
Documentation for anyremote
anytun (0.3.8-1)
secure anycast tunneling protocol
aobook (1.0.3-3)
Aozora Bunko viewer
aodh-api (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - API server
aodh-common (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - common files
aodh-doc (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - doc
aodh-evaluator (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - alarm evaluator
aodh-expirer (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - expirer
aodh-listener (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - listener
aodh-notifier (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - alarm notifier
aoetools (36-5)
tools to assist in using ATA over Ethernet
aoeui (1.7+20160302.git4e5dee9-2+b1 [amd64], 1.7+20160302.git4e5dee9-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lightweight, unobtrusive, Dvorak-optimized text editor
aoflagger (3.0.0-2+b3)
Find RFI in radio astronomical observations
aoflagger-dev (3.0.0-2+b3)
Find RFI in radio astronomical observations (development files)
aom-tools (1.0.0.errata1-3+deb11u1)
AV1 Video Codec Library -- Tools
aosd-cat (0.2.7-1.1+b1)
an on screen display tool which uses libaosd
ap51-flash (2019.0.1-3)
firmware flasher for ethernet connected routers and access points
apache-tools
本虛擬套件由這些套件填實: open-infrastructure-apache-tools
apache2 (2.4.59-1~deb11u1) [security]
Apache HTTP Server
apache2-api-20120211
本虛擬套件由這些套件填實: apache2-bin
apache2-api-20120211-openssl1.1
本虛擬套件由這些套件填實: apache2-bin
apache2-bin (2.4.59-1~deb11u1) [security]
Apache HTTP Server (modules and other binary files)
apache2-data (2.4.59-1~deb11u1) [security]
Apache HTTP Server (common files)
apache2-dev (2.4.59-1~deb11u1) [security]
Apache HTTP Server (development headers)
apache2-doc (2.4.59-1~deb11u1) [security]
Apache HTTP Server (on-site documentation)
apache2-ssl-dev (2.4.59-1~deb11u1) [security]
Apache HTTP Server (mod_ssl development headers)
apache2-suexec
本虛擬套件由這些套件填實: apache2-suexec-custom, apache2-suexec-pristine
apache2-suexec-custom (2.4.59-1~deb11u1) [security]
Apache HTTP Server configurable suexec program for mod_suexec
apache2-suexec-pristine (2.4.59-1~deb11u1) [security]
Apache HTTP Server standard suexec program for mod_suexec
apache2-utils (2.4.59-1~deb11u1) [security]
Apache HTTP Server (utility programs for web servers)
apacheds (2.0.0~M24-4)
Apache Directory Server
apachetop (0.19.7-3)
Realtime Apache monitoring tool
apbs (3.0.0+dfsg1-3+b1)
Adaptive Poisson Boltzmann Solver
apbs-data (3.0.0+dfsg1-3)
data files for APBS (Adaptive Poisson Boltzmann Solver)
apcalc (2.12.7.2-4)
transitional package
apcalc-common (2.12.7.2-4)
transitional package
apcalc-dev (2.12.7.2-4)
transitional package
apcupsd (3.14.14-3.1)
APC UPS Power Management (daemon)
apcupsd-cgi (3.14.14-3.1)
APC UPS Power Management (web interface)
apcupsd-doc (3.14.14-3.1)
APC UPS Power Management (documentation/examples)
apel (10.8+0.20201106-1)
portable library for emacsen
apertium (3.7.1-1)
Shallow-transfer machine translation engine
apertium-af-nl (0.3.0-2)
Transitional dummy package for apertium-afr-nld
apertium-afr-nld (0.3.0-2)
Apertium translation data for the Afrikaans-Dutch pair
apertium-anaphora (1.0.2-1)
Anaphora resolution module for Apertium
apertium-apy (0.11.7-2)
Apertium APY service
apertium-ar-mt
本虛擬套件由這些套件填實: apertium-mlt-ara
apertium-ara-mlt
本虛擬套件由這些套件填實: apertium-mlt-ara
apertium-arg-cat (0.2.0-2)
Apertium translation data for the Aragonese-Catalan pair
apertium-arg-spa
本虛擬套件由這些套件填實: apertium-spa-arg
apertium-ast-es
本虛擬套件由這些套件填實: apertium-es-ast
apertium-bel-rus (0.2.1-1)
Apertium translation data for the Belarusian-Russian pair
apertium-bg-mk
本虛擬套件由這些套件填實: apertium-mk-bg
apertium-br-fr (0.5.1-1)
Apertium linguistic data to translate between Breton and French
apertium-bre-fra
本虛擬套件由這些套件填實: apertium-br-fr
apertium-ca-en
本虛擬套件由這些套件填實: apertium-en-ca
apertium-ca-eo
本虛擬套件由這些套件填實: apertium-eo-ca
apertium-ca-es
本虛擬套件由這些套件填實: apertium-es-ca
apertium-ca-fr
本虛擬套件由這些套件填實: apertium-fra-cat
apertium-ca-it (0.2.1-3)
Transitional dummy package for apertium-cat-ita
apertium-ca-oc
本虛擬套件由這些套件填實: apertium-oc-ca
apertium-ca-pt
本虛擬套件由這些套件填實: apertium-pt-ca
apertium-cat-arg
本虛擬套件由這些套件填實: apertium-arg-cat
apertium-cat-eng
本虛擬套件由這些套件填實: apertium-eng-cat
apertium-cat-epo
本虛擬套件由這些套件填實: apertium-eo-ca
apertium-cat-fra
本虛擬套件由這些套件填實: apertium-fra-cat
apertium-cat-ita (0.2.1-3)
Apertium translation data for the Catalan-Italian pair
apertium-cat-por
本虛擬套件由這些套件填實: apertium-por-cat
apertium-cat-spa
本虛擬套件由這些套件填實: apertium-spa-cat
apertium-cat-srd (1.1.0-1)
Apertium translation data for the Catalan-Sardinian pair
apertium-crh-tur (0.3.0-1)
Apertium translation data for the Crimean Tatar-Turkish pair
apertium-cy-en (0.1.1~r57554-7)
Apertium translation data for the Welsh-English pair
apertium-da-sv
本虛擬套件由這些套件填實: apertium-swe-dan
apertium-dan-nor (1.4.1-2)
Apertium translation data for the Danish-Norwegian pair
apertium-dan-swe
本虛擬套件由這些套件填實: apertium-swe-dan
apertium-dev (3.7.1-1)
Development tools and library for Apertium
apertium-en-ca (1.0.1-4)
Transitional dummy package for apertium-eng-cat
apertium-en-cy
本虛擬套件由這些套件填實: apertium-cy-en
apertium-en-eo
本虛擬套件由這些套件填實: apertium-eo-en
apertium-en-es (0.8.0~r57502-5)
Apertium translation data for the English-Spanish pair
apertium-en-eu
本虛擬套件由這些套件填實: apertium-eu-en
apertium-en-gl (0.5.2~r57551-3)
Apertium translation data for the English-Galician pair
apertium-en-mk
本虛擬套件由這些套件填實: apertium-mk-en
apertium-eng-cat (1.0.1-4)
Apertium translation data for the English-Catalan pair
apertium-eng-hbs
本虛擬套件由這些套件填實: apertium-hbs-eng
apertium-eng-isl
本虛擬套件由這些套件填實: apertium-isl-eng
apertium-eo-ca (1:0.9.2-1)
Apertium translation data for the Esperanto-Catalan pair
apertium-eo-en (1.0.0~r63833-3)
Apertium linguistic data to translate between Esperanto and English
apertium-eo-es (1:0.9.1~r60655-4)
Apertium translation data for the Esperanto-Spanish pair
apertium-eo-fr (0.9.1-1)
Apertium translation data for the Esperanto-French pair
apertium-epo-cat
本虛擬套件由這些套件填實: apertium-eo-ca
apertium-epo-fra
本虛擬套件由這些套件填實: apertium-eo-fr
apertium-es-ast (1.1.0~r51165-3)
Apertium translation data for the Spanish-Asturian pair
apertium-es-ca (2.2.0-2)
Transitional dummy package for apertium-spa-cat
apertium-es-en
本虛擬套件由這些套件填實: apertium-en-es
apertium-es-eo
本虛擬套件由這些套件填實: apertium-eo-es
apertium-es-eu
本虛擬套件由這些套件填實: apertium-eu-es
apertium-es-fr
本虛擬套件由這些套件填實: apertium-fr-es
apertium-es-gl (1.0.8~r57542-4)
Apertium translation data for the Spanish-Galician pair
apertium-es-it (0.2.0~r78826-2.1)
Transitional dummy package for apertium-spa-ita
apertium-es-oc
本虛擬套件由這些套件填實: apertium-oc-es
apertium-es-pt (1.1.5+svn~57507-5)
Apertium translation data for the Spanish-Portuguese pair
apertium-es-ro (0.7.3~r57551-4)
Apertium translation data for the Spanish-Romanian pair
apertium-eu-en (0.3.1~r56205-3)
Apertium translation data for the Basque-English pair
apertium-eu-es (0.3.3~r56159-4)
Apertium translation data for the Basque-Spanish pair
apertium-eval-translator (1.2.1-2)
Evaluate machine translation output against reference
apertium-fr-br
本虛擬套件由這些套件填實: apertium-br-fr
apertium-fr-ca
本虛擬套件由這些套件填實: apertium-fra-cat
apertium-fr-eo
本虛擬套件由這些套件填實: apertium-eo-fr
apertium-fr-es (0.9.2~r61322-4)
Apertium translation data for the French-Spanish pair
apertium-fra-bre
本虛擬套件由這些套件填實: apertium-br-fr
apertium-fra-cat (1.9.0-1)
Apertium translation data for the French-Catalan pair
apertium-fra-epo
本虛擬套件由這些套件填實: apertium-eo-fr
apertium-fra-oci
本虛擬套件由這些套件填實: apertium-oci-fra
apertium-get (1.0.0-2)
Helper for Apertium and Giellatekno languages and pairs
apertium-gl-en
本虛擬套件由這些套件填實: apertium-en-gl
apertium-gl-es
本虛擬套件由這些套件填實: apertium-es-gl
apertium-gl-pt
本虛擬套件由這些套件填實: apertium-pt-gl
apertium-hbs-eng (0.5.1-1)
Apertium translation data for the Serbo-Croatian - English pair
apertium-hbs-mkd (0.1.0~r76450-4)
Apertium translation data for the Serbo-Croatian-Macedonian pair
apertium-hbs-slv (0.5.1-1)
Apertium translation data for the Serbo-Croatian-Slovenian pair
apertium-hin (0.1.0~r59158-2.1)
Apertium single language data for Hindi
apertium-hin-urd
本虛擬套件由這些套件填實: apertium-urd-hin
apertium-id-ms (0.1.2-3)
Transitional dummy package for apertium-ind-zlm
apertium-ind-zlm (0.1.2-3)
Apertium translation data for the Indonesian-Malay pair
apertium-is-sv (0.1.0~r76450-3)
Apertium translation data for the Icelandic-Swedish pair
apertium-isl (0.1.0~r65494-2.1)
Apertium single language data for Icelandic
apertium-isl-eng (0.1.0~r66083-3)
Apertium translation data for the Icelandic-English pair
apertium-it-ca
本虛擬套件由這些套件填實: apertium-ca-it
apertium-it-es
本虛擬套件由這些套件填實: apertium-es-it
apertium-ita (0.10.0~r82237-2.1)
Apertium single language data for Italian
apertium-ita-cat
本虛擬套件由這些套件填實: apertium-cat-ita
apertium-ita-spa
本虛擬套件由這些套件填實: apertium-spa-ita
apertium-ita-srd
本虛擬套件由這些套件填實: apertium-srd-ita
apertium-kaz-tat (0.2.1-1)
Apertium translation data for the Kazakh-Tatar pair
apertium-lex-tools (0.2.7-1)
Constraint-based lexical selection module
apertium-mk-bg (0.2.0~r49489-3)
Apertium translation data for the Macedonian-Bulgarian pair
apertium-mk-en (0.1.1~r57554-3)
Apertium translation data for the Macedonian-English pair
apertium-mkd-hbs
本虛擬套件由這些套件填實: apertium-hbs-mkd
apertium-mlt-ara (0.2.0~r62623-2.1)
Apertium translation data for the Maltese-Arabic pair
apertium-ms-id
本虛擬套件由這些套件填實: apertium-id-ms
apertium-mt-ar
本虛擬套件由這些套件填實: apertium-mlt-ara
apertium-nl-af
本虛擬套件由這些套件填實: apertium-af-nl
apertium-nld-afr
本虛擬套件由這些套件填實: apertium-afr-nld
apertium-nno-nob (1.3.0-1)
Apertium translation data for the Norwegian Nynorsk-Norwegian Bokmål pair
apertium-nob-nno
本虛擬套件由這些套件填實: apertium-nno-nob
apertium-nob-sme
本虛擬套件由這些套件填實: apertium-sme-nob
apertium-nor-dan
本虛擬套件由這些套件填實: apertium-dan-nor
apertium-nor-swe
本虛擬套件由這些套件填實: apertium-swe-nor
apertium-oc-ca (1.0.6~r57551-4)
Apertium translation data for the Occitan-Catalan pair
apertium-oc-es (1.0.6~r57551-4)
Apertium translation data for the Occitan-Spanish pair
apertium-oci-fra (0.3.0-3)
Apertium translation data for the Occitan-French pair
apertium-pol-szl (0.2.1-2)
Apertium translation data for the Polish-Silesian pair
apertium-por-cat (0.10.0-1)
Apertium translation data for the Portuguese-Catalan pair
apertium-pt-ca (0.10.0-1)
Transitional dummy package for apertium-por-cat
apertium-pt-es
本虛擬套件由這些套件填實: apertium-es-pt
apertium-pt-gl (0.9.2~r57551-4)
Apertium translation data for the Portuguese-Galician pair
apertium-recursive (1.0.1-1)
Apertium recursive structural transfer module
apertium-ro-es
本虛擬套件由這些套件填實: apertium-es-ro
apertium-rus-bel
本虛擬套件由這些套件填實: apertium-bel-rus
apertium-rus-ukr (0.2.1-2)
Apertium translation data for the Russian-Ukrainian pair
apertium-separable (0.3.6-2)
Reordering separable/discontiguous multiwords
apertium-slv-hbs
本虛擬套件由這些套件填實: apertium-hbs-slv
apertium-sme-nob (0.6.1+ds.1-2)
Apertium translation data for the Northern Sami-Norwegian Bokmål pair
apertium-spa (1.1.0~r79716-2.1)
Apertium single language data for Spanish
apertium-spa-arg (0.5.0-1)
Apertium translation data for the Spanish-Aragonese pair
apertium-spa-cat (2.2.0-2)
Apertium translation data for the Spanish-Catalan pair
apertium-spa-ita (0.2.0~r78826-2.1)
Apertium translation data for the Spanish-Italian pair
apertium-srd-cat
本虛擬套件由這些套件填實: apertium-cat-srd
apertium-srd-ita (1.1.0-1)
Apertium translation data for the Sardinian-Italian pair
apertium-sv-da
本虛擬套件由這些套件填實: apertium-swe-dan
apertium-sv-is
本虛擬套件由這些套件填實: apertium-is-sv
apertium-swe-dan (0.8.1-2)
Apertium translation data for the Swedish-Danish pair
apertium-swe-nor (0.3.1-1)
Apertium translation data for the Swedish-Norwegian pair
apertium-szl-pol
本虛擬套件由這些套件填實: apertium-pol-szl
apertium-tat-kaz
本虛擬套件由這些套件填實: apertium-kaz-tat
apertium-tur-crh
本虛擬套件由這些套件填實: apertium-crh-tur
apertium-ukr-rus
本虛擬套件由這些套件填實: apertium-rus-ukr
apertium-urd (0.1.0~r61311-2.1)
Apertium single language data for Urdu
apertium-urd-hin (0.1.0~r64379-2.1)
Apertium translation data for the Urdu-Hindi pair
apertium-zlm-ind
本虛擬套件由這些套件填實: apertium-ind-zlm
aperture-0-examples (0.1.0+git20200908-2)
Example programs for libaperture
apf-firewall (9.7+rev1-5.1)
easy iptables based firewall system
apg (2.2.3.dfsg.1-5+b2 [amd64], 2.2.3.dfsg.1-5+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Automated Password Generator - Standalone version
apgdiff (2.6-1)
Another PostgreSQL Diff Tool
api-sanity-checker (1.98.7-2)
automatic generator of basic unit tests for a C/C++ library API
apitrace (9.0+repack-1+b3)
tools for debugging OpenGL applications and drivers - cli frontends
apitrace-gui (9.0+repack-1+b3)
tools for debugging OpenGL applications and drivers - graphical frontend
apitrace-tracers (9.0+repack-1+b3)
tools for debugging OpenGL applications and drivers - application tracer
apkinfo (0.3.24-1)
Simple CLI script to display info about an APK file
apksigner (30.0.3-4)
command line tool to sign and verify Android APKs
apktool (2.5.0+dfsg.1-2)
tool for reverse engineering Android apk files
apkverifier (0.0~git20191015.7330a51-6.1+b5)
Android APK Signature verification tool
aplus-fsf (4.22.1-10.1+b1)
A+ programming language run-time environment
aplus-fsf-dev (4.22.1-10.1+b1)
A+ programming language development environment
aplus-fsf-doc (4.22.1-10.1)
A+ programming language documentation
aplus-fsf-el (4.22.1-10.1)
XEmacs lisp for A+ development
apm-sleep
本虛擬套件由這些套件填實: sleepd
apng2gif (1.8-2)
tool for converting APNG images to animated GIF format
apngasm (2.91-3)
assemble APNG animation from PNG/TGA image sequence
apngdis (2.9-3)
deconstruct APNG file into a sequence of PNG frames
apngopt (1.2-2+b1)
optimize APNG animated images
apophenia-bin (1.0+ds-8+b1)
Apophenia Statistical C Library -- binary package
apophenia-doc (1.0+ds-8)
Apophenia Statistical C Library -- reference manual
apparix (11-062-1)
console-based bookmark tool for fast file system navigation
apparmor (2.13.6-10)
user-space parser utility for AppArmor
apparmor-easyprof (2.13.6-10)
AppArmor easyprof profiling tool
apparmor-notify (2.13.6-10)
AppArmor notification system
apparmor-profiles (2.13.6-10)
experimental profiles for AppArmor security policies
apparmor-profiles-extra (1.34)
Extra profiles for AppArmor Security policies
apparmor-utils (2.13.6-10)
utilities for controlling AppArmor
appc-spec (0.8.11+dfsg-2.1+b5)
App Container Specification (appc) - tools
append2simg (1:10.0.0+r36-7)
Transitional package
apper (1.0.0-3)
KDE package management tool using PackageKit
apper-data (1.0.0-3)
KDE package management tool using PackageKit (data files)
appmenu-gtk
本虛擬套件由這些套件填實: appmenu-gtk2-module
appmenu-gtk-module-common (0.7.6-2)
Common files for GtkMenuShell D-Bus exporter
appmenu-gtk2-module (0.7.6-2)
GtkMenuShell D-Bus exporter (GTK+2.0)
appmenu-gtk3
本虛擬套件由這些套件填實: appmenu-gtk3-module
appmenu-gtk3-module (0.7.6-2)
GtkMenuShell D-Bus exporter (GTK+3.0)
appmenu-registrar (0.7.6-2)
Appmenu DBusMenu registrar
approx (5.10-2+b4)
caching proxy server for Debian archive files
appstream (0.14.4-1)
Software component metadata management
appstream-doc (0.14.4-1)
Developer documentation for AppStream
appstream-generator (0.8.4-1)
Generator for AppStream metadata
appstream-glib-doc (0.7.18-1+deb11u1)
Developer documentation for the libappstream-glib library
appstream-index
本虛擬套件由這些套件填實: appstream
appstream-util (0.7.18-1+deb11u1)
Utility to work with AppStream metadata
apriltag (0.10.0-6+b2)
AprilTags Visual Fiducial System
aprsdigi (3.10.0-3)
digipeater for APRS
aprx (2.9.0+dfsg-4)
APRS Digipeater and iGate
apsfilter (7.2.6-2)
Magic print filter with automatic file type recognition
apt (2.2.4)
commandline package manager
apt-build (0.12.49)
frontend to apt to build, optimize and install packages
apt-cacher (1.7.22)
Caching proxy server for Debian/Ubuntu/Devuan software repositories
apt-cacher-ng (3.6.4-1)
caching proxy server for software repositories
apt-cdrom-setup (1:0.166)
set up a CD in sources.list
apt-config-auto-update (2.1+nmu1)
APT configuration for automatic cache updates
apt-config-icons (0.14.4-1)
APT configuration snippet to enable icon downloads
apt-config-icons-hidpi (0.14.4-1)
APT configuration snippet to enable HiDPI icon downloads
apt-config-icons-large (0.14.4-1)
APT configuration snippet to enable large icon downloads
apt-config-icons-large-hidpi (0.14.4-1)
APT configuration snippet to enable large HiDPI icon downloads
apt-cudf (6.0.1-2)
CUDF solver integration for APT
apt-dater (1.0.4-4)
terminal-based remote package update manager
apt-dater-host (1.0.1-3)
host helper application for apt-dater
apt-doc (2.2.4)
documentation for APT
apt-dpkg-ref (5.3.2)
APT, Dpkg Quick Reference sheet
apt-file (3.2.2)
search for files within Debian packages (command-line interface)
apt-forktracer (0.9)
utility for tracking non-official package versions
apt-listbugs (0.1.35)
tool which lists critical bugs before each APT installation
apt-listchanges (3.24)
package change history notification tool
apt-listdifferences (1.20190206)
source differences notification tool
apt-mirror (0.5.4-1)
APT sources mirroring tool
apt-mirror-setup (1:0.166)
set up a mirror in sources.list
apt-mirror-setup
本虛擬套件由這些套件填實: apt-cdrom-setup
apt-move (4.2.27-6)
maintain Debian packages in a package pool
apt-offline (1.8.2-2)
offline APT package manager
apt-offline-gui (1.8.2-2)
offline APT package manager - GUI
apt-rdepends (1.3.0-7)
recursively lists package dependencies
apt-setup-udeb (1:0.166)
Configure apt
apt-show-source (0.11+nmu1)
Shows source-package information
apt-show-versions (0.22.12)
lists available package versions with distribution
apt-src (0.25.3)
manage Debian source packages
apt-transport-https (2.2.4)
transitional package for https support
apt-transport-https (= 2.2.4)
本虛擬套件由這些套件填實: apt
apt-transport-in-toto (0.1.1-2)
apt transport method for in-toto supply chain verification
apt-transport-s3 (2.0.0-2)
APT transport for privately held AWS S3 repositories
apt-transport-tor (0.5)
APT transport for anonymous package downloads via Tor
apt-utils (2.2.4)
package management related utility programs
apt-xapian-index (0.52)
maintenance and search tools for a Xapian index of Debian packages
aptfs (2:1.0.1)
FUSE filesystem for APT source repositories
apticron (1.2.5)
Simple tool to mail about pending package updates - cron version
apticron-systemd (1.2.5)
Simple tool to mail about pending package updates - systemd version
aptitude (0.8.13-3)
terminal-based package manager
aptitude-common (0.8.13-3)
architecture independent files for the aptitude package manager
aptitude-doc
本虛擬套件由這些套件填實: aptitude-doc-ja, aptitude-doc-ru, aptitude-doc-es, aptitude-doc-it, aptitude-doc-cs, aptitude-doc-fi, aptitude-doc-nl, aptitude-doc-en, aptitude-doc-fr
aptitude-doc-cs (0.8.13-3)
Czech manual for aptitude, a terminal-based package manager
aptitude-doc-en (0.8.13-3)
English manual for aptitude, a terminal-based package manager
aptitude-doc-es (0.8.13-3)
Spanish manual for aptitude, a terminal-based package manager
aptitude-doc-fi (0.8.13-3)
Finnish manual for aptitude, a terminal-based package manager
aptitude-doc-fr (0.8.13-3)
French manual for aptitude, a terminal-based package manager
aptitude-doc-it (0.8.13-3)
Italian manual for aptitude, a terminal-based package manager
aptitude-doc-ja (0.8.13-3)
Japanese manual for aptitude, a terminal-based package manager
aptitude-doc-nl (0.8.13-3)
Dutch manual for aptitude, a terminal-based package manager
aptitude-doc-ru (0.8.13-3)
Russian manual for aptitude, a terminal-based package manager
aptitude-robot (1.5.4-1)
Automate package choice management
aptly (1.4.0+ds1-4+b4)
Swiss army knife for Debian repository management - main package
aptly-api (1.4.0+ds1-4+b4)
Swiss army knife for Debian repository management - API
aptly-publisher (0.12.10-2)
Tool for management of Aptly publishes
apulse (0.1.13-1)
PulseAudio emulation for ALSA
apvlv (0.2.0-1)
PDF viewer with Vim-like behaviour
apwal (0.4.5-1.1+b1)
icon-based floating application launcher with transparency
aqbanking-tools (6.2.10-1)
basic command line homebanking utilities
aqemu (0.9.2-3)
Qt5 front-end for QEMU and KVM
aqsis (1.8.2-12+b3)
3D rendering solution adhering to the RenderMan(R) standard, binaries
aqsis-examples (1.8.2-12)
3D rendering solution adhering to the RenderMan(R) standard, examples
arachne-pnr (0.1+20190728gitc40fb22-2)
Place and route tool for iCE40 family FPGAs
arachne-pnr-chipdb (0.1+20190728gitc40fb22-2)
Chip db files for arachne-pnr
aragorn (1.2.38-4)
tRNA and tmRNA detection in nucleotide sequences
arandr (0.1.10-1.1)
Simple visual front end for XRandR
aranym (1.1.0-2)
Atari Running on Any Machine
aravis-tools (0.8.6-1)
Aravis based tools for GenICam cameras
aravis-tools-cli (0.8.6-1)
Aravis based command line tools for GenICam cameras
arb (6.0.6-4) [non-free]
phylogenetic sequence analysis suite - main program
arb-common (6.0.6-4) [non-free]
phylogenetic sequence analysis suite - common files
arb-doc (6.0.6-4) [non-free]
phylogenetic sequence analysis suite - documentation
arbtt (0.10.2-0.2)
Automatic Rule-Based Time Tracker
arc (5.21q-8)
Archive utility based on the MSDOS ARC program
arc-gui-clients (0.4.6-7+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.4.6-7 [armel, armhf])
ARC Graphical Clients
arc-theme (20201013-2)
Flat theme with transparent elements
arcanist (0~git20200925-1)
Command line interface for Phabricator (review platform)
arcanist-clang-format-linter (0.git20161021-3)
clang-format linter for Arcanist
arch-install-scripts (23-3)
scripts aimed at automating some menial tasks
arch-test (0.17-1)
detect architectures supported by your machine/kernel
archdetect (1.147)
Hardware architecture detector
archfs
本虛擬套件由這些套件填實: rdiff-backup-fs
archivemount (0.8.7-1+b1)
mounts an archive for access as a file system
archmage (1:0.4.2.1-1)
CHM (Compiled HTML) Decompressor
archmbox (4.10.0-3)
simple email archiver written in perl
arctica-greeter (0.99.1.5-2)
LightDM Arctica Greeter
arctica-greeter-guest-session (0.99.1.5-2)
LightDM Arctica Greeter - Guest Session Support
arctica-greeter-remote-logon (0.99.1.5-2)
LightDM Arctica Greeter - Remote Login Support
arctica-greeter-theme-debian (0.99.1.5-2)
LightDM Arctica Greeter - Debian Theme (active theme)
arctica-greeter-theme-debian-futureprototype (0.99.1.5-2)
LightDM Arctica Greeter - Debian Theme (futurePrototype)
arctica-greeter-theme-debian-softwaves (0.99.1.5-2)
LightDM Arctica Greeter - Debian Theme (softWaves)
arden (1.0-5)
specificity control for read alignments using an artificial reference
ardentryst (1.71-8)
Action/RPG sidescoller, focused on story and character development
ardour (1:6.5.0+ds0-1)
the digital audio workstation
ardour-data (1:6.5.0+ds0-1)
digital audio workstation (data)
ardour-lv2-plugins (1:6.5.0+ds0-1)
digital audio workstation - lv2 plugins set
ardour-video-timeline (1:6.5.0+ds0-1)
digital audio workstation - video timeline
arduino (2:1.8.13+dfsg1-2)
AVR development board IDE from Arduino CC
arduino-builder (1.3.25-2+b5)
Command line tool for compiling Arduino sketches
arduino-core
本虛擬套件由這些套件填實: arduino
arduino-core-avr (1.8.3+dfsg1-1)
Arduino Core for AVR microcontroller
arduino-ctags (5.8-arduino11-1)
Arduino fork of exuberant ctags
arduino-mighty-1284p (1-5)
Platform files for Arduino to run on ATmega1284P
arduino-mk (1.5.2-2.1)
Program your Arduino from the command line
arename (4.0-4)
automatic audio file renaming tool
argagg-dev (0.4.6-5)
Argument Aggregator - Simple C++11 command line argument parser
argagg-dev-doc (0.4.6-5)
Argument Aggregator - Simple C++11 command line argument parser - source doc
argon2 (0~20171227-0.2)
memory-hard hashing function - utility
argonaut-client (1.3-2)
Argonaut JSON-RPC client to manage computers and services
argonaut-common (1.3-2)
Argonaut (common functions and libraries)
argonaut-common-fai (1.3-2)
Argonaut (common library for FAI)
argonaut-debconf (1.3-2)
Argonaut (scripts to enable Argonaut integration with Debconf)
argonaut-dovecot (1.3-2)
Argonaut (client-module for dovecot)
argonaut-fai-mirror (1.3-2)
Argonaut (scripts to manage Debian mirrors)
argonaut-fai-monitor (1.3-2)
Argonaut (service to get status from FAI installations)
argonaut-fai-nfsroot (1.3-2)
Argonaut (tools, queues and status management)
argonaut-fai-server (1.3-2)
Argonaut (scripts to enable Argonaut integration with FAI)
argonaut-freeradius (1.3-2)
Argonaut scripts to generate authentication for FreeRADIUS
argonaut-fuse (1.3-2)
Argonaut (modular TFTP/Fuse supplicant)
argonaut-fuse-module-fai (1.3-2)
Argonaut (LDAP FAI module for the TFTP/Fuse supplicant)
argonaut-fuse-module-opsi (1.3-2)
Argonaut (OPSI module for the TFTP/Fuse supplicant)
argonaut-fusiondirectory (1.3-2)
Scripts that goes with plugins in Fusiondirectory
argonaut-fusioninventory (1.3-2)
Argonaut (scripts to generate the FusionInventory schema)
argonaut-ldap2zone (1.3-2)
Argonaut (tool to extract DNS zones from LDAP trees)
argonaut-quota (1.3-2)
Argonaut (tool to apply disk quota from ldap)
argonaut-samba (1.3-2)
Argonaut scripts to generate Samba share configurations
argonaut-server (1.3-2)
Argonaut JSON-RPC server to manage system deployment
argonaut-server-module-fai (1.3-2)
Argonaut JSON-RPC server module to manage FAI (Fully Automated Install)
argonaut-server-module-opsi (1.3-2)
Argonaut JSON-RPC server module to manage OPSI (open pc server integration)
argus-client (1:3.0.8.2-6+b1 [amd64], 1:3.0.8.2-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
IP network transaction auditing tool
argus-server (2:3.0.8.2-2+b1 [amd64], 2:3.0.8.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
IP network transaction auditing tool
argyll (2.0.1+repack-1.1)
Color Management System, calibrator and profiler
argyll-doc (2.0.1+repack-1.1)
Color Management System, calibrator and profiler (documentation)
argyll-ref (2.0.1+repack-1.1)
Color Management System, calibrator and profiler (data files)
aria2 (1.35.0-3)
High speed download utility
ariba (2.14.6+ds-1+b2)
Antibiotic Resistance Identification By Assembly
aribas (1.64-6)
interpreter for arithmetic
ario (1.6-1.1)
GTK+ client for the Music Player Daemon (MPD)
ario-common (1.6-1.1)
GTK+ client for the Music Player Daemon (MPD) (Common files)
arj (3.10.22-24)
archiver for .arj files
ark (4:20.12.2-1)
archive utility
arm-trusted-firmware (2.4+dfsg-2)
"secure world" software for ARM SoCs - firmware
arm-trusted-firmware-tools (2.4+dfsg-2)
"secure world" software for ARM SoCs - tools
armagetronad (0.2.9.1.0-2)
3D Tron-like high speed game
armagetronad-common (0.2.9.1.0-2)
Common files for the Armagetron Advanced packages
armagetronad-dedicated (0.2.9.1.0-2)
dedicated game server for Armagetron Advanced
arno-iptables-firewall (2.1.1-2)
single- and multi-homed firewall script with DSL/ADSL support
aroarfw-dev (0.1~beta5-6)
framework to build hardware with RoarAudio protocol support
aroarfw-doc (0.1~beta5-6)
framework to build hardware with RoarAudio protocol support (documentation)
arp-scan (1.9.7-2)
arp scanning and fingerprinting tool
arpalert (2.0.12-4)
monitor ARP changes in ethernet networks
arpd
本虛擬套件由這些套件填實: iproute2
arping (2.21-2)
sends IP and/or ARP pings (to the MAC address)
arpon (3.0-ng+dfsg1-4)
Versatile ARP defense daemon
arptables (0.0.5-3)
ARP table administration
arpwatch (2.1a15-8)
Ethernet/FDDI station activity monitor
array-info (0.16-4.1)
command line tool reporting RAID status for several RAID types
art-nextgen-simulation-tools (20160605+dfsg-4+b1)
simulation tools to generate synthetic next-generation sequencing reads
art-nextgen-simulation-tools-profiles (20160605+dfsg-4)
profiles for art simulation tools
artemis (18.1.0+dfsg-3)
genome browser and annotation tool
artfastqgenerator (0.0.20150519-4)
outputs artificial FASTQ files derived from a reference genome
artfastqgenerator-doc (0.0.20150519-4)
outputs artificial FASTQ files derived from a reference genome (doc)
artfastqgenerator-examples (0.0.20150519-4)
outputs artificial FASTQ files derived from a reference genome (examples)
artha (1.0.5-2)
Handy off-line thesaurus based on WordNet
artikulate (4:20.12.1-2)
Language learning application
as10k1
本虛擬套件由這些套件填實: alsa-tools
as31 (2.3.1-7+b1 [amd64], 2.3.1-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Intel 8031/8051 assembler
asc (2.6.1.0-7+b2)
turn-based strategy game
asc-data (2.6.1.0-7)
data files for the Advanced Strategic Command game
asc-music (1.3-5)
music pack for ASC
ascd (0.13.2-6+b1)
CD player and mixer
ascdc (0.3-15+b1)
AfterStep CD changer
ascii (3.18-5)
interactive ASCII name and synonym chart
ascii2binary (2.14-1+b2)
Convert between ASCII, hexadecimal and binary representations
asciiart (0.0.9-2)
command line tool to turn images into ASCII art
asciidoc (9.0.0~rc2-1)
Highly configurable text format for writing documentation
asciidoc-base (9.0.0~rc2-1)
Minimal version of asciidoc not suitable for pdf
asciidoc-common (9.0.0~rc2-1)
Basic data and configuration files for asciidoc
asciidoc-dblatex (9.0.0~rc2-1)
Asciidoc package including dblatex dependencies
asciidoc-doc (9.0.0~rc2-1)
Examples and documentation for asciidoc
asciidoc-fop (9.0.0~rc2-1)
Asciidoc package including fop dependencies
asciidoc-tests (9.0.0~rc2-1)
Test framework for asciidoc
asciidoctor (2.0.12-2)
AsciiDoc to HTML rendering for Ruby
asciidoctor-doc (2.0.12-2)
AsciiDoc to HTML rendering for Ruby (documentation)
asciijump (1.0.2~beta-10+b1 [amd64], 1.0.2~beta-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Small and funny ASCII-art game about ski jumping
asciinema (2.0.2-2)
Record and share your terminal sessions, the right way
asclock (2.0.12-33)
clock designed with the NeXTStep look
asclock-themes (2.0.12-33)
Theme files for ASclock, a clock applet
asdftool (2.7.2-1)
Command line tool to manipulate ASDF scientific data files
ase (3.21.1-2)
Atomic Simulation Environment
aseba (1.6.99+dfsg-1)
Event-based framework for distributed mobile robots control
aseba-plugin-blockly (20180211+git-3) [non-free]
blockly plugin to enhance the package Aseba
aseqjoy (0.0.2-1+b1)
Joystick to ALSA MIDI Sequencer Converter
ash (0.5.11+git20200708+dd9ef66-5)
compatibility package for dash
asis-doc (2019-5)
Ada Semantic Interface Specification (ASIS) documentation
asis-programs (2019-5)
Ada Semantic Interface Specification (ASIS) example programs
asl-doc (0.1.7-2)
documentation for ASL
asl-tools (0.1.7-2+b5)
command-line tools for ASL
asmail (2.1-5+b1 [amd64], 2.1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
AfterStep mail monitor
asmix (1.5-4.1+b2)
display a volume knob
asmixer (0.5-14+b2)
AfterStep audio mixer
asmon (0.71-8.1)
system resource monitor dockapp for Afterstep and WindowMaker
asn1c (0.9.28+dfsg-3)
ASN.1 compiler for C
asn1c-doc (0.9.28+dfsg-3)
Documentation for asn1c
asp (1.8-8+b2)
Discovers present ip-address of dynamically connected hosts
asp.net-examples (4.2-2.2)
demo pages for ASP.NET infrastructure
aspcud (1:1.9.4-3)
CUDF solver based on Answer Set Programming
aspectc++ (1:2.3-4)
aspect-oriented programming extension for C++
aspectj (1.9.2-2)
aspect-oriented extension for Java - tools
aspectj-doc (1.9.2-2)
aspect-oriented extension for Java - documentation
aspell (0.60.8-3)
GNU Aspell spell-checker
aspell-am (0.03-1-5.1)
Amharic dictionary for aspell
aspell-ar (0.0.20060329-6)
Arabic dictionary for aspell
aspell-ar-large (1.2-0-5)
Large Arabic dictionary for aspell
aspell-bg (4.1-7)
Bulgarian dictionary for aspell
aspell-bin
本虛擬套件由這些套件填實: aspell
aspell-bn (1:0.01.1-1-4)
Bengali (bn) dictionary for GNU aspell
aspell-br (0.50-2-9)
Breton dictionary for GNU Aspell
aspell-ca (0.20111230b-13)
Catalan dictionary for aspell
aspell-cs (0.51.0-1.2)
Czech dictionary for GNU Aspell
aspell-cy (0.50-3-7)
Welsh dictionary for GNU Aspell
aspell-da (1.6.36-11.1)
aspell 丹麥文字典 (DSDO)
aspell-de (20161207-9)
German dictionary for aspell
aspell-de-1901 (1:2-37)
Traditional German dictionary for aspell
aspell-dictionary
本虛擬套件由這些套件填實: aspell-ro, aspell-et, aspell-pt-pt, aspell-eo, aspell-hr, aspell-hsb, aspell-tl, aspell-fo, aspell-it, aspell-lv, aspell-da, aspell-uk, aspell-ar-large, aspell-el, aspell-hu, aspell-cy, aspell-sl, aspell-ga, aspell-am, aspell-pt-br, aspell-nl, aspell-fr, aspell-fa, aspell-ar, aspell-es, aspell-de, aspell-sk, aspell-bg, aspell-hy, aspell-is, aspell-uz, aspell-eo-cx7, aspell-lt, aspell-sv, aspell-kk, aspell-gl-minimos, aspell-he, aspell-de-1901, aspell-pl, aspell-ca, aspell-ku, aspell-en, aspell-ru, aspell-cs, aspell-br, aspell-eu
aspell-doc (0.60.8-3)
Documentation for GNU Aspell spell-checker
aspell-el (0.50-3-6.2)
Greek dictionary for GNU Aspell
aspell-en (2018.04.16-0-1)
English dictionary for GNU Aspell
aspell-eo (2.1.2000.02.25-58)
Esperanto dictionary for aspell
aspell-eo-cx7 (2.1.2000.02.25-58)
Esperanto dictionary for aspell, "cx" 7bit encoding
aspell-es (1.11-16)
Spanish dictionary for aspell
aspell-et (1:20030606-31)
Estonian dictionary for Aspell
aspell-eu (0.5.20151110-6)
Basque (Euskera) dictionary for aspell
aspell-eu-es
本虛擬套件由這些套件填實: aspell-eu
aspell-fa (0.11-0-4)
Persian dictionary for GNU Aspell
aspell-fo (0.4.2+repack1-2)
Faroese dictionary for aspell
aspell-fr (0.50-3-8.1)
French dictionary for aspell
aspell-ga (0.50-4-6)
Irish (Gaeilge) dictionary for GNU Aspell
aspell-gl-minimos (0.5-47)
Aspell dictionary for Galician (minimos)
aspell-gu (0.03-0-11)
Gujarati (gu) dictionary for GNU aspell
aspell-he (1.0-0-8.1)
aspell 希伯來文字典
aspell-hi (0.02-8)
Hindi (hi) dictionary for GNU aspell
aspell-hr (0.51-5)
Croatian dictionary for GNU Aspell
aspell-hsb (0.02.0-1.2)
Upper Sorbian dictionary for GNU Aspell
aspell-hu (0.99.4.2-0-4)
Aspell 的匈牙利語字典
aspell-hy (0.10.0-0-3.1)
Armenian dictionary for GNU Aspell
aspell-is (0.51.1-0-1)
Icelandic dictionary for GNU Aspell
aspell-it (2.4-20070901-0-3.1)
Italian dictionary for GNU Aspell
aspell-kk (0.2-2)
Kazakh dictionary for GNU Aspell
aspell-kn (0.01-3-2)
Kannada (kn) dictionary for GNU aspell
aspell-ku (0.20-0-8)
Kurdish dictionary for aspell
aspell-lt (1.3.2-1)
aspell dictionary for Lithuanian (LT)
aspell-lv (0.9.6-10)
Latvian dictionary for Aspell
aspell-ml (0.04-1-9)
Malayalam (ml) dictionary for GNU aspell
aspell-mr (0.10-11)
Marathi (mr) dictionary for GNU aspell
aspell-nl (1:2.20.19-2)
Dutch dictionary for Aspell
aspell-no (2.2-4)
Norwegian dictionary for aspell
aspell-or (0.03-1-7)
Oriya (or) dictionary for GNU aspell
aspell-pa (0.01-1-7)
Punjabi (pa) dictionary for GNU aspell
aspell-pl (20150428-3.1)
Polish dictionary for aspell
aspell-pt (1.8+nmu1)
Portuguese dictionaries for GNU Aspell (old package)
aspell-pt-br (20131030-13)
aspell 巴西葡萄牙文字典
aspell-pt-pt (20210112-1)
European Portuguese dictionary for GNU Aspell
aspell-ro (3.3.9-1.1)
Romanian dictionary for GNU aspell
aspell-ru (0.99g5-24)
Russian dictionary for Aspell
aspell-sk (2.04+ds.1)
Slovak dictionary for GNU Aspell
aspell-sl (0.60-4)
Slovenian dictionary for GNU Aspell
aspell-sv (0.51-0-3.1)
Swedish dictionary for GNU aspell
aspell-ta (20040424-1-3)
Tamil (ta) dictionary for GNU aspell
aspell-te (0.01-2-7)
Telugu (te) dictionary for GNU aspell
aspell-tl (0.4-0-19)
Tagalog dictionary for GNU Aspell
aspell-uk (1.7.1-2)
GNU Aspell 的烏克蘭文字典
aspell-uz (0.6.0-3)
Uzbek dictionary for GNU Aspell
aspell6a-dictionary
本虛擬套件由這些套件填實: aspell-no
aspic (1.05-4+b2)
Line art generator
asql (1.6-1.1)
Run SQL queries against apache logs
assaultcube (1.2.0.2.1-2) [contrib]
realistic first-person-shooter
assaultcube-data (1.2.0.2.1-3) [non-free]
data files and documentation for AssaultCube
assembly-linker
本虛擬套件由這些套件填實: mono-devel
assembly-stats (1.0.1+ds-3)
get assembly statistics from FASTA and FASTQ files
assemblytics (1.0+ds-2)
detect and analyze structural variants from a genome assembly
assimp-testmodels (5.0.1~ds0-2)
assimp 3D model import library (testdata)
assimp-utils (5.0.1~ds0-2)
3D model import library (utilities)
assword (0.12.2-1)
Simple password manager (dummy transitional package)
astcenc (2.1+ds-3)
ASTC image compression and decompression tool
asterisk (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
Open Source Private Branch Exchange (PBX)
asterisk-1fb7f5c06d7a2052e38d021b3d8ca151
本虛擬套件由這些套件填實: asterisk
asterisk-config (1:16.28.0~dfsg-0+deb11u4) [security]
Configuration files for Asterisk
asterisk-core-sounds-en (1.6.1-1)
asterisk PBX sound files - US English
asterisk-core-sounds-en-g722 (1.6.1-1)
asterisk PBX sound files - en-us/g722
asterisk-core-sounds-en-gsm (1.6.1-1)
asterisk PBX sound files - en-us/gsm
asterisk-core-sounds-en-wav (1.6.1-1)
asterisk PBX sound files - en-us/wav
asterisk-core-sounds-es (1.6.1-1)
asterisk PBX sound files - Spanish
asterisk-core-sounds-es-g722 (1.6.1-1)
asterisk PBX sound files - es-mx/g722
asterisk-core-sounds-es-gsm (1.6.1-1)
asterisk PBX sound files - es-mx/gsm
asterisk-core-sounds-es-wav (1.6.1-1)
asterisk PBX sound files - es-mx/wav
asterisk-core-sounds-fr (1.6.1-1)
asterisk PBX sound files - Canadian French
asterisk-core-sounds-fr-g722 (1.6.1-1)
asterisk PBX sound files - fr-ca/g722
asterisk-core-sounds-fr-gsm (1.6.1-1)
asterisk PBX sound files - fr-ca/gsm
asterisk-core-sounds-fr-wav (1.6.1-1)
asterisk PBX sound files - fr-ca/wav
asterisk-core-sounds-it (1.6.1-1)
asterisk PBX sound files - Italian
asterisk-core-sounds-it-g722 (1.6.1-1)
asterisk PBX sound files - it-it/g722
asterisk-core-sounds-it-gsm (1.6.1-1)
asterisk PBX sound files - it-it/gsm
asterisk-core-sounds-it-wav (1.6.1-1)
asterisk PBX sound files - it-it/wav
asterisk-core-sounds-ru (1.6.1-1)
asterisk PBX sound files - Russian
asterisk-core-sounds-ru-g722 (1.6.1-1)
asterisk PBX sound files - ru-ru/g722
asterisk-core-sounds-ru-gsm (1.6.1-1)
asterisk PBX sound files - ru-ru/gsm
asterisk-core-sounds-ru-wav (1.6.1-1)
asterisk PBX sound files - ru-ru/wav
asterisk-dahdi (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
DAHDI devices support for the Asterisk PBX
asterisk-dev (1:16.28.0~dfsg-0+deb11u4) [security]
Development files for Asterisk
asterisk-doc (1:16.28.0~dfsg-0+deb11u4) [security]
Source code documentation for Asterisk
asterisk-flite (3.0-3)
flite module for Asterisk
asterisk-mobile (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
Bluetooth phone support for the Asterisk PBX
asterisk-modules (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
loadable modules for the Asterisk PBX
asterisk-moh-opsound-g722 (2.03-1.1)
asterisk extra sound files - English/g722
asterisk-moh-opsound-gsm (2.03-1.1)
asterisk extra sound files - English/gsm
asterisk-moh-opsound-wav (2.03-1.1)
asterisk extra sound files - English/wav
asterisk-mp3 (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
MP3 playback support for the Asterisk PBX
asterisk-mysql (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
MySQL database protocol support for the Asterisk PBX
asterisk-ooh323 (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
H.323 protocol support for the Asterisk PBX - ooH323c
asterisk-opus (13.7+20171009-2)
opus module for Asterisk
asterisk-prompt-de (2.0-1.2)
German voice prompts for the Asterisk PBX
asterisk-prompt-en
本虛擬套件由這些套件填實: asterisk-core-sounds-en
asterisk-prompt-en-us
本虛擬套件由這些套件填實: asterisk-core-sounds-en
asterisk-prompt-es
本虛擬套件由這些套件填實: asterisk-core-sounds-es
asterisk-prompt-es-co (0.20070403-2)
Colombian Spanish voice prompts for Asterisk
asterisk-prompt-es-mx
本虛擬套件由這些套件填實: asterisk-core-sounds-es
asterisk-prompt-fr
本虛擬套件由這些套件填實: asterisk-prompt-fr-proformatique, asterisk-prompt-fr-armelle, asterisk-core-sounds-fr
asterisk-prompt-fr-armelle (20070613-2.1)
French voice prompts for Asterisk by Armelle Desjardins
asterisk-prompt-fr-ca
本虛擬套件由這些套件填實: asterisk-core-sounds-fr
asterisk-prompt-fr-proformatique (20070706-1.4-2.1)
French voice prompts for Asterisk
asterisk-prompt-it (1:1.4.22+mm20110907-3.1)
dummy transitional package
asterisk-prompt-it
本虛擬套件由這些套件填實: asterisk-core-sounds-it
asterisk-prompt-it-it
本虛擬套件由這些套件填實: asterisk-core-sounds-it, asterisk-prompt-it-menardi
asterisk-prompt-it-menardi (1:1.4.22+mm20110907-3.1)
asterisk PBX Italian sound files
asterisk-prompt-it-menardi-alaw (1:1.4.22+mm20110907-3.1)
asterisk PBX Italian sound files - a-law prompts
asterisk-prompt-it-menardi-gsm (1:1.4.22+mm20110907-3.1)
asterisk PBX Italian sound files - gsm prompts
asterisk-prompt-it-menardi-wav (1:1.4.22+mm20110907-3.1)
asterisk PBX Italian sound files - wav prompts
asterisk-prompt-ru
本虛擬套件由這些套件填實: asterisk-core-sounds-ru
asterisk-prompt-ru-ru
本虛擬套件由這些套件填實: asterisk-core-sounds-ru
asterisk-tests (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
internal test modules of the Asterisk PBX
asterisk-voicemail (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
simple voicemail support for the Asterisk PBX
asterisk-voicemail-imapstorage (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
IMAP voicemail storage support for the Asterisk PBX
asterisk-voicemail-odbcstorage (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
ODBC voicemail storage support for the Asterisk PBX
asterisk-voicemail-storage
本虛擬套件由這些套件填實: asterisk-voicemail-odbcstorage, asterisk-voicemail-imapstorage, asterisk-voicemail
asterisk-vpb (1:16.28.0~dfsg-0+deb11u4 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x], 1:16.28.0~dfsg-0+deb11u3 [ppc64el]) [security]
VoiceTronix devices support for the Asterisk PBX
astro-all (3.0)
Default selection of tasks for Debian Astro
astro-catalogs (3.0)
Astronomy catalogs
astro-datareduction (3.0)
Data reduction pipelines for astronomy
astro-development (3.0)
C/C++ development packages for astronomy
astro-education (3.0)
Educational astronomy applications
astro-frameworks (3.0)
Astronomical data analysis frameworks
astro-gdl (3.0)
IDL/GDL packages for astronomy
astro-iraf (3.0)
IRAF packages
astro-java (3.0)
Java packages for astronomy
astro-publication (3.0)
Packages for the publication and presentation of astronomical data
astro-python3 (3.0)
Python 3 packages for astronomy
astro-radioastronomy (3.0)
Radio Astronomy Software
astro-simulation (3.0)
Simulation packages for astronomy
astro-tasks (3.0)
Debian Astronomy Pure Blend (tasksel tasks)
astro-tcltk (3.0)
Tcl/Tk packages for astronomy
astro-telescopecontrol (3.0)
Telescope control and data processing
astro-tools (3.0)
Astronomy tools and utilities
astro-viewers (3.0)
Interactive astronomical data viewers
astro-virtual-observatory (3.0)
Tools and viewers for the Virtual Observatory
astroid (0.15-7)
graphical notmuch email client
astromatic (1.2)
Astronomical pipeline software collection
astromenace (1.3.2+repack-7) [contrib]
hardcore 3D space shooter with spaceship upgrade possibilities
astromenace-data-src (1.3.2+repack-3) [non-free]
data files for AstroMenace game
astrometry-data-2mass (1.1) [contrib]
Astrometry.net 2MASS index files downloader
astrometry-data-2mass-00 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (2'-2.8')
astrometry-data-2mass-01 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (2.8'-4')
astrometry-data-2mass-02 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (4'-5.6')
astrometry-data-2mass-03 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (5.6'-8')
astrometry-data-2mass-04 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (8'-11')
astrometry-data-2mass-05 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (11'-16')
astrometry-data-2mass-06 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (16'-22')
astrometry-data-2mass-07 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (22'-30')
astrometry-data-2mass-08-19 (1.1) [contrib]
Astrometry.net 2MASS index files downloader (30'-2000')
astrometry-data-tycho2 (2-4)
Astrometry.net Tycho-2 index files
astrometry-data-tycho2-07 (2-4)
Astrometry.net Tycho-2 index files (22'-30')
astrometry-data-tycho2-07-bigendian (2-4)
Astrometry.net Tycho-2 big endian index files (22'-30')
astrometry-data-tycho2-07-littleendian (2-4)
Astrometry.net Tycho-2 little endian index files (22'-30')
astrometry-data-tycho2-08 (2-4)
Astrometry.net Tycho-2 index files (30'-44')
astrometry-data-tycho2-08-bigendian (2-4)
Astrometry.net Tycho-2 big endian index files (30'-44')
astrometry-data-tycho2-08-littleendian (2-4)
Astrometry.net Tycho-2 little endian index files (30'-44')
astrometry-data-tycho2-09 (2-4)
Astrometry.net Tycho-2 index files (44'-60')
astrometry-data-tycho2-09-bigendian (2-4)
Astrometry.net Tycho-2 big endian index files (44'-60')
astrometry-data-tycho2-09-littleendian (2-4)
Astrometry.net Tycho-2 little endian index files (44'-60')
astrometry-data-tycho2-10-19 (2-4)
Astrometry.net Tycho-2 index files (60'-2000')
astrometry-data-tycho2-10-19-bigendian (2-4)
Astrometry.net Tycho-2 big endian index files (60'-2000')
astrometry-data-tycho2-10-19-littleendian (2-4)
Astrometry.net Tycho-2 little endian index files (60'-2000')
astrometry.net (0.82+dfsg-1)
Astrometry plate solver
astronomical-almanac (5.6-7)
astronomical almanac - calculate planet and star positions
astropy-utils (4.2-6)
Command line tools from astropy
astyle (3.1-2+b1)
Source code indenter for C, C++, Objective-C, C#, and Java
asunder (2.9.7-1)
graphical audio CD ripper and encoder
asused (3.72-14)
tool to check IPv4 allocations and assignments as stored in the RIPE database
asylum (0.3.2-3)
surreal platform shooting game
asylum-data (0.3.2-3)
surreal platform shooting game - data files
asymptote (2.69+ds-1)
script-based vector graphics language inspired by MetaPost
asymptote-doc (2.69+ds-1)
documentation and examples for asymptote
asymptote-x11 (2.69+ds-1)
script-based vector graphics language inspired by MetaPost - the GUI part
at (3.1.23-1.1)
延遲工作執行與批次處理工具
at-spi
本虛擬套件由這些套件填實: libatk-adaptor
at-spi2-core (2.38.0-4+deb11u1)
Assistive Technology Service Provider Interface (dbus core)
at-spi2-core-udeb (2.38.0-4+deb11u1)
Assistive Technology Service Provider Interface (dbus core for d-i)
at-spi2-doc (2.38.0-4+deb11u1)
Assistive Technology Service Provider Interface (Documentation)
ata-modules
本虛擬套件由這些套件填實: ata-modules-5.10.0-28-5kc-malta-di, ata-modules-5.10.0-26-armmp-di, ata-modules-5.10.0-26-loongson-3-di, ata-modules-5.10.0-28-686-pae-di, ata-modules-5.10.0-26-amd64-di, ata-modules-5.10.0-25-armmp-di, ata-modules-5.10.0-26-powerpc64le-di, ata-modules-5.10.0-28-amd64-di, ata-modules-5.10.0-27-arm64-di, ata-modules-5.10.0-28-powerpc64le-di, ata-modules-5.10.0-25-686-pae-di, ata-modules-5.10.0-27-5kc-malta-di, ata-modules-5.10.0-26-686-di, ata-modules-5.10.0-26-arm64-di, ata-modules-5.10.0-27-686-pae-di, ata-modules-5.10.0-28-armmp-di, ata-modules-5.10.0-25-arm64-di, ata-modules-5.10.0-25-loongson-3-di, ata-modules-5.10.0-27-armmp-di, ata-modules-5.10.0-28-arm64-di, ata-modules-5.10.0-25-5kc-malta-di, ata-modules-5.10.0-26-5kc-malta-di, ata-modules-5.10.0-25-amd64-di, ata-modules-5.10.0-27-4kc-malta-di, ata-modules-5.10.0-28-686-di, ata-modules-5.10.0-26-4kc-malta-di, ata-modules-5.10.0-27-686-di, ata-modules-5.10.0-27-amd64-di, ata-modules-5.10.0-25-4kc-malta-di, ata-modules-5.10.0-27-powerpc64le-di, ata-modules-5.10.0-28-loongson-3-di, ata-modules-5.10.0-27-loongson-3-di, ata-modules-5.10.0-28-4kc-malta-di, ata-modules-5.10.0-25-686-di, ata-modules-5.10.0-26-686-pae-di, ata-modules-5.10.0-25-powerpc64le-di
ata-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-686-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
ATA disk modules
ata-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-686-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-686-pae-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-amd64-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-arm64-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-armmp-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-loongson-3-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
ATA disk modules
ata-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-686-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
ATA disk modules
ata-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-686-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-686-pae-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-amd64-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-arm64-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-armmp-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-loongson-3-di (5.10.209-2)
ATA disk modules
ata-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
ATA disk modules
atac (0~20150903+r2013-8+b1)
genome assembly-to-assembly comparison
atanks (6.5~dfsg-4)
tank-battling game
atanks-data (6.5~dfsg-4)
data files for Atomic tanks
ataqv (1.2.1+ds-1+b1)
ATAC-seq QC and visualization
atari800 (4.1.0-3) [contrib]
Atari 8-bit emulator for SDL
aterm (9.22-11)
dummy transitional package for rxvt-unicode
aterm
本虛擬套件由這些套件填實: rxvt-unicode
aterm-ml (9.22-11)
dummy transitional package for rxvt-unicode
atf-sh (0.21-6)
Automated Test Framework (shell library)
atfs (1.4pl6-14)
Attributed File System (AtFS)
atfs-dev (1.4pl6-14)
Attributed File System (AtFS development libraries)
atftp (0.7.git20120829-3.3+deb11u2)
advanced TFTP client
atftpd (0.7.git20120829-3.3+deb11u2)
advanced TFTP server
atheme-services (7.2.11-1)
modular IRC services daemon
atheme-services-contrib (7.2.11-1)
modular IRC services daemon - contrib modules
athena-jot (9.0-8+b1 [amd64], 9.0-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
print out increasing, decreasing, random, or redundant data, one per line
atig (0.6.1-6)
Another Twitter IRC gateway
atitvout (0.4-13.1+b1)
ATI TV Out Support Program
atlc (4.6.1-5)
Arbitrary Transmission Line Calculator
atlc-examples (4.6.1-5)
Examples for Arbitrary Transmission Line Calculator
atm-tools (1:2.5.1-4)
Base programs for ATM in Linux, the net-tools for ATM
atmel-firmware (1.3-4.1) [non-free]
Firmware for Atmel at76c50x wireless networking chips.
atom4 (4.1-9+b2 [mips64el], 4.1-9+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Original two-player color puzzle game
atomicparsley (0.9.6-2)
read, parse and set metadata of MPEG-4 and 3gp files
atomix (3.34.0-2)
puzzle game for building molecules out of separate atoms
atomix-data (3.34.0-2)
puzzle game for building molecules out of separate atoms -- data
atool (0.39.0-11)
tool for managing file archives of various types
atop (2.6.0-2)
Monitor for system resources and process activity
atril (1.24.0-1)
MATE document viewer
atril-common (1.24.0-1)
MATE document viewer (common files)
atropos (1.1.29+dfsg-1)
NGS read trimming tool that is specific, sensitive, and speedy
ats-lang-anairiats (0.2.11-1.1)
Legacy ATS v1 language compiler, named Anairiats
ats-lang-anairiats-doc (0.2.11-1.1)
Documentation for the ATS compiler Anairiats
ats-lang-anairiats-examples (0.2.11-1.1)
Examples for the ATS compiler Anairiats
ats2-lang (0.4.0-1)
ATS version 2 programming language compiler
attr (1:2.4.48-6)
utilities for manipulating filesystem extended attributes
attr-dev
本虛擬套件由這些套件填實: libattr1-dev
attr-udeb (1:2.4.48-6)
utilities for manipulating filesystem extended attributes
aubio-tools (0.4.9-4+b4)
library for audio segmentation -- utilities
auctex (12.2-1)
integrated document editing environment for TeX etc.
audacious (4.0.5-1)
small and fast audio player which supports lots of formats
audacious-dev (4.0.5-1)
audacious development files
audacious-plugins (4.0.5-1)
Base plugins for audacious
audacious-plugins-data (4.0.5-1)
Data files for Audacious plugins
audacity (2.4.2~dfsg0-5)
fast, cross-platform audio editor
audacity-data (2.4.2~dfsg0-5)
fast, cross-platform audio editor (data)
audio-mixer
本虛擬套件由這些套件填實: alsa-utils, opencubicplayer, alsa-utils-udeb, aumix, aumix-gtk
audiofile-tools (0.3.6-5)
sfinfo and sfconvert tools
audiolink (0.05-4)
makes managing and searching for music easier
audiotools (3.1.1-1.1+b8)
Collection of audio handling programs for the command line
audispd-plugins (1:3.0-2)
Plugins for the audit event dispatcher
auditd (1:3.0-2)
User space tools for security auditing
audmes (0+git20200429-1+b1 [amd64], 0+git20200429-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
AUDio MEasurement System
audtty (0.1.12-5.1)
ncurses based frontend to audacious
augeas-doc (1.12.0-2)
Augeas lenses documentation
augeas-lenses (1.12.0-2)
Set of lenses needed by libaugeas0 to parse config files
augeas-tools (1.12.0-2)
Augeas command line tools
augur (11.0.0-1)
pipeline components for real-time virus analysis
augustus (3.4.0+dfsg2-2)
gene prediction in eukaryotic genomes
augustus-data (3.4.0+dfsg2-2)
data files for AUGUSTUS
augustus-doc (3.4.0+dfsg2-2)
documentation files for AUGUSTUS
aumix (2.9.1-7)
Simple text-based mixer control program
aumix
本虛擬套件由這些套件填實: aumix-gtk
aumix-common (2.9.1-7)
Simple text-based mixer control program (common files)
aumix-gtk (2.9.1-7)
Simple mixer control program with GUI and text interfaces
auralquiz (1.0.0-3)
simple music quiz game using your own music files
austin (1.0.1-2)
Frame stack sampler for CPython
ausweisapp2 (1.22.0-1)
Official authentication app for German ID cards and residence permits
authbind (2.1.2)
Allows non-root programs to bind() to low ports
authprogs (0.6.0+git7afaa40-2)
SSH Command Authenticator
auto-07p (0.9.2+dfsg-3+b1)
software for continuation and bifurcation problems in ODE
auto-apt-proxy (13.3)
automatic detector of common APT proxy settings
auto-complete-el (1.5.1-0.2)
transitional package for elpa-auto-complete
auto-install-el (1.58-1.1)
Auto install elisp file
auto-multiple-choice (1.5.0~rc2-2)
Auto Multiple Choice - multiple choice papers management
auto-multiple-choice-common (1.5.0~rc2-2)
Auto Multiple Choice - architecture independent files
auto-multiple-choice-doc (1.5.0~rc2-2)
Auto Multiple Choice - HTML documentation
auto-multiple-choice-doc-pdf (1.5.0~rc2-2)
Auto Multiple Choice - PDF documentation
autobahn-cpp-dev (17.5.1+git7cc5d37-2.1)
WAMP in C++ for Boost/Asio - development headers
autobahn-cpp-doc (17.5.1+git7cc5d37-2.1)
WAMP in C++ for Boost/Asio - examples
autoclass (3.3.6.dfsg.1-2)
automatic classification or clustering
autoconf (2.69-14)
automatic configure script builder
autoconf-archive (20190106-2.1)
Autoconf Macro Archive
autoconf-dickey (2.52+20210105-1)
automatic configure script builder (Thomas Dickey's version)
autoconf-doc (2.69-14)
automatic configure script builder documentation
autoconf-gl-macros
本虛擬套件由這些套件填實: autoconf-archive
autoconf2.13 (2.13-69)
automatic configure script builder (obsolete version)
autoconf2.64 (2.64+dfsg-1)
automatic configure script builder (obsolete version)
autoconf2.69 (2.69-2)
automatic configure script builder (obsolete version)
autocutsel (0.10.0-2+b1 [amd64], 0.10.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Keep the X clipboard and the cutbuffer in sync
autodep8 (0.24)
DEP-8 test control file generator
autodia (2.14-4)
generates Dia UML diagrams from source code, XML or data
autodir (0.99.9-16)
Automatically creates home and group directories for LDAP/NIS/SQL/local accounts
autodock (4.2.6-8)
analysis of ligand binding to protein structure
autodock-getdata (4.2.6-8)
instructions for getData to collect compounds
autodock-test (4.2.6-8)
test files for AutoDock
autodock-vina (1.1.2-6+b1)
docking of small molecules to proteins
autofdo (0.19-2)
AutoFDO Profile Toolchain
autofs (5.1.7-1+deb11u2)
kernel-based automounter for Linux
autofs-hesiod (5.1.7-1+deb11u2)
Hesiod map support for autofs
autofs-ldap (5.1.7-1+deb11u2)
LDAP map support for autofs
autofs5
本虛擬套件由這些套件填實: autofs
autofs5-hesiod
本虛擬套件由這些套件填實: autofs-hesiod
autofs5-ldap
本虛擬套件由這些套件填實: autofs-ldap
autogen (1:5.18.16-4)
automated text file generator
autogen-doc (1:5.18.16-4)
automated text file generator - documentation
autogrid (4.2.6-8)
pre-calculate binding of ligands to their receptor
autogrid-test (4.2.6-8)
test files for AutoGrid
autojump (22.5.1-1.1)
shell extension to jump to frequently used directories
autokey-common (0.95.10-2)
desktop automation utility - common data
autokey-gtk (0.95.10-2)
desktop automation utility - GTK+ version
autokey-qt (0.95.10-2)
desktop automation utility - Qt version
autolog (0.42-1)
Log out idle users
automake (1:1.16.3-2)
Tool for generating GNU Standards-compliant Makefiles
automake-1.16
本虛擬套件由這些套件填實: automake
automake1.11 (1:1.11.6-6)
Tool for generating GNU Standards-compliant Makefiles
automaken
本虛擬套件由這些套件填實: automake1.11, automake
automysqlbackup (2.6+debian.4-3+deb11u1)
daily, weekly and monthly backup for your MySQL database
autopkgtest (5.16)
automatic as-installed testing for Debian packages
autopoint (0.21-4)
tool for setting up gettext infrastructure in a source package
autoproject (0.20-13)
create a skeleton source package for a new program
autopsy (2.24-5)
graphical interface to SleuthKit
autoradio (3.4-4)
radio automation software
autorandr (1.11-1)
Automatically select a display configuration for connected devices
autorenamer (0.6-1)
program to rename files to make them sort in given order
autorevision (1.22-2)
extracts revision metadata from your VCS repository
autossh (1.4g-1+b1 [amd64], 1.4g-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Automatically restart SSH sessions and tunnels
autosuspend (3.0-1)
daemon to suspend a system in case of inactivity
autotalent (0.2-6)
pitch correction LADSPA plugin
autotools-dev (20180224.1+nmu1)
Update infrastructure for config.{guess,sub} files
avahi-autoipd (0.8-5+deb11u2)
Avahi IPv4LL network address configuration daemon
avahi-daemon (0.8-5+deb11u2)
Avahi mDNS/DNS-SD daemon
avahi-discover (0.8-5+deb11u2)
Service discover user interface for avahi
avahi-dnsconfd (0.8-5+deb11u2)
Avahi DNS configuration tool
avahi-ui-utils (0.8-5+deb11u2)
Avahi GTK+ utilities
avahi-utils (0.8-5+deb11u2)
Avahi browsing, publishing and discovery utilities
avarice (2.14-1)
use GDB with Atmel AVR debuggers
avce00 (2.0.0-8)
Conversion of ESRI Arcinfo Vector Coverage in E00 format
avfs (1.1.3-1)
virtual filesystem to access archives, disk images, remote locations
aview (1.3.0rc1-9+b2)
A high quality ASCII art image viewer and video player
avldrums.lv2 (0.4.2~repack1-1)
Drum Sample Player Plugin
avldrums.lv2-soundfont (0.4.2~repack1-1)
Drum Sample Player Plugin - Soundfonts
avo (0.0~git20200523.4439b6b-6+b6)
Generate x86 Assembly with Go (program)
avogadro (1.93.0-2)
Molecular Graphics and Modelling System
avogadro-utils (1.93.1-3)
Molecular Graphics and Modelling System (library)
avr-evtd (1.7.7-4)
AVR watchdog daemon for Linkstation/Kuroboxes
avr-libc (1:2.0.0+Atmel3.6.2-1.1)
Standard C library for Atmel AVR development
avra (1.3.0-3+b1)
assembler for Atmel AVR microcontrollers
avrdude (6.3-20171130+svn1429-2+b1)
software for programming Atmel AVR microcontrollers
avrdude-doc (6.3-20171130+svn1429-2)
documentation for avrdude
avro-bin (1.9.0-1+b1 [amd64], 1.9.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Apache Avro C utilities (avro-c)
avrp (1.0beta3-7+b2)
Programmer for Atmel AVR microcontrollers
awardeco (0.2-3.1+b2)
Decompress flashfiles equipped with an AWARD BIOS
away (0.9.5+ds-0+nmu2+b1)
Terminal locking program
awesfx (0.5.2-1)
utility programs for AWE32/64 and Emu10k1 driver
awesome (4.3-5+b1)
highly configurable X window manager
awesome-doc (4.3-5)
highly configurable X window manager - documentation
awesome-extra (2020122801)
additional modules for awesome
awffull (3.10.2-6)
web server log analysis program
awit-dbackup (0.0.22-1.1)
Flexible one archive per directory backup tool
awk
本虛擬套件由這些套件填實: mawk, gawk, original-awk
awl-doc (0.62-1)
Andrew's Web Libraries - API documentation
awscli (1.19.1-1)
Universal Command Line Environment for AWS
awstats (7.8-2+deb11u1)
powerful and featureful web server log analyzer
ax25-apps (0.0.8-rc5+git20190411+0ff1383-4)
AX.25 ham radio applications
ax25-tools (0.0.10-rc5+git20190411+3595f87-5)
tools for AX.25 interface configuration
ax25-xtools (0.0.10-rc5+git20190411+3595f87-5)
tools for AX.25 interface configuration -- X11-based
ax25mail-utils (0.14-1)
hamradio packet utilities for fbb
axe-demultiplexer (0.3.3+dfsg-3)
Trie-based DNA sequencing read demultiplexer
axel (2.17.10-2)
light command line download accelerator
axfrdns (1:1.05-13+deb11u1)
DNS zone-transfer server
axiom (20170501-6)
General purpose computer algebra system: main binary and modules
axiom-databases (20170501-6)
General purpose computer algebra system: generated text databases
axiom-doc (20170501-6)
General purpose computer algebra system: documentation
axiom-graphics (20170501-6)
General purpose computer algebra system: graphics subsystem
axiom-graphics-data (20170501-6)
General purpose computer algebra system: graphics subsystem
axiom-hypertex (20170501-6)
General purpose computer algebra system: hypertex subsystem
axiom-hypertex-data (20170501-6)
General purpose computer algebra system: hypertex subsystem
axiom-source (20170501-6)
General purpose computer algebra system: source files
axiom-test (20170501-6)
General purpose computer algebra system: regression test inputs
axiom-tex (20170501-6)
General purpose computer algebra system: style file for TeX
axmail (2.12.2-1)
Mail user agent for ax.25 users, accessed via a node frontend
ayatana-indicator-application (0.8.0-1)
Ayatana Application Indicators
ayatana-indicator-bluetooth (0.8.2-1)
Ayatana Indicator for managing Bluetooth devices
ayatana-indicator-common (0.8.4-1+deb11u2)
libayatana-indicator shared files
ayatana-indicator-datetime (0.8.2-1)
Ayatana Indicator providing clock and calendar
ayatana-indicator-messages (0.8.2-1)
Ayatana Indicator that collects messages that need a response
ayatana-indicator-notifications (0.8.90-1)
Ayatana Indicator for viewing recent notifications
ayatana-indicator-power (2.1.2-1)
Ayatana Indicator showing power state
ayatana-indicator-printers (0.8.2-1)
Ayatana Indicator showing active print jobs
ayatana-indicator-session (0.8.2-1)
Ayatana Indicator showing session management, status and user switching
ayatana-indicator-sound (0.8.2-1)
Ayatana Indicator for managing system sound
ayatana-settings (21.1.28-1)
Ayatana Indicators Settings
ayatana-webmail (21.2.6+dfsg1-1)
Webmail notifications and actions for any desktop
aylet (0.5-3+b3)
ncurses-based player for Spectrum '.ay' music files
aylet-gtk (0.5-3+b3)
X-GTK2-based player for Spectrum '.ay' music files
azure-cli (2.18.0-2)
Azure Command-Line Interface (CLI)
azureus
本虛擬套件由這些套件填實: biglybt
b4 (0.6.2-1)
helper utility to work with patches made available via a public-inbox archive
b43-fwcutter (1:019-7) [contrib]
utility for extracting Broadcom 43xx firmware
babel-minify (0.5.0-3)
ES6+ aware minifier based on the Babel toolchain
babeld (1.9.1-1+b1 [amd64], 1.9.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
loop-free distance-vector routing protocol
babeljs (= 7.12.12+~cs150.141.84-6+deb11u1)
本虛擬套件由這些套件填實: node-babel7
babeltrace (1.5.8-1+b3)
Trace conversion program
babeltrace2 (2.0.4-1)
Trace manipulation toolkit
backblaze-b2 (1.3.8-4)
Command Line Tool for Backblaze B2
backdoor-factory (3.4.2+dfsg-5)
Patch 32/64 bits ELF & win32/64 binaries with shellcode
backintime-common (1.2.1-3)
simple backup/snapshot system (common files)
backintime-qt (1.2.1-3)
simple backup/snapshot system (graphical interface)
backintime-qt4 (1.2.1-3)
Qt 4 front-end for backintime (transitional package)
backup-manager (0.7.14-1.2)
command-line backup tool
backup-manager-doc (0.7.14-1.2)
documentation package for Backup Manager
backup2l (1.6-4)
low-maintenance backup/restore tool
backupchecker (1.7-2)
fully automated backup checker
backupninja (1.2.1-1)
lightweight, extensible meta-backup system
backuppc (4.4.0-3)
high-performance, enterprise-grade system for backing up PCs
backuppc-rsync (3.1.3.0-3)
patched rsync for BackupPC version 4
bacula (9.6.7-3)
network backup service - metapackage
bacula-bscan (9.6.7-3)
network backup service - bscan tool
bacula-client (9.6.7-3)
network backup service - client metapackage
bacula-common (9.6.7-3)
network backup service - common support files
bacula-common-mysql (9.6.7-3)
network backup service - MySQL common files
bacula-common-pgsql (9.6.7-3)
network backup service - PostgreSQL common files
bacula-common-sqlite3 (9.6.7-3)
network backup service - SQLite v3 common files
bacula-console (9.6.7-3)
network backup service - text console
bacula-console-qt (9.6.7-3)
network backup service - Bacula Administration Tool
bacula-director (9.6.7-3)
network backup service - Director daemon
bacula-director-database
本虛擬套件由這些套件填實: bacula-director-sqlite3, bacula-director-pgsql, bacula-director-mysql
bacula-director-mysql (9.6.7-3)
network backup service - MySQL storage for Director
bacula-director-pgsql (9.6.7-3)
network backup service - PostgreSQL storage for Director
bacula-director-sqlite3 (9.6.7-3)
network backup service - SQLite 3 storage for Director
bacula-doc (9.6.7-1)
Documentation for Bacula
bacula-fd (9.6.7-3)
network backup service - file daemon
bacula-sd (9.6.7-3)
network backup service - storage daemon
bacula-server (9.6.7-3)
network backup service - server metapackage
bacula-tray-monitor (9.6.7-3)
network backup service - Bacula Tray Monitor
badger (2.2007.2-2+b7)
Fast key-value DB in Go.
bagel (1.2.2-2)
Computational Chemistry Package
baitfisher (1.2.7+git20190123.241d060+dfsg-1)
software package for designing hybrid enrichment probes
balboa (2.0.0+ds-3+b7)
Passive DNS database with GraphQL interface, frontend
balboa-backend-common (2.0.0+ds-3+b7)
Common files for balboa backends
balboa-backend-rocksdb (2.0.0+ds-3+b7)
RocksDB backend for balboa
bali-phy (3.6.0+dfsg-1)
Bayesian Inference of Alignment and Phylogeny
ballerburg (1.2.0-4)
Classical castle combat game
ballview (1.5.0+git20180813.37fc53c-6+b3)
free molecular modeling and molecular graphics tool
ballz (1.0.4-1.1)
B.A.L.L.Z. - platform/puzzle game where you control a rolling ball
ballz-data (1.0.4-1.1)
B.A.L.L.Z. - game data
baloo-kf5 (5.78.0-3)
framework for searching and managing metadata
baloo-kf5-dev (5.78.0-3)
framework for searching and managing metadata devel files
balsa (2.6.1-1)
e-mail client for GNOME
bam (0.5.1-2)
fast and flexible build system
bambam (1.1.2+dfsg-1)
keyboard mashing and doodling game for babies
bambootracker (0.4.6-1)
YM2608 (OPNA, sound chip of Yamaha) music tracker
bamfdaemon (0.5.4-2)
Window matching library - daemon
bamkit (0.0.1+git20170413.ccd079d-2)
tools for common BAM file manipulations
bamtools (2.5.1+dfsg-9)
toolkit for manipulating BAM (genome alignment) files
bandage (0.8.1-4)
Bioinformatics Application for Navigating De novo Assembly Graphs Easily
bandage-examples (0.8.1-4)
Bioinformatics Application for Navigating De novo Assembly Graphs Easily (data)
bandit (1.6.2-1)
Security oriented static analyzer for Python code - Metapackage
bandwidthd (2.0.1+cvs20090917-13)
Tracks usage of TCP/IP and builds html files with graphs
bandwidthd-pgsql (2.0.1+cvs20090917-13)
Tracks usage of TCP/IP and builds html files with graphs
baobab (3.38.0-1)
GNOME disk usage analyzer
bar (1.11.1-3+b1 [amd64], 1.11.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Show information about a data transfer
barbican-api (1:11.0.0-3+deb11u1)
OpenStack Key Management Service - API Server
barbican-common (1:11.0.0-3+deb11u1)
OpenStack Key Management Service - common files
barbican-doc (1:11.0.0-3+deb11u1)
OpenStack Key Management Service - doc
barbican-keystone-listener (1:11.0.0-3+deb11u1)
OpenStack Key Management Service - Keystone Listener
barbican-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Barbican plugin
barbican-worker (1:11.0.0-3+deb11u1)
OpenStack Key Management Service - Worker Node
barcode (0.99-4)
Utility for barcode generation
baresip (1.0.0-4)
portable and modular SIP user-agent - metapackage
baresip-core (1.0.0-4)
portable and modular SIP user-agent - core parts
baresip-ffmpeg (1.0.0-4)
portable and modular SIP user-agent - FFmpeg codecs and formats
baresip-gstreamer (1.0.0-4)
portable and modular SIP user-agent - GStreamer pipelines
baresip-gtk (1.0.0-4)
portable and modular SIP user-agent - GTK+ front-end
baresip-x11 (1.0.0-4)
portable and modular SIP user-agent - X11 features
barman (2.12-2)
Backup and Recovery Manager for PostgreSQL
barman-cli (2.12-2)
Client utilities for the integration of Barman in PostgreSQL clusters
barman-cli-cloud (2.12-2)
Cloud client utilities for the integration of Barman in PostgreSQL clusters
barnowl (1.10-2+b1)
A curses-based tty Jabber, IRC, AIM and Zephyr client
barnowl-irc
本虛擬套件由這些套件填實: barnowl
barrage (1.0.5-1)
Rather destructive action game
barrier (2.3.3+dfsg-1.1)
Share mouse, keyboard and clipboard over the network
barrnap (0.9+dfsg-2)
rapid ribosomal RNA prediction
bart (0.6.00-3)
tools for computational magnetic resonance imaging
bart
本虛擬套件由這些套件填實: bart-cuda
bart-cuda (0.6.00-1) [contrib]
tools for computational magnetic resonance imaging
bart-view (0.1.00-4)
viewer for multi-dimensional complex-valued data
base
本虛擬套件由這些套件填實: base-files
base-files (11.1+deb11u9)
Debian base system miscellaneous files
base-installer (1.206)
base system installation framework
base-passwd (3.5.51)
Debian 基本系統的主要密碼檔及群組檔
base58 (1.0.3-1.1)
base58 encode/decode: command-line interface
basex (9.0.1+ds-1.1)
XML database and XPath/XQuery processor
basez (1.6.2-1)
base 16/32/64 encode/decode data to standard output
bash (5.1-2+deb11u1)
GNU Bourne Again SHell
bash-builtins (5.1-2+deb11u1)
Bash loadable builtins - headers & examples
bash-completion (1:2.11-2)
programmable completion for the bash shell
bash-doc (5.1-2+deb11u1)
Documentation and examples for the GNU Bourne Again SHell
bash-static (5.1-2+deb11u1)
GNU Bourne Again SHell (static version)
basic256 (2.0.0.11-1)
educational BASIC programming environment for children
basilisk2 (0.9.20180101-1+b2) [contrib]
68k Macintosh emulator
basix-doc (0.0.1~git20210122.4f10ef2-2)
Finite Element Basis Function Definition Runtime Library (docs)
basket (2.49-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.49-1 [armel, armhf])
multi-purpose note-taking application
basket-data (2.49-1)
data files for BasKet Notepads
bastet (0.43-6+b1)
ncurses Tetris clone with a bastard algorithm
bat (0.12.1-6+b2)
cat(1) clone with syntax highlighting and git integration
batalert (0.4.0-1)
Battery notifications/alerts for your favorite WM!
batctl (2020.4-2)
B.A.T.M.A.N. advanced control and management tool
batmand (0.3.2-21)
better approach to mobile adhoc networking
batmon.app (0.9-2+b2)
Battery monitor for GNUstep
bats (1.2.1-3)
bash automated testing system
battery-stats (0.5.6-1.1)
collects statistics about charge of laptop batteries
baycomepp (0.10-15+b1 [amd64], 0.10-15 [i386])
Drivers for the HB9JNX packet radio epp modem
baycomusb (0.10-14+b1 [amd64], 0.10-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Drivers for the HB9JNX packet radio usb modem
bazel-bootstrap (3.5.1+ds-3)
Tool to automate software builds and tests
bazel-bootstrap-data (3.5.1+ds-3)
Tool to automate software builds and tests (data files)
bb (1.3rc1-12)
ASCII-art demo based on AAlib
bbdb (3.0.1)
The Insidious Big Brother Database (email rolodex) for Emacs
bbdb
本虛擬套件由這些套件填實: bbdb3
bbdb3 (3.2-10)
Reboot of the BBDB Insidious Big Brother Database for Emacs
bbe (0.2.2-3+b1)
sed-like editor for binary files
bbmail (0.9.3-2+b2 [mips64el], 0.9.3-2+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Mail notifier for Blackbox/Fluxbox
bbmap (38.90+dfsg-1)
short read aligner and other bioinformatic tools
bbmap-jni (38.90+dfsg-1)
short read aligner and other bioinformatic tools - JNI library
bbpager (0.4.7-10)
Pager for the blackbox and fluxbox window managers
bbrun (1.6-8)
tool for the blackbox/fluxbox window managers that runs commands
bbswitch-dkms (0.8-10)
Interface for toggling the power on NVIDIA Optimus video cards (dkms)
bbswitch-source (0.8-10)
Interface for toggling the power on NVIDIA Optimus video cards
bbtime (0.1.5-15)
Time tool for the blackbox/fluxbox window managers
bc (1.07.1-2+b2)
GNU bc arbitrary precision calculator language
bcache-tools (1.0.8-4)
bcache userspace tools
bcachefs-tools (0.1+git20201025.742dbbdb-1)
bcachefs userspace tools
bcal (2.2-1)
Command-line utility for storage conversions and calculations
bcalm (2.2.3-1)
de Bruijn compaction in low memory
bcbio (1.2.5-1) [contrib]
toolkit for analysing high-throughput sequencing data
bcc (0.16.17-3.3)
16-bit x86 C compiler
bcftools (1.11-1)
genomic variant calling and manipulation of VCF/BCF files
bchunk (1.2.2-2)
CD image format conversion from bin/cue to iso/cdr
bcolz-doc (1.2.1+ds2-7)
high performant compressed data container (documentation)
bcpp (0.0.20180401-1+b1 [amd64], 0.0.20180401-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C(++) beautifier
bcpp-dbg (0.0.20180401-1+b1 [amd64], 0.0.20180401-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C(++) beautifier - debug
bcrelay (1.4.0-12+b1)
Broadcast relay daemon
bcron (0.11-9)
Bruce cron system
bcron-run
本虛擬套件由這些套件填實: bcron
bd (1.02-6)
quickly go back to a specific parent directory in bash
bdbvu (0.1-3)
simple GUI tool to browse Berkeley DB databases
bdebstrap (0.2.0-1)
YAML config based multi-mirror Debian chroot creation tool
bdf2psf (1.205)
font converter to generate console fonts from BDF source fonts
bdf2sfd (1.1.5-1)
BDF to SFD converter
bdfresize (1.5-12)
tool for resizing BDF format font
bdii (5.2.26-1)
Berkeley Database Information Index (BDII)
beads (1.1.20-2)
2-DE electrophoresis gel image spot detection
beagle (5.1-200518+dfsg-1)
Genotype calling, genotype phasing and imputation of ungenotyped markers
beagle-doc (5.1-200518+dfsg-1)
Documentation for Beagle
beancount (2.3.3-1)
Double-entry accounting from text files
beanstalkd (1.12-2)
simple, in-memory, workqueue service
bear (3.0.8-1)
generate compilation database for Clang tooling
bear-factory (0.6.0-7+b1)
Editors for Plee the Bear
beast-mcmc (1.10.4+dfsg-2)
Bayesian MCMC phylogenetic inference
beast-mcmc-examples (1.10.4+dfsg-2)
Bayesian MCMC phylogenetic inference - example data
beast-mcmc-lib
本虛擬套件由這些套件填實: libnucleotidelikelihoodcore0
beast2-mcmc (2.6.3+dfsg-2)
Bayesian MCMC phylogenetic inference
beast2-mcmc-doc (2.6.3+dfsg-2)
Bayesian MCMC phylogenetic inference - documentation
beast2-mcmc-examples (2.6.3+dfsg-2)
Bayesian MCMC phylogenetic inference - example data
beav (1:1.40-18+b3)
binary editor and viewer
bedops (2.4.39+dfsg1-2)
high-performance genomic feature operations
bedops-doc (2.4.39+dfsg1-2)
high-performance genomic feature operations (documentation)
bedtools (2.30.0+dfsg-1)
suite of utilities for comparing genomic features
bedtools-test (2.30.0+dfsg-1)
test data for the bedtools package
beef (1.2.0-1)
flexible Brainfuck interpreter
beep (1.4.9-1+b1 [amd64], 1.4.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
advanced PC-speaker beeper
beep-udeb (1.4.9-1+b1 [amd64], 1.4.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
advanced PC-speaker beeper - minimal package
beets (1.4.9-7)
music tagger and library organizer
beets-doc (1.4.9-7)
music tagger and library organizer - documentation
beignet-dev (1.3.2-8)
OpenCL for Intel GPUs (development files and documentation)
beignet-opencl-icd (1.3.2-8)
OpenCL library for Intel ix-3xxx/4xxx GPUs
belenios-tool (1.14+dfsg-1)
verifiable voting system (command-line tool)
belvu (4.44.1+dfsg-6+b1)
multiple sequence alignment viewer and phylogenetic tool
ben (0.9.2)
toolbox for Debian maintainers
beneath-a-steel-sky (0.0372-8)
classic 2D point and click science fiction adventure game
bepasty (0.6.0-1)
binary pastebin / file upload service
berkeley-abc (1.01+20191006git52a8ebb+dfsg-1)
ABC - A System for Sequential Synthesis and Verification
berkeley-express (1.5.3+dfsg-1+b4)
Streaming quantification for high-throughput sequencing
berkeley-express-doc (1.5.3+dfsg-1)
Documentation for RNA-Seq tool eXpress
berrynet (3.10.2-1)
deep learning gateway - meta package
berrynet-dashboard (3.10.2-1)
deep learning gateway - python3 modules
berusky (1.7.2-1)
Logic game based on Sokoban
berusky-data (1.7-4)
Data files for Berusky
berusky2 (0.12-2)
logic game Bugs Escape 3D
berusky2-data (0.12-1)
logic game Bugs Escape 3D -- data files
betaradio (1.6-1+b1)
Internet radio of Taiwan
between (6+dfsg1-3+b1)
game about consciousness and isolation
bf-utf-source (0.08)
source for fonts needed to build Debian installers
bfbtester (2.0.1-7.1+b2)
Brute Force Binary Tester
bfs (2.2.1-1)
Breadth-first version of find(1)
bgoffice-computer-terms (0.0.201010040104-2)
English-Bulgarian dictionary of computer terms
bgoffice-dict-downloader (0.10) [contrib]
download dictionaries for gbgoffice
bgpdump (1.6.2-1)
Translate binary zebra/quagga/MRT files into readable output
bgpq3 (0.1.35-1+b2)
automatic BGP filter generator using RADB data
bgpq4 (0.0.6-2)
automatic BGP filter generator using IRR routing data
biabam (0.9.7-7.2)
bash attachment mailer
bibclean (2.11.4.1-4+b2)
pretty-printer for BibTeX databases
bibcursed (2.0.0-6.1+b1)
Interactive program to edit BibTeX bibliographies
biber (2.16-1)
Much-augmented BibTeX replacement for BibLaTeX users
bible-kjv (4.34+deb11u1)
King James Version of the Bible: user interface program.
bible-kjv-text (4.34+deb11u1)
King James Version of the Bible - text and concordance
bibledit (5.0.922-1)
Bible editor
bibledit-cloud (5.0.922-1)
Bible editor server
bibledit-cloud-data (5.0.922-1)
Data for bibledit-cloud
bibledit-data (5.0.922-1)
Data for bibledit
bibletime (3.0-5)
bible study tool for Qt
bibletime-data (3.0-5)
Documentation and data for bibletime, a bible study tool
biboumi (9.0-2)
XMPP gateway to connect to IRC servers
bibtex2html (1.99-3+b2)
filters BibTeX files and translates them to HTML
bibtexconv (0.8.20-1+b4 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 0.8.20-1+b3 [mips64el])
BibTeX Converter
bibtool (2.68+ds-1)
tool to manipulate BibTeX files
bibutils (6.10-2)
interconvert various bibliographic data formats
bidentd (1.1.4-1.2)
Bisqwit's identd for NAT proxying
bidiv (1.5-6)
BiDi 檢視器 - 顯示 logical 模式希伯來文與阿拉伯文的命令列工具
bifcl (1.4.0-1)
Bro Built-In-Function Compiler
biff (1:0.17.pre20000412-5.1)
a mail notification tool
big-cursor (3.12)
larger mouse cursors for X
biglybt (2.6.0.0-1)
BitTorrent client
bijiben (3.38.0-1+b1)
intuitive note editor integrated with GNOME 3
bilibop (0.6.3)
run Debian GNU/Linux from external media - metapackage
bilibop-common (0.6.3)
shell functions for bilibop scripts
bilibop-device-policy
本虛擬套件由這些套件填實: bilibop-rules, bilibop-udev
bilibop-lockfs (0.6.3)
lock filesystems and write changes into RAM
bilibop-rules (0.6.3)
device management rules for OS running from external media
bilibop-udev (0.6.3)
minimal udev rule for Debian GNU/Linux running from external media
billard-gl (1.75-17)
3D billiards game
billard-gl-data (1.75-17)
3D billiards game - data files
biloba (0.9.3-10)
turn based strategy board game for up to 4 players
biloba-data (0.9.3-10)
data package for biloba turn based strategy board game
bin86 (0.16.17-3.3)
16-bit x86 assembler and loader
binaryen (99-3)
compiler and toolchain infrastructure library for WebAssembly
binclock (1.5-11)
binary clock for console with color support
bind9 (1:9.16.48-1) [security]
Internet Domain Name Server
bind9-dev (1:9.16.48-1) [security]
Static Libraries and Headers used by BIND 9
bind9-dnsutils (1:9.16.48-1) [security]
Clients provided with BIND 9
bind9-doc (1:9.16.48-1) [security]
Documentation for BIND 9
bind9-host (1:9.16.48-1) [security]
DNS Lookup Utility
bind9-libs (1:9.16.48-1) [security]
Shared Libraries used by BIND 9
bind9-utils (1:9.16.48-1) [security]
Utilities for BIND 9
bind9utils (1:9.16.48-1) [security]
Transitional package for bind9-utils
bind9utils
本虛擬套件由這些套件填實: bind9-utils
bindechexascii (0.0+20140524.git7dcd86-4+b1)
simple ASCII,binary,decimal and hex converter
bindfs (1.14.7-1)
mirrors or overlays a local directory with altered permissions
bindgen (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries
binfmt-support (2.2.1-1+deb11u1)
Support for extra binary formats
binfmtc (0.17-2+b1)
Execute C program as script
bing (1.3.5-4)
Empirical stochastic bandwidth tester
biniax2 (1.30-5)
logic game with arcade and tactics modes
biniax2-data (1.30-5)
logic game with arcade and tactics modes -- data
binkd (1.1a-111-1)
FidoTech TCP/IP mailer
bino (1.6.6-3+b3)
3D video player
binoculars (0.0.6-1)
Surface X-ray diffraction 2D detector data reduction
binoculars-doc (0.0.6-1)
Surface X-ray diffraction 2D detector data reduction - Documentation
binpac (0.56.0-1)
high level protocol parser language
binstats (1.08-9)
Statistics tool for installed programs
binutils (2.35.2-2)
GNU assembler, linker and binary utilities
binutils-aarch64-linux-gnu (2.35.2-2)
GNU binary utilities, for aarch64-linux-gnu target
binutils-aarch64-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for aarch64-linux-gnu target (debug symbols)
binutils-alpha-linux-gnu (2.35.2-2)
GNU binary utilities, for alpha-linux-gnu target
binutils-alpha-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for alpha-linux-gnu target (debug symbols)
binutils-arm-linux-gnueabi (2.35.2-2)
GNU binary utilities, for arm-linux-gnueabi target
binutils-arm-linux-gnueabi-dbg (2.35.2-2)
GNU binary utilities, for arm-linux-gnueabi target (debug symbols)
binutils-arm-linux-gnueabihf (2.35.2-2)
GNU binary utilities, for arm-linux-gnueabihf target
binutils-arm-linux-gnueabihf-dbg (2.35.2-2)
GNU binary utilities, for arm-linux-gnueabihf target (debug symbols)
binutils-arm-none-eabi (2.35.2-2+14+b2)
GNU assembler, linker and binary utilities for ARM Cortex-R/M processors
binutils-avr (2.26.20160125+Atmel3.6.2-2+b1 [amd64], 2.26.20160125+Atmel3.6.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Binary utilities supporting Atmel's AVR targets
binutils-bpf (2.35.2-2+1)
GNU binary utilities for bpf
binutils-common (2.35.2-2)
Common files for the GNU assembler, linker and binary utilities
binutils-dev (2.35.2-2)
GNU binary utilities (BFD development files)
binutils-djgpp (2.35.1+dfsg-1)
Cross-binutils for DOS using DJGPP
binutils-doc (2.35.2-2)
Documentation for the GNU assembler, linker and binary utilities
binutils-for-build (2.35.2-2)
GNU assembler, linker and binary utilities for the build architecture
binutils-for-host (2.35.2-2)
GNU assembler, linker and binary utilities for the host architecture
binutils-gold
本虛擬套件由這些套件填實: binutils
binutils-h8300-hms (2.16.1-10+b2)
GNU binary utilities, for h8300-hitachi-coff target
binutils-hppa-linux-gnu (2.35.2-2)
GNU binary utilities, for hppa-linux-gnu target
binutils-hppa-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for hppa-linux-gnu target (debug symbols)
binutils-hppa64
本虛擬套件由這些套件填實: binutils-hppa64-linux-gnu
binutils-hppa64-linux-gnu (2.35.2-2)
GNU assembler, linker and binary utilities targeted for hppa64-linux
binutils-hppa64-linux-gnu-dbg (2.35.2-2)
GNU binutils targeted for hppa64-linux (debug symbols)
binutils-i586-gnu
本虛擬套件由這些套件填實: binutils-i686-gnu
binutils-i586-kfreebsd-gnu
本虛擬套件由這些套件填實: binutils-i686-kfreebsd-gnu
binutils-i586-linux-gnu
本虛擬套件由這些套件填實: binutils-i686-linux-gnu
binutils-i686-gnu (2.35.2-2)
GNU binary utilities, for i686-gnu target
binutils-i686-gnu-dbg (2.35.2-2)
GNU binary utilities, for i686-gnu target (debug symbols)
binutils-i686-kfreebsd-gnu (2.35.2-2)
GNU binary utilities, for i686-kfreebsd-gnu target
binutils-i686-kfreebsd-gnu-dbg (2.35.2-2)
GNU binary utilities, for i686-kfreebsd-gnu target (debug symbols)
binutils-i686-linux-gnu (2.35.2-2)
GNU binary utilities, for i686-linux-gnu target
binutils-i686-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for i686-linux-gnu target (debug symbols)
binutils-ia64-linux-gnu (2.35.2-2)
GNU binary utilities, for ia64-linux-gnu target
binutils-ia64-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for ia64-linux-gnu target (debug symbols)
binutils-m68hc1x (1:2.35.1-3)
binary utilities that support Motorola's 68HC11/12 targets
binutils-m68k-linux-gnu (2.35.2-2)
GNU binary utilities, for m68k-linux-gnu target
binutils-m68k-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for m68k-linux-gnu target (debug symbols)
binutils-mingw-w64 (2.35-2+8.11)
Cross-binutils for Win32 and Win64 using MinGW-w64
binutils-mingw-w64-i686 (2.35.2-2+8.11+b3)
Cross-binutils for Win32 (x86) using MinGW-w64
binutils-mingw-w64-x86-64 (2.35.2-2+8.11+b3)
Cross-binutils for Win64 (x64) using MinGW-w64
binutils-mips-linux-gnu (2.35.2-2cross2)
GNU binary utilities, for mips-linux-gnu target
binutils-mips-linux-gnu-dbg (2.35.2-2cross2)
GNU binary utilities, for mips-linux-gnu target (debug symbols)
binutils-mips64-linux-gnuabi64 (2.35.2-2cross2)
GNU binary utilities, for mips64-linux-gnuabi64 target
binutils-mips64-linux-gnuabi64-dbg (2.35.2-2cross2)
GNU binary utilities, for mips64-linux-gnuabi64 target (debug symbols)
binutils-mips64-linux-gnuabin32 (2.35.2-2cross2)
GNU binary utilities, for mips64-linux-gnuabin32 target
binutils-mips64-linux-gnuabin32-dbg (2.35.2-2cross2)
GNU binary utilities, for mips64-linux-gnuabin32 target (debug symbols)
binutils-mips64el-linux-gnuabi64 (2.35.2-2cross2 [amd64, arm64, i386, mipsel, ppc64el], 2.35.2-2 [mips64el])
GNU binary utilities, for mips64el-linux-gnuabi64 target
binutils-mips64el-linux-gnuabi64-dbg (2.35.2-2cross2 [amd64, arm64, i386, mipsel, ppc64el], 2.35.2-2 [mips64el])
GNU binary utilities, for mips64el-linux-gnuabi64 target (debug symbols)
binutils-mips64el-linux-gnuabin32 (2.35.2-2cross2)
GNU binary utilities, for mips64el-linux-gnuabin32 target
binutils-mips64el-linux-gnuabin32-dbg (2.35.2-2cross2)
GNU binary utilities, for mips64el-linux-gnuabin32 target (debug symbols)
binutils-mipsel-linux-gnu (2.35.2-2cross2 [amd64, arm64, i386, mips64el, ppc64el], 2.35.2-2 [mipsel])
GNU binary utilities, for mipsel-linux-gnu target
binutils-mipsel-linux-gnu-dbg (2.35.2-2cross2 [amd64, arm64, i386, mips64el, ppc64el], 2.35.2-2 [mipsel])
GNU binary utilities, for mipsel-linux-gnu target (debug symbols)
binutils-mipsisa32r6-linux-gnu (2.35.2-2cross2)
GNU binary utilities, for mipsisa32r6-linux-gnu target
binutils-mipsisa32r6-linux-gnu-dbg (2.35.2-2cross2)
GNU binary utilities, for mipsisa32r6-linux-gnu target (debug symbols)
binutils-mipsisa32r6el-linux-gnu (2.35.2-2cross2)
GNU binary utilities, for mipsisa32r6el-linux-gnu target
binutils-mipsisa32r6el-linux-gnu-dbg (2.35.2-2cross2)
GNU binary utilities, for mipsisa32r6el-linux-gnu target (debug symbols)
binutils-mipsisa64r6-linux-gnuabi64 (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6-linux-gnuabi64 target
binutils-mipsisa64r6-linux-gnuabi64-dbg (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6-linux-gnuabi64 target (debug symbols)
binutils-mipsisa64r6-linux-gnuabin32 (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6-linux-gnuabin32 target
binutils-mipsisa64r6-linux-gnuabin32-dbg (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6-linux-gnuabin32 target (debug symbols)
binutils-mipsisa64r6el-linux-gnuabi64 (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6el-linux-gnuabi64 target
binutils-mipsisa64r6el-linux-gnuabi64-dbg (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6el-linux-gnuabi64 target (debug symbols)
binutils-mipsisa64r6el-linux-gnuabin32 (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6el-linux-gnuabin32 target
binutils-mipsisa64r6el-linux-gnuabin32-dbg (2.35.2-2cross2)
GNU binary utilities, for mipsisa64r6el-linux-gnuabin32 target (debug symbols)
binutils-multiarch (2.35.2-2)
Binary utilities that support multi-arch targets
binutils-multiarch-dbg (2.35.2-2)
Binary utilities that support multi-arch targets (debug symbols)
binutils-multiarch-dev (2.35.2-2)
GNU binary utilities that support multi-arch targets (BFD development files)
binutils-powerpc-linux-gnu (2.35.2-2)
GNU binary utilities, for powerpc-linux-gnu target
binutils-powerpc-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for powerpc-linux-gnu target (debug symbols)
binutils-powerpc64-linux-gnu (2.35.2-2)
GNU binary utilities, for powerpc64-linux-gnu target
binutils-powerpc64-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for powerpc64-linux-gnu target (debug symbols)
binutils-powerpc64le-linux-gnu (2.35.2-2)
GNU binary utilities, for powerpc64le-linux-gnu target
binutils-powerpc64le-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for powerpc64le-linux-gnu target (debug symbols)
binutils-riscv64-linux-gnu (2.35.2-2)
GNU binary utilities, for riscv64-linux-gnu target
binutils-riscv64-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for riscv64-linux-gnu target (debug symbols)
binutils-riscv64-unknown-elf (2.32.2020.04+dfsg-2)
GNU assembler, linker and binary utilities for RISC-V processors
binutils-s390x-linux-gnu (2.35.2-2)
GNU binary utilities, for s390x-linux-gnu target
binutils-s390x-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for s390x-linux-gnu target (debug symbols)
binutils-sh4-linux-gnu (2.35.2-2)
GNU binary utilities, for sh4-linux-gnu target
binutils-sh4-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for sh4-linux-gnu target (debug symbols)
binutils-source (2.35.2-2)
GNU assembler, linker and binary utilities (source)
binutils-sparc64-linux-gnu (2.35.2-2)
GNU binary utilities, for sparc64-linux-gnu target
binutils-sparc64-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for sparc64-linux-gnu target (debug symbols)
binutils-x86-64-kfreebsd-gnu (2.35.2-2)
GNU binary utilities, for x86-64-kfreebsd-gnu target
binutils-x86-64-kfreebsd-gnu-dbg (2.35.2-2)
GNU binary utilities, for x86-64-kfreebsd-gnu target (debug symbols)
binutils-x86-64-linux-gnu (2.35.2-2)
GNU binary utilities, for x86-64-linux-gnu target
binutils-x86-64-linux-gnu-dbg (2.35.2-2)
GNU binary utilities, for x86-64-linux-gnu target (debug symbols)
binutils-x86-64-linux-gnux32 (2.35.2-2)
GNU binary utilities, for x86-64-linux-gnux32 target
binutils-x86-64-linux-gnux32-dbg (2.35.2-2)
GNU binary utilities, for x86-64-linux-gnux32 target (debug symbols)
binutils-xtensa-lx106 (2.35.2-2+3+b2)
GNU binary utilities, for Xtensa lx106 core
binutils-z80 (2.35.2-2+4+b16)
GNU binary utilities for the z80-unknown-coff target
binwalk (2.3.1+dfsg1-1)
tool library for analyzing binary blobs and executable code
bio-app-api-0.11
本虛擬套件由這些套件填實: biometric-auth
bio-drv-api-0.10
本虛擬套件由這些套件填實: libbiometric0
bio-eagle (2.4.1-3+b1)
Haplotype phasing within a genotyped cohort or using a phased reference panel
bio-eagle-examples (2.4.1-3)
Examples for bio-eagle
bio-rainbow (2.0.4+dfsg-2)
clustering and assembling short reads for bioinformatics
bio-tradis (1.4.5+dfsg2-1)
analyse the output from TraDIS analyses of genomic sequences
bio-vcf (0.9.5-2)
domain specific language (DSL) for processing the VCF format
biobambam2 (2.0.179+ds-1)
tools for early stage alignment file processing
biogenesis (0.8-3.1)
artificial life program that simulates evolution of organisms
biom-format-tools
本虛擬套件由這些套件填實: python3-biom-format
biometric-auth (0.9.70-1)
Biometric Authentication Service
biometric-driver-community-multidevice (0.9.70-1)
Biometric Authentication Driver (community multidevice)
biometric-utils (0.9.70-1)
Biometric authentication utils
bioperl (1.7.7-2)
Perl tools for computational molecular biology
bioperl-run (1.7.3-6)
BioPerl wrappers: scripts
biosig-tools (2.1.2-4)
format conversion tools for biomedical data formats
biosquid (1.9g+cvs20050121-12)
utilities for biological sequence analysis
biosquid-dev
本虛擬套件由這些套件填實: libsquid-dev
biosyntax (1.0.0b-2)
Syntax Highlighting for Computational Biology (metapackage)
biosyntax-common (1.0.0b-2)
Syntax Highlighting for Computational Biology (common files)
biosyntax-example (1.0.0b-2)
Syntax Highlighting for Computational Biology (example)
biosyntax-gedit (1.0.0b-2)
Syntax Highlighting for Computational Biology (gedit)
biosyntax-less (1.0.0b-2)
Syntax Highlighting for Computational Biology (less)
biosyntax-vim (1.0.0b-2)
Syntax Highlighting for Computational Biology (vim)
bip (0.9.0~rc4-1)
multiuser irc proxy with conversation replay and more
bird (1.6.8-2.1)
Internet Routing Daemon
bird-bgp (1.6.8-2.1)
Internet Routing Daemon [transitional package]
bird-bgp
本虛擬套件由這些套件填實: bird
bird-doc (1.6.8-2.1)
Internet Routing Daemon - documentation
bird2 (2.0.7-4.1)
Internet Routing Daemon
bird2-doc (2.0.7-4.1)
Internet Routing Daemon - documentation
birdfont (2.29.1-1)
font editor that lets you create outline vector graphics and export fonts
birdtray (1.9.0+ds-1)
system tray notifications for Thunderbird
birthday (1.6.2-4.1)
Display information about pending events on login
bison (2:3.7.5+dfsg-1)
YACC-compatible parser generator
bison++ (1.21.11-4+b1)
Generate a parser in c or c++ from BNF notation
bison-doc (1:3.7.5+repack-1) [non-free]
Documentation for the Bison parser generator
bisonc++ (6.04.01-1)
Bison-style parser generator for C++
bisonc++-doc (6.04.01-1)
Bison-style parser generator for C++ documentation
bist (0.5.2-1.2)
chemical drawing tool
bitlbee (3.6-1.2)
IRC to other chat networks gateway (default version)
bitlbee-common (3.6-1.2)
IRC to other chat networks gateway (common files/docs)
bitlbee-dev (3.6-1.2)
IRC to other chat networks gateway (dev files)
bitlbee-facebook
本虛擬套件由這些套件填實: bitlbee-plugin-facebook
bitlbee-libpurple (3.6-1.2)
IRC to other chat networks gateway (using libpurple)
bitlbee-plugin-facebook (1.2.1-1)
IRC to other chat networks gateway (Facebook chat plugin)
bitlbee-plugin-mastodon (1.4.4-1)
Mastodon plugin for bitlbee IRC gateway
bitlbee-plugin-otr (3.6-1.2)
IRC to other chat networks gateway (OTR plugin)
bitmeter (1.2-4+b1 [mips64el], 1.2-4 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
diagnosis tool for JACK audio software
bitscope
本虛擬套件由這些套件填實: bitmeter
bitseq (0.7.5+dfsg-5)
Bayesian Inference of Transcripts from Sequencing Data
bitshuffle (0.3.5-3.1+b2)
filter for improving compression of typed binary data
bitstormlite (0.2q-5+b1 [amd64], 0.2q-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
BitTorrent Client based on C++/Gtk+2.0
bittwist (2.0-15)
libpcap based Ethernet packet generator
bitwise (0.41-1)
Interactive bitwise operation in ncurses
black (20.8b1-4)
uncompromising Python code formatter (Python 3)
black-box (1.4.8-4)
Find the crystals
blackbird-gtk-theme (0.4+20171213-3)
dark GTK+ theme from the Shimmer Project
blackbox (0.70.1-38)
Window manager for X
blackbox-themes (0.6)
Themes for the Blackbox Windowmanager
blacs-mpi-test
本虛擬套件由這些套件填實: scalapack-mpi-test
blacs-test-common
本虛擬套件由這些套件填實: scalapack-test-common
bladerf (0.2019.07-7)
Nuand bladeRF software-defined radio device (tools)
bladerf-firmware
本虛擬套件由這些套件填實: bladerf-firmware-fx3
bladerf-firmware-fx3 (0.2019.07-7) [contrib]
Nuand bladeRF firmware downloader (FX3)
bladerf-fpga
本虛擬套件由這些套件填實: bladerf-fpga-hostedx40, bladerf-fpga-hostedxa4, bladerf-fpga-hostedxa9, bladerf-fpga-hostedx115
bladerf-fpga-hostedx115 (0.2019.07-7) [contrib]
Nuand bladeRF FPGA bitstream downloader (hostedx115)
bladerf-fpga-hostedx40 (0.2019.07-7) [contrib]
Nuand bladeRF FPGA bitstream downloader (hostedx40)
bladerf-fpga-hostedxa4 (0.2019.07-7) [contrib]
Nuand bladeRF FPGA bitstream downloader (hostedxA4)
bladerf-fpga-hostedxa9 (0.2019.07-7) [contrib]
Nuand bladeRF FPGA bitstream downloader (hostedxA9)
bladerf-host
本虛擬套件由這些套件填實: bladerf
blahtexml (0.9-1.1+b2)
Converts TeX equations into MathML
blasr (5.3.3+dfsg-5)
mapping single-molecule sequencing reads
blastem (0.6.3.3-3)
Fast and accurate Genesis emulator
blazeblogger (1.2.0-4)
simple to use, command line based, content management system
bleachbit (3.9.0-1)
delete unnecessary files from the system
blender (2.83.5+dfsg-5+deb11u1)
Very fast and versatile 3D modeller/renderer
blender-data (2.83.5+dfsg-5+deb11u1)
Very fast and versatile 3D modeller/renderer - data package
blender-ogrexml-1.9 (1.9.0+dfsg1-12.1)
Blender Exporter for OGRE
blends-common (0.7.2)
Debian Pure Blends common package
blends-dev (0.7.2)
Debian Pure Blends common files for developing metapackages
blends-doc (0.7.2)
Debian Pure Blends documentation
blends-tasks (0.7.2)
Debian Pure Blends tasks for new installations
blepvco (0.1.0-3+b3)
LADSPA, minBLEP-based, hard-sync-capable oscillator plugins
bless (0.6.0-7)
A full featured hexadecimal editor
blhc (0.12-2)
build log hardening check
blimps-examples (3.9+ds-1) [non-free]
blocks database improved searcher (example data)
blimps-utils (3.9+ds-1) [non-free]
blocks database improved searcher
blinken (4:20.12.1-1)
KDE version of the Simon electronic memory game
bliss (0.73-5)
tool to compute graph automorphisms and labelings -- bin
bliss-doc (0.73-5)
suite to compute graph automorphisms and labelings -- doc
blitz++
本虛擬套件由這些套件填實: libblitz0-dev
blixem (4.44.1+dfsg-6+b1)
interactive browser of sequence alignments
blkreplay (1.0-3.1)
block device testing and benchmarking toolkit
blkreplay-examples (1.0-3.1)
block device testing and benchmarking toolkit (examples)
blktool (4-7.1)
tune low-level block device parameters
blktrace (1.2.0-5)
utilities for block layer IO tracing
blobandconquer (1.11-dfsg+20-1.3)
3D platform shooting game
blobandconquer-data (1.11-dfsg+20-1.3)
3D platform shooting game -- data files
blobby (1.0-3+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.0-3 [armel])
Volleyball game with blobs
blobby-data (1.0-3)
Volleyball game with blobs (data files)
blobby-server (1.0-3+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.0-3 [armel])
Volleyball game with blobs (server)
bloboats (1.0.2+dfsg-3)
Boat racing game
blobwars (2.00-1.2)
platform shooting game
blobwars-data (2.00-1.2)
platform shooting game
blockattack (2.6.0-1+b1)
puzzle game inspired by Tetris
blockout2 (2.5+dfsg1-1)
Tetris like game (3D-tetris)
blocks-of-the-undead (1.0-7)
Tetris Attack clone with spooky undertones
blocks-of-the-undead-data (1.0-7)
Tetris Attack clone with spooky undertones - data files
blop (0.2.8-7)
Bandlimited wavetable-based oscillator plugins for LADSPA hosts
blosxom (2.1.2-2.1)
light, feature-packed weblog app with plugin extensibility
bls-standalone (0.20151231+b1)
standalone build log scanner
blt (2.5.3+dfsg-4.1)
graphics extension library for Tcl/Tk - run-time
blt-demo (2.5.3+dfsg-4.1)
graphics extension library for Tcl/Tk - demos and examples
blt-dev (2.5.3+dfsg-4.1)
graphics extension library for Tcl/Tk - development files
bluebird-gtk-theme (1.3-2.1)
blue GTK+ theme from the Shimmer Project
bluedevil (4:5.20.5-1)
KDE Bluetooth stack
bluefish (2.2.12-1.1)
advanced Gtk+ text editor for web and software development
bluefish-data (2.2.12-1.1)
advanced Gtk+ text editor (data)
bluefish-plugins (2.2.12-1.1)
advanced Gtk+ text editor (plugins)
blueman (2.1.4-1+b1)
Graphical bluetooth manager
bluemon (1.4-8)
Activate or deactivate programs based on Bluetooth link quality
blueprint-tools (0.0~git20201007.25128be-2+b6)
Blueprint meta build system (command-line tools)
bluetooth (5.55-3.1+deb11u1)
Bluetooth support (metapackage)
bluez (5.55-3.1+deb11u1)
Bluetooth tools and daemons
bluez-cups (5.55-3.1+deb11u1)
Bluetooth printer driver for CUPS
bluez-firmware (1.2-4) [non-free]
Firmware for Bluetooth devices
bluez-hcidump (5.55-3.1+deb11u1)
Analyses Bluetooth HCI packets
bluez-meshd (5.55-3.1+deb11u1)
bluetooth mesh daemon
bluez-obexd (5.55-3.1+deb11u1)
bluez obex daemon
bluez-source (5.55-3.1+deb11u1)
Source code for the BlueZ Linux Bluetooth stack
bluez-test-scripts (5.55-3.1+deb11u1)
test scripts of bluez
bluez-test-tools (5.55-3.1+deb11u1)
test tools of bluez
bluez-tools (2.0~20170911.0.7cb788c-4)
Set of tools to manage Bluetooth devices for linux
bmagic (6.3.0-1)
C++ template library for efficient platform independent bitsets
bmake (20200710-14+deb11u1)
NetBSD make
bmap-tools (3.5-3)
tool to flash image files to block devices using the block map
bmf (0.9.4-12)
e-mail filter for spam that learns
bmon (1:4.0-7)
portable bandwidth monitor and rate estimator
bmt (0.6-1.1)
software analysis benchmarking toolkit
bnd (5.0.1-3)
tool to create and diagnose OSGi bundles
bnetd
本虛擬套件由這些套件填實: pvpgn
bnfc (2.8.3-1)
Compiler front-end generator based on Labelled BNF
boats (202008-1)
race scenario drawing tool
bochs (2.6.11+dfsg-4)
IA-32 PC emulator
bochs-doc (2.6.11+dfsg-4)
Bochs upstream documentation
bochs-gui
本虛擬套件由這些套件填實: bochs-x, bochs-sdl, bochs-wx, bochs-term
bochs-sdl (2.6.11+dfsg-4)
SDL plugin for Bochs
bochs-term (2.6.11+dfsg-4)
Terminal (ncurses-based) plugin for Bochs
bochs-wx (2.6.11+dfsg-4)
WxWindows plugin for Bochs
bochs-x (2.6.11+dfsg-4)
X11 plugin for Bochs
bochsbios (2.6.11+dfsg-4)
BIOS for the Bochs emulator
bodr (10-2)
Blue Obelisk Data Repository
bogl-bterm (0.1.18-17)
Ben's Own Graphics Library - graphical terminal
bogl-bterm-udeb (0.1.18-17)
Ben's Own Graphics Library - graphical terminal
bogofilter (1.2.5-1)
fast Bayesian spam filter (meta package)
bogofilter-bdb (1.2.5-1)
fast Bayesian spam filter (Berkeley DB)
bogofilter-common (1.2.5-1)
fast Bayesian spam filter (common files)
bogofilter-db
本虛擬套件由這些套件填實: bogofilter-sqlite, bogofilter-tokyocabinet, bogofilter-bdb
bogofilter-sqlite (1.2.5-1)
fast Bayesian spam filter (sqlite)
bogofilter-tokyocabinet (1.2.5-1)
fast Bayesian spam filter (tokyocabinet)
boinc (7.16.16+dfsg-1)
metapackage for the BOINC client and the manager
boinc-client (7.16.16+dfsg-1)
core client for the BOINC distributed computing infrastructure
boinc-client-nvidia-cuda (7.16.16+dfsg-1) [contrib]
metapackage for CUDA-savvy BOINC client and manager
boinc-client-opencl (7.16.16+dfsg-1) [contrib]
metapackage for AMD/ATI OpenCL-savvy BOINC client and manager
boinc-dev (7.16.16+dfsg-1)
development files to build applications for BOINC projects (transitional)
boinc-manager (7.16.16+dfsg-1)
GUI to control and monitor the BOINC core client
boinc-screensaver (7.16.16+dfsg-1)
screen saver auto-controlling volunteer computing
boinc-virtualbox (7.16.16+dfsg-1) [contrib]
metapackage for virtualbox-savvy projects
boinctui (2.5.1-1+b1 [amd64], 2.5.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fullscreen text mode manager for Boinc client
bolt (0.9.1-1)
system daemon to manage thunderbolt 3 devices
bolt-16 (1:16.0.6-15~deb11u2)
Post-link optimizer
bolt-lmm (2.3.4+dfsg-3)
Efficient large cohorts genome-wide Bayesian mixed-model association testing
bolt-lmm-example (2.3.4+dfsg-3)
Examples for bolt-lmm
bolt-tests (0.9.1-1)
system daemon to manage thunderbolt 3 devices - installed tests
bombardier (0.8.3+nmu3)
The GNU Bombing utility
bomber (4:20.12.1-1)
arcade spaceship game
bomberclone (0.11.9-7.1)
free Bomberman clone
bomberclone-data (0.11.9-7.1)
Data files for bomberclone game
bomstrip (9-14)
tool to strip Byte-Order Marks from UTF-8 text files
bonnie
本虛擬套件由這些套件填實: bonnie++
bonnie++ (2.00a)
Hard drive benchmark suite
boogie (2.4.1+dfsg-0.1)
verifiable programming language (compiler)
boohu (0.13.0-1)
Break Out Of Hareka's Underground -- a roguelike game
bookletimposer (0.3+ds-3)
PDF imposition toolkit
boolector (1.5.118.6b56be4.121013-1+b1)
SMT solver for bit-vectors and arrays
boolstuff (0.1.16-1+b1)
programs for operating on boolean expression binary trees
boolstuff-dev (0.1.16-1+b1)
library for operating on boolean expression binary trees - devel
boom-engine
本虛擬套件由這些套件填實: prboom-plus, doomsday
boomaga (3.0.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 3.0.0-1 [armel, armhf])
virtual printer for viewing a document before printing
boot-info-script (0.78-3)
inspect boot environment
bootable-system
本虛擬套件由這些套件填實: flash-kernel-installer, zipl-installer, nobootloader, grub-installer
bootcd (6.4)
bootcd tools can copy a running or mounted Debian system.
booth (1.0-237-gdd88847-2+deb11u1)
Cluster Ticket Manager
booth-pacemaker (1.0-237-gdd88847-2+deb11u1)
Resource agents to integrate booth with Pacemaker
bootlogd (2.96-7+deb11u1)
daemon to log boot messages
bootp (2.4.3-19+b1 [amd64], 2.4.3-19 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
server for the bootp protocol with DHCP support
bootparamd (0.17-10+b1 [amd64], 0.17-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Boot parameter server
bootpc (0.64-8)
Client to connect to a boot protocol (bootp) server
bootstrap-base (1.206)
Install the base system
bopm (3.1.3-3+b2)
Blitzed Open Proxy Monitor
borgbackup (1.1.16-3)
deduplicating and compressing backup program
borgbackup-doc (1.1.16-3)
deduplicating and compressing backup program (documentation)
borgmatic (1.5.12-2)
automatically create, prune and verify backups with borgbackup
bornagain (1.18.0-1+b1)
Simulate and fit X-ray and neutron GISAXS -- binary
bornagain-doc (1.18.0-1)
Simulate and fit X-ray and neutron GISAXS -- doc
bosh (0.6-11)
browse output of processes
bosixnet-daemon (2.0-2)
Build Own IPv6 Network (client utilities)
bosixnet-webui (2.0-2)
Build Own IPv6 Network (server utilities)
bossa (1.9.1-1)
Atmel SAM ARM microcontroller flash programming GUI
bossa-cli (1.9.1-1)
Atmel SAM ARM microcontroller flash programming utility
boswars (2.7+svn160110-5)
futuristic real-time strategy game
boswars-data (2.7+svn160110-5)
Images, data, and music files for Bos Wars
botan (2.17.3+dfsg-2)
multiplatform crypto library (2.x version)
botch (0.23-1)
tools to create and analyse dependency graphs
botch-doc (0.23-1)
Bootstrapping helper - documentation
bottlerocket (0.05b3-18)
Utility to control X10 Firecracker devices for home automation
bouncy (0.6.20071104-8)
eat the yummy veggies in the garden - game for small kids
bovo (4:20.12.1-1)
gomoku (five in line) board game
bowtie (1.3.0+dfsg1-1)
Ultrafast memory-efficient short read aligner
bowtie-examples (1.3.0+dfsg1-1)
Examples for bowtie, the ultrafast memory-efficient short read aligner
bowtie2 (2.4.2-2+b3 [amd64, arm64, ppc64el], 2.4.2-2+b2 [mips64el])
ultrafast memory-efficient short read aligner
bowtie2-examples (2.4.2-2)
Examples for bowtie2
boxbackup-client (0.13~~git20200326.g8e8b63c-1)
client for the BoxBackup remote backup system
boxbackup-server (0.13~~git20200326.g8e8b63c-1)
server for the BoxBackup remote backup system
boxer (1.4.2-1)
system deployment ninja tricks
boxer-data (10.8.28+deb11u1)
classes and nodes usable by Boxer
boxes (1.3-1)
textmode box- and comment drawing filter
boxshade (3.3.1-14)
Pretty-printing of multiple sequence alignments
bpfcc-introspection (0.18.0+ds-2)
tool to help introspect BPF programs
bpfcc-lua (0.18.0+ds-2)
Standalone tool to run BCC tracers written in Lua
bpfcc-tools (0.18.0+ds-2)
tools for BPF Compiler Collection (BCC)
bpfmon (2.49-1)
traffic monitor for BPF expression/iptables rule
bpftool (5.10.209-2)
Inspection and simple manipulation of BPF programs and maps
bpftrace (0.11.3-5+deb11u1)
high-level tracing language for Linux eBPF
bplay (0.991-10+b2)
Buffered audio file player/recorder
bpm-tools (0.3-4)
command-line tool to calculate tempo of audio
bppphyview (0.6.1-2)
Bio++ Phylogenetic Viewer
bppsuite (2.4.1-3)
Bio++ program suite
bppsuite-examples (2.4.1-3)
Examples for Bio++ program suite
bpython (0.21-3)
fancy interface to the Python 3 interpreter
bpython3 (0.21-3)
transitional package
bpytop (1.0.61-1)
Resource monitor that shows usage and stats
br2684ctl (1:2.5.1-4)
Utility for configuring RFC 2684 ATM/Ethernet bridging
braa (0.82-6)
Mass SNMP scanner
brag (1.4.1-2.1)
Downloads and assembles multipart Usenet binaries
braillefont (1.0-3)
Prints a bitmapped version of a text using Unicode Braille symbols
braillegraph (0.3-1)
simple histogram tool producing text dot-matrix graphs
brailleutils (1.2.3-6)
command-line interface for the brailleutils library
brainparty (0.61+dfsg-6)
36 puzzle games for all the family
brainparty-data (0.61+dfsg-6)
36 puzzle games for all the family -- game data
brandy (1.20.1-1+b1)
BBC BASIC V interpreter
brasero (3.12.2-6)
CD/DVD burning application for GNOME
brasero-cdrkit (3.12.2-6)
cdrkit extensions for the Brasero burning application
brasero-common (3.12.2-6)
Common files for the Brasero CD burning application and library
brazilian-conjugate (3.0~beta4-23)
Brazilian Portuguese verb conjugator
breathe-doc (4.26.0-1)
Sphinx autodox support for languages with doxygen support (documentation)
breeze (4:5.20.5-4)
Default Plasma theme (Metapackage)
breeze-cursor-theme (4:5.20.5-4)
Default Plasma cursor theme
breeze-dev (4:5.20.5-4)
Default Plasma theme (development files)
breeze-gtk-theme (5.20.5-1)
GTK theme built to match KDE's Breeze
breeze-icon-theme (4:5.78.0-2)
Default Plasma icon theme
breeze-icon-theme-rcc (4:5.78.0-2)
RCC resources for the breeze icon theme
brewtarget (2.3.1-3+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.3.1-3 [armel, armhf])
GUI beer brewing software
brickos (0.9.0.dfsg-12.2)
alternative OS for LEGO(r) Mindstorms RCX. Supports devel. in C/C++
brickos-doc (0.9.0.dfsg-12.2)
documentation for brickOS an Alternative OS for the RCX
bridge-utils (1.7-1)
Utilities for configuring the Linux Ethernet bridge
brig (0.95+dfsg-3)
BLAST Ring Image Generator
brig-compiler
本虛擬套件由這些套件填實: gccbrig-9, gccbrig-10
brig-compiler-i686-linux-gnu
本虛擬套件由這些套件填實: gccbrig-10-i686-linux-gnu, gccbrig-9-i686-linux-gnu
brig-compiler-x86-64-linux-gnu
本虛擬套件由這些套件填實: gccbrig-9-x86-64-linux-gnu, gccbrig-10-x86-64-linux-gnu
brig-compiler-x86-64-linux-gnux32
本虛擬套件由這些套件填實: gccbrig-9-x86-64-linux-gnux32, gccbrig-10-x86-64-linux-gnux32
brightd (0.4.1-4)
daemon which regulates brightness of LCDs dynamically
brightness-udev (0.5.1-3)
Control backlight brightness - udev rules
brightnessctl (0.5.1-3)
Control backlight brightness
briquolo (0.5.7-9)
fast paced 3d Breakout game
briquolo-data (0.5.7-9)
data files for the fast paced 3d Breakout game Briquolo
bristol (0.60.11-3.1)
vintage synthesizer emulator
bristol-data (0.60.11-3.1)
vintage synthesizer emulator (data files)
brltty (6.3+dfsg-1+deb11u1)
Access software for a blind person using a braille display
brltty-espeak (6.3+dfsg-1+deb11u1)
Access software for a blind person - espeak driver
brltty-flite (6.3+dfsg-1+deb11u1)
Access software for a blind person - Flite speech driver
brltty-speechd (6.3+dfsg-1+deb11u1)
Access software for a blind person - Speech Dispatcher driver
brltty-udeb (6.3+dfsg-1+deb11u1)
Access software for a blind person using a braille display
brltty-x11 (6.3+dfsg-1+deb11u1)
Access software for a blind person using a braille display - X11 drivers
bro-aux (0.42-1)
small auxiliary tools for Bro
broadcom-sta-common (6.30.223.271-17) [non-free]
Common files for the Broadcom STA Wireless driver
broadcom-sta-dkms (6.30.223.271-17) [non-free]
dkms source for the Broadcom STA Wireless driver
broadcom-sta-modules
本虛擬套件由這些套件填實: broadcom-sta-dkms
broadcom-sta-source (6.30.223.271-17) [non-free]
Source for the Broadcom STA Wireless driver
brotli (1.0.9-2+b2)
lossless compression algorithm and format (command line utility)
brp-pacu (2.1.1+git20111020-7+b1)
audio analysis tool
brutalchess (0.5.2+dfsg-8)
3D chess game with reflection of the chessmen
brutefir (1.0o-2)
software convolution engine
bruteforce-luks (1.3.1-1+b1 [amd64], 1.3.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Try to find a password of a LUKS encrypted volume
bruteforce-salted-openssl (1.4.2-3)
try to find the passphrase for files encrypted with OpenSSL
bruteforce-wallet (1.5.3-4)
try to find the password of an encrypted wallet file
brutespray (1.6.8-1)
Python bruteforce tool
brz (3.1.0-8)
easy to use distributed version control system
brz-buildpackage
本虛擬套件由這些套件填實: brz-debian
brz-debian (2.8.51)
breezy plugin for Debian package management
brz-doc (3.1.0-8)
easy to use distributed version control system (documentation)
brz-loom (3.0.0+bzr167-1)
Focused patch plugin support for Bazaar branches in Breezy
bs1770gain (0.6.5-1)
measure and adjust audio and video sound loudness
bs2b-ladspa (0.9.1-3+b1)
Bauer stereophonic-to-binaural DSP LADSPA plugin
bsd-mailx (8.1.2-0.20180807cvs-2)
simple mail user agent
bsdextrautils (2.36.1-8+deb11u2) [security]
extra utilities from 4.4BSD-Lite
bsdgames (2.17-28)
collection of classic textual unix games
bsdgames-nonfree (2.17-9) [non-free]
rogue, the classic dungeon exploration game
bsdiff (4.3-22)
generate/apply a patch between two binary files
bsdmainutils (12.1.7+nmu3)
Transitional package for more utilities from FreeBSD
bsdutils (1:2.36.1-8+deb11u2) [security]
basic utilities from 4.4BSD-Lite
bsfilter (1:1.0.19-2.1)
Bayesian spam filter
bsh (2.0b4-20)
Java scripting environment (BeanShell) Version 2
bsh-doc (2.0b4-20)
Documentation for bsh
bsh-src (2.0b4-20)
Java scripting environment (BeanShell) Version 2 (source code)
bspatch
本虛擬套件由這些套件填實: bsdiff
bspwm (0.9.10-2+b1)
Binary space partitioning window manager
btag (1.1.3-1+b9 [amd64, armel, armhf, i386, mipsel, s390x], 1.1.3-1+b6 [arm64, ppc64el], 1.1.3-1+b5 [mips64el])
interactive command-line based multimedia tag editor
btanks (0.9.8083-9)
fast 2D tank arcade game with multiplayer and split-screen modes
btanks-data (0.9.8083-9)
fast 2D tank arcade game -- data
btcheck (2.1-4)
downloaded data checker and a torrent file content viewer
bterm-unifont (1.6)
Include complete Unicode font for bogl-bterm
btest (0.62-1)
simple driver for basic unit tests
btfs (2.24-1)
access torrent files as a filesystem
bti (034-5+b1)
command line Twitter client
btrbk (0.27.1-1.1+deb11u2)
backup tool for btrfs subvolumes
btrfs-compsize (1.5-1)
calculate compression ratio of a set of files on btrfs
btrfs-heatmap (9-1)
Visualize the layout of data on your btrfs filesystem
btrfs-modules
本虛擬套件由這些套件填實: btrfs-modules-5.10.0-28-arm64-di, btrfs-modules-5.10.0-25-armmp-di, btrfs-modules-5.10.0-25-marvell-di, btrfs-modules-5.10.0-26-amd64-di, btrfs-modules-5.10.0-26-octeon-di, btrfs-modules-5.10.0-28-loongson-3-di, btrfs-modules-5.10.0-28-686-pae-di, btrfs-modules-5.10.0-28-powerpc64le-di, btrfs-modules-5.10.0-26-4kc-malta-di, btrfs-modules-5.10.0-25-4kc-malta-di, btrfs-modules-5.10.0-25-s390x-di, btrfs-modules-5.10.0-27-powerpc64le-di, btrfs-modules-5.10.0-27-armmp-di, btrfs-modules-5.10.0-27-arm64-di, btrfs-modules-5.10.0-26-686-pae-di, btrfs-modules-5.10.0-28-686-di, btrfs-modules-5.10.0-25-loongson-3-di, btrfs-modules-5.10.0-27-4kc-malta-di, btrfs-modules-5.10.0-25-powerpc64le-di, btrfs-modules-5.10.0-28-octeon-di, btrfs-modules-5.10.0-28-marvell-di, btrfs-modules-5.10.0-28-amd64-di, btrfs-modules-5.10.0-26-arm64-di, btrfs-modules-5.10.0-28-4kc-malta-di, btrfs-modules-5.10.0-27-marvell-di, btrfs-modules-5.10.0-25-686-di, btrfs-modules-5.10.0-28-5kc-malta-di, btrfs-modules-5.10.0-27-5kc-malta-di, btrfs-modules-5.10.0-27-octeon-di, btrfs-modules-5.10.0-26-loongson-3-di, btrfs-modules-5.10.0-25-686-pae-di, btrfs-modules-5.10.0-27-loongson-3-di, btrfs-modules-5.10.0-25-octeon-di, btrfs-modules-5.10.0-25-5kc-malta-di, btrfs-modules-5.10.0-28-s390x-di, btrfs-modules-5.10.0-26-686-di, btrfs-modules-5.10.0-25-amd64-di, btrfs-modules-5.10.0-27-s390x-di, btrfs-modules-5.10.0-27-686-pae-di, btrfs-modules-5.10.0-26-s390x-di, btrfs-modules-5.10.0-28-armmp-di, btrfs-modules-5.10.0-26-5kc-malta-di, btrfs-modules-5.10.0-26-marvell-di, btrfs-modules-5.10.0-26-powerpc64le-di, btrfs-modules-5.10.0-26-armmp-di, btrfs-modules-5.10.0-27-686-di, btrfs-modules-5.10.0-27-amd64-di, btrfs-modules-5.10.0-25-arm64-di
btrfs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-686-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-686-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-686-pae-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-amd64-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-arm64-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-armmp-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-marvell-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-octeon-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-26-s390x-di (5.10.197-1)
BTRFS filesystem support
btrfs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-686-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
BTRFS filesystem support
btrfs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-686-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-686-pae-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-amd64-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-arm64-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-armmp-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-marvell-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-octeon-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
BTRFS filesystem support
btrfs-modules-5.10.0-28-s390x-di (5.10.209-2)
BTRFS filesystem support
btrfs-progs (5.10.1-2)
Checksumming Copy on Write Filesystem utilities
btrfs-progs-udeb (5.10.1-2)
Checksumming Copy on Write Filesystem utilities (udeb)
btrfsmaintenance (0.5-1)
automate btrfs maintenance tasks on mountpoints or directories
btscanner (2.1-9)
ncurses-based scanner for Bluetooth devices
btyacc (3.0+dfsg-1)
Backtracking parser generator based on byacc
bubblefishymon (0.6.4-6+b1)
system load dockapp with a duck
bubblewrap (0.4.1-3)
utility for unprivileged chroot and namespace manipulation
bucardo (5.6.0-4)
asynchronous replication system for PostgreSQL
bucklespring (1.4.0-2)
Nostalgia bucklespring keyboard sound
bucklespring-data (1.4.0-2)
Nostalgia bucklespring keyboard sound - sound files
budgie-app-launcher-applet (1.1.0-1)
Applet to provide an alternative means to launch applications
budgie-applications-menu-applet (1.1.0-1)
Stylish Applications Menu for Budgie-Desktop
budgie-appmenu-applet (0.7.6+dfsg1-3)
Application Menu plugin for budgie-panel
budgie-brightness-controller-applet (1.1.0-1)
Applet to control the brightness of the screen
budgie-clockworks-applet (1.1.0-1)
Applet to display clock across multiple time zones
budgie-core (10.5.2-4)
Core package for Budgie-Desktop
budgie-core-dev (10.5.2-4)
Development package for budgie-desktop
budgie-countdown-applet (1.1.0-1)
Applet providing a countdown capability on the Budgie Desktop
budgie-desktop (10.5.2-4)
Desktop package for budgie-desktop
budgie-desktop-doc (10.5.2-4)
documentation files for the budgie-desktop
budgie-desktop-view (1.1.1-1)
Desktop Icons for the Budgie-Desktop
budgie-dropby-applet (1.1.0-1)
Applet to popup when a USB device is connected
budgie-extras-common (1.1.0-1)
Shared component of budgie-extras applets
budgie-extras-daemon (1.1.0-1)
Extras daemon for budgie-extras capabilities
budgie-fuzzyclock-applet (1.1.0-1)
Show the time in a fuzzy way
budgie-hotcorners-applet (1.1.0-1)
Applet providing hotcorners capabilities for the Budgie Desktop
budgie-indicator-applet (0.6.2-1)
Application Indicator for the budgie-desktop
budgie-kangaroo-applet (1.1.0-1)
Applet to allow quick file-browsing
budgie-keyboard-autoswitch-applet (1.1.0-1)
Applet adding the ability to set a different keyboard layout per application
budgie-network-manager-applet (1.1.0-1)
Network Manager Applet for the budgie-desktop
budgie-previews (1.1.0-1)
Provides window previews capabilities for the Budgie Desktop
budgie-previews-applet (1.1.0-1)
transitional package
budgie-quickchar (1.1.0-1)
GUI to find and choose locale characters
budgie-quicknote-applet (1.1.0-1)
Applet providing simple notes capability for the Budgie Desktop
budgie-recentlyused-applet (1.1.0-1)
Applet displays files recently accessed for the Budgie Desktop
budgie-rotation-lock-applet (1.1.0-1)
Applet to lock or unlock the screen rotation
budgie-showtime-applet (1.1.0-1)
Applet displaying date and time on the Budgie Desktop
budgie-sntray-plugin (0.4.13.1-1)
plugin for xfce4-panel to show StatusNotifierItems - Budgie
budgie-takeabreak-applet (1.1.0-1)
Applet to prompt when to take-a-break for a set period of time
budgie-trash-applet (1.1.0-1)
Applet allows access to trash capabilities for the Budgie Desktop
budgie-visualspace-applet (1.1.0-1)
Show and manage windows in workspaces for the Budgie Desktop
budgie-wallstreet (1.1.0-1)
Change wallpaper from a folder on a schedule
budgie-weathershow-applet (1.1.0-1)
Applet to display the weather and forecast
budgie-window-mover-applet (1.1.0-1)
Applet allows moving windows between workspaces for the Budgie Desktop
budgie-window-shuffler (1.1.0-1)
Keyboard and GUI friendly window-tiling capability
budgie-workspace-overview-applet (1.1.0-1)
Applet providing quick access to workspaces for the Budgie Desktop
budgie-workspace-stopwatch-applet (1.1.0-1)
Workspace usage tracker for the budgie desktop
budgie-workspace-wallpaper-applet (1.1.0-1)
Applet providing per workspace wallpaper
buffer (1.19-12+b1)
Buffering/reblocking program for tape backups, printing, etc.
bugsquish (0.0.6-9+b1 [amd64], 0.0.6-9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Bugs are trying to suck blood out of your arm!
bugwarrior (1.8.0-5)
Pull tickets from bug trackers into taskwarrior
bugz (0.13-2)
command-line interface to Bugzilla
bugzilla-cli (3.0.2-1)
command-line tool for interacting with Bugzilla
buici-clock (0.4.9.4+nmu1)
attractive desktop clock
build-essential (12.9)
Informational list of build-essential packages
buildah (1.19.6+dfsg1-1+b6)
CLI tool to facilitate building OCI images
buildapp (1.5.6-2)
application to create common lisp images
buildbot (2.10.1-1)
System to automate the compile/test cycle (server)
buildbot-doc (2.10.1-1)
System to automate the compile/test cycle (documentation)
buildbot-slave (2.10.1-1)
transitional package for buildbot-worker
buildbot-worker (2.10.1-1)
System to automate the compile/test cycle (worker agent)
buildd (0.81.2+deb11u1)
Daemon for automatically building Debian binary packages from Debian sources
buildstream (1.4.3-0.1)
toolset for the Buildstream project
buildtorrent (0.8-7)
command line torrent creation program
buku (4.5+ds-1)
Powerful command-line bookmark manager
bully (1.4.00-1+b1 [amd64], 1.4.00-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Implementation of the WPS brute force attack, written in C
bumblebee (3.2.1-27)
NVIDIA Optimus support for Linux
bumblebee-nvidia (3.2.1-27) [contrib]
NVIDIA Optimus support using the proprietary NVIDIA driver
bumprace (1.5.7-1.1)
1 or 2 players race through a multi-level maze
bumprace-data (1.5.7-1.1)
data files for bumprace
bumps-private-libs (0.8.0-1)
data fitting and Bayesian uncertainty modeling for inverse problems (libraries)
bundler (2.2.5-2)
Manage Ruby application dependencies
bundlewrap (4.5.1-1)
Decentralized configuration management system with Python
bunyan
本虛擬套件由這些套件填實: node-bunyan
bup (0.32-3)
highly efficient file backup system based on git
bup-doc (0.32-3)
highly efficient file backup system based on git (documentation)
burgerspace (1.9.3-1)
Avoid evil foodstuffs and make burgers
burner-cdrkit (3.0.9-1)
cdrkit extensions for the Burner burning application
burner-common (3.0.9-1)
Common files for the Burner CD burning application and library
burp (2.2.18-8)
Simple cross-platform network BackUp and Restore Program
burrow (1.2.1-2+b6)
Apache Kafka consumer lag checking
busco (5.0.0-1)
benchmarking sets of universal single-copy orthologs
bustle (0.8.0-1)
D-Bus activity visualiser
bustle-pcap (0.8.0-1)
D-Bus traffic capture tool for the pcap format
bustools (0.40.0-4)
program for manipulating BUS files for single cell RNA-Seq datasets
busybox (1:1.30.1-6+b3)
Tiny utilities for small and embedded systems
busybox
本虛擬套件由這些套件填實: busybox-static
busybox-static (1:1.30.1-6+b3)
Standalone rescue shell with tons of builtin utilities
busybox-syslogd (1:1.30.1-6)
Provides syslogd and klogd using busybox
busybox-udeb (1:1.30.1-6+b3)
Tiny utilities for the debian-installer
buthead (1.1-5+b1 [amd64], 1.1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
copy all but the first few lines
butt (0.1.26-1)
multi OS streaming audio tool easy to use
butteraugli (0~20170116-3)
measuring perceived differences between images
buzztrax (0.10.2-7)
Modular music composer
bvi (1.4.0-1+b3)
binary file editor
bwa (0.7.17-6+b1)
Burrows-Wheeler Aligner
bwbar (1.2.3-5)
generates text and graphical readout of current bandwidth use
bwbasic (2.20pl2-13)
Bywater BASIC Interpreter
bwctl-client (1.5.4+dfsg1-1+b1)
bandwidth test controller (client)
bwctl-server (1.5.4+dfsg1-1+b1)
bandwidth test controller (server)
bwidget (1.9.14-1)
Extension widgets for Tcl/Tk
bwm-ng (0.6.3-1)
small and simple console-based bandwidth monitor
bximage (2.6.11+dfsg-4)
Disk Image Creation Tool for Bochs
byacc (20140715-1+b1)
public domain Berkeley LALR Yacc parser generator
byacc-j (1.15-1+b2)
Berkeley YACC parser generator extended to generate Java code
bygfoot (2.3.2-2.1)
football (a.k.a soccer) management game
bygfoot-data (2.3.2-2.1)
data of football (a.k.a soccer) management game
byobu (5.133-1)
text window manager, shell multiplexer, integrated DevOps environment
byobu-extras
本虛擬套件由這些套件填實: byobu
bytes-circle (2.6-1)
Show byte statistics as an ASCII circle graph
byzanz (0.3.0+git20160312-4)
small screencast creator
bzflag (2.4.20-1)
3D first person tank battle game
bzflag-client (2.4.20-1)
3D first person tank battle game -- client
bzflag-data (2.4.20-1)
3D first person tank battle game -- data
bzflag-server (2.4.20-1)
3D first person tank battle game -- server
bzip2 (1.0.8-4)
high-quality block-sorting file compressor - utilities
bzip2-doc (1.0.8-4)
high-quality block-sorting file compressor - documentation
bzr (2.7.0+bzr6622+brz)
transitional dummy package for brz
bzr
本虛擬套件由這些套件填實: brz
bzr-builddeb (2.8.12+brz)
transitional dummy package
bzr-doc (2.7.0+bzr6622+brz)
transitional dummy package for brz-doc
bzr-email (0.0.1~bzr58+brz1)
transitional dummy package
bzr-email
本虛擬套件由這些套件填實: brz
bzr-fastimport (0.13.0+bzr361+brz1)
transitional dummy package
bzr-fastimport
本虛擬套件由這些套件填實: brz
bzr-git (0.6.13+bzr1650+brz2)
transitional dummy package
bzr-git
本虛擬套件由這些套件填實: brz
bzr-loom (2.2.0+brz2)
transitional dummy package
bzr-stats (0.1.0+bzr54+brz1)
transitional dummy package
bzr-stats
本虛擬套件由這些套件填實: brz
bzr-upload (1.1.0+brz1)
transitional dummy package
bzr-upload
本虛擬套件由這些套件填實: brz
bzrtools (2.6.0+brz)
transitional dummy package
c++-annotations (11.5.0-1)
Extensive tutorial and documentation about C++
c++-annotations-contrib (11.5.0-1)
Extensive tutorial and documentation about C++ - contributed files
c++-annotations-dvi (11.5.0-1)
Extensive tutorial and documentation about C++ - DVI output
c++-annotations-html (11.5.0-1)
Extensive tutorial and documentation about C++ - html output
c++-annotations-latex (11.5.0-1)
Extensive tutorial and documentation about C++ - LaTeX output
c++-annotations-pdf (11.5.0-1)
Extensive tutorial and documentation about C++ - PDF output
c++-annotations-ps (11.5.0-1)
Extensive tutorial and documentation about C++ - Postscript output
c++-annotations-txt (11.5.0-1)
Extensive tutorial and documentation about C++ - text output
c++-compiler
本虛擬套件由這些套件填實: g++-10, g++, clang-9, clang-16, clang-11, g++-9, clang-13
c++-compiler-aarch64-linux-gnu
本虛擬套件由這些套件填實: g++-9-aarch64-linux-gnu, g++-10-aarch64-linux-gnu
c++-compiler-alpha-linux-gnu
本虛擬套件由這些套件填實: g++-9-alpha-linux-gnu, g++-10-alpha-linux-gnu
c++-compiler-arm-linux-gnueabi
本虛擬套件由這些套件填實: g++-10-arm-linux-gnueabi, g++-9-arm-linux-gnueabi
c++-compiler-arm-linux-gnueabihf
本虛擬套件由這些套件填實: g++-9-arm-linux-gnueabihf, g++-10-arm-linux-gnueabihf
c++-compiler-hppa-linux-gnu
本虛擬套件由這些套件填實: g++-9-hppa-linux-gnu, g++-10-hppa-linux-gnu
c++-compiler-i686-linux-gnu
本虛擬套件由這些套件填實: g++-10-i686-linux-gnu, g++-9-i686-linux-gnu
c++-compiler-m68k-linux-gnu
本虛擬套件由這些套件填實: g++-10-m68k-linux-gnu, g++-9-m68k-linux-gnu
c++-compiler-mips-linux-gnu
本虛擬套件由這些套件填實: g++-10-mips-linux-gnu
c++-compiler-mips64-linux-gnuabi64
本虛擬套件由這些套件填實: g++-10-mips64-linux-gnuabi64
c++-compiler-mips64el-linux-gnuabi64
本虛擬套件由這些套件填實: g++-10-mips64el-linux-gnuabi64
c++-compiler-mipsel-linux-gnu
本虛擬套件由這些套件填實: g++-10-mipsel-linux-gnu
c++-compiler-mipsisa32r6-linux-gnu
本虛擬套件由這些套件填實: g++-10-mipsisa32r6-linux-gnu
c++-compiler-mipsisa32r6el-linux-gnu
本虛擬套件由這些套件填實: g++-10-mipsisa32r6el-linux-gnu
c++-compiler-mipsisa64r6-linux-gnuabi64
本虛擬套件由這些套件填實: g++-10-mipsisa64r6-linux-gnuabi64
c++-compiler-mipsisa64r6el-linux-gnuabi64
本虛擬套件由這些套件填實: g++-10-mipsisa64r6el-linux-gnuabi64
c++-compiler-powerpc-linux-gnu
本虛擬套件由這些套件填實: g++-10-powerpc-linux-gnu, g++-9-powerpc-linux-gnu
c++-compiler-powerpc64-linux-gnu
本虛擬套件由這些套件填實: g++-10-powerpc64-linux-gnu, g++-9-powerpc64-linux-gnu
c++-compiler-powerpc64le-linux-gnu
本虛擬套件由這些套件填實: g++-9-powerpc64le-linux-gnu, g++-10-powerpc64le-linux-gnu
c++-compiler-riscv64-linux-gnu
本虛擬套件由這些套件填實: g++-10-riscv64-linux-gnu, g++-9-riscv64-linux-gnu
c++-compiler-s390x-linux-gnu
本虛擬套件由這些套件填實: g++-10-s390x-linux-gnu, g++-9-s390x-linux-gnu
c++-compiler-sh4-linux-gnu
本虛擬套件由這些套件填實: g++-9-sh4-linux-gnu, g++-10-sh4-linux-gnu
c++-compiler-sparc64-linux-gnu
本虛擬套件由這些套件填實: g++-10-sparc64-linux-gnu, g++-9-sparc64-linux-gnu
c++-compiler-x86-64-linux-gnu
本虛擬套件由這些套件填實: g++-10-x86-64-linux-gnu, g++-9-x86-64-linux-gnu
c++-compiler-x86-64-linux-gnux32
本虛擬套件由這些套件填實: g++-9-x86-64-linux-gnux32, g++-10-x86-64-linux-gnux32
c++abi2-dev
本虛擬套件由這些套件填實: g++-10, g++-9
c-compiler
本虛擬套件由這些套件填實: tcc, pcc, gcc-9, clang-13, gcc-10, clang-11, clang-16, bcc, clang-9, gcc
c-compiler-aarch64-linux-gnu
本虛擬套件由這些套件填實: gcc-10-aarch64-linux-gnu, gcc-9-aarch64-linux-gnu
c-compiler-alpha-linux-gnu
本虛擬套件由這些套件填實: gcc-9-alpha-linux-gnu, gcc-10-alpha-linux-gnu
c-compiler-arm-linux-gnueabi
本虛擬套件由這些套件填實: gcc-9-arm-linux-gnueabi, gcc-10-arm-linux-gnueabi
c-compiler-arm-linux-gnueabihf
本虛擬套件由這些套件填實: gcc-10-arm-linux-gnueabihf, gcc-9-arm-linux-gnueabihf
c-compiler-avr
本虛擬套件由這些套件填實: gcc-avr
c-compiler-hppa-linux-gnu
本虛擬套件由這些套件填實: gcc-9-hppa-linux-gnu, gcc-10-hppa-linux-gnu
c-compiler-i686-linux-gnu
本虛擬套件由這些套件填實: gcc-10-i686-linux-gnu, gcc-9-i686-linux-gnu
c-compiler-m68k-linux-gnu
本虛擬套件由這些套件填實: gcc-10-m68k-linux-gnu, gcc-9-m68k-linux-gnu
c-compiler-mips-linux-gnu
本虛擬套件由這些套件填實: gcc-10-mips-linux-gnu
c-compiler-mips64-linux-gnuabi64
本虛擬套件由這些套件填實: gcc-10-mips64-linux-gnuabi64
c-compiler-mips64el-linux-gnuabi64
本虛擬套件由這些套件填實: gcc-10-mips64el-linux-gnuabi64
c-compiler-mipsel-linux-gnu
本虛擬套件由這些套件填實: gcc-10-mipsel-linux-gnu
c-compiler-mipsisa32r6-linux-gnu
本虛擬套件由這些套件填實: gcc-10-mipsisa32r6-linux-gnu
c-compiler-mipsisa32r6el-linux-gnu
本虛擬套件由這些套件填實: gcc-10-mipsisa32r6el-linux-gnu
c-compiler-mipsisa64r6-linux-gnuabi64
本虛擬套件由這些套件填實: gcc-10-mipsisa64r6-linux-gnuabi64
c-compiler-mipsisa64r6el-linux-gnuabi64
本虛擬套件由這些套件填實: gcc-10-mipsisa64r6el-linux-gnuabi64
c-compiler-powerpc-linux-gnu
本虛擬套件由這些套件填實: gcc-9-powerpc-linux-gnu, gcc-10-powerpc-linux-gnu
c-compiler-powerpc64-linux-gnu
本虛擬套件由這些套件填實: gcc-10-powerpc64-linux-gnu, gcc-9-powerpc64-linux-gnu
c-compiler-powerpc64le-linux-gnu
本虛擬套件由這些套件填實: gcc-9-powerpc64le-linux-gnu, gcc-10-powerpc64le-linux-gnu
c-compiler-riscv64-linux-gnu
本虛擬套件由這些套件填實: gcc-10-riscv64-linux-gnu, gcc-9-riscv64-linux-gnu
c-compiler-s390x-linux-gnu
本虛擬套件由這些套件填實: gcc-10-s390x-linux-gnu, gcc-9-s390x-linux-gnu
c-compiler-sh4-linux-gnu
本虛擬套件由這些套件填實: gcc-9-sh4-linux-gnu, gcc-10-sh4-linux-gnu
c-compiler-sparc64-linux-gnu
本虛擬套件由這些套件填實: gcc-10-sparc64-linux-gnu, gcc-9-sparc64-linux-gnu
c-compiler-x86-64-linux-gnu
本虛擬套件由這些套件填實: gcc-10-x86-64-linux-gnu, gcc-9-x86-64-linux-gnu
c-compiler-x86-64-linux-gnux32
本虛擬套件由這些套件填實: gcc-10-x86-64-linux-gnux32, gcc-9-x86-64-linux-gnux32
c-icap (1:0.5.6-2)
ICAP server implementation
c-sharp-2.0-compiler
本虛擬套件由這些套件填實: mono-devel
c-sharp-3.0-compiler
本虛擬套件由這些套件填實: mono-devel
c-sharp-4.0-compiler
本虛擬套件由這些套件填實: mono-devel
c-sharp-4.5-compiler
本虛擬套件由這些套件填實: mono-devel
c-sharp-compiler
本虛擬套件由這些套件填實: mono-devel
c-shell
本虛擬套件由這些套件填實: tcsh, csh
c-sig (3.8-24)
Transition package, c-sig to elpa-c-sig
c-sig
本虛擬套件由這些套件填實: elpa-c-sig
c2hs (0.28.6-1+b1)
C->Haskell Interface Generator
c2hs-doc (0.28.6-1)
C->Haskell Interface Generator -- Documentation package
c2x (2.35a+ds-1)
converter between DFT electronic structure codes formats
c3270 (4.0ga12-3)
Curses program for telnet sessions to IBM mainframes
ca-certificates (20210119)
Common CA certificates
ca-certificates-java (20190909+deb11u1)
Common CA certificates (JKS keystore)
ca-certificates-mono (6.8.0.105+dfsg-3.3~deb11u1)
Common CA certificates (Mono keystore)
ca-certificates-udeb (20210119)
Common CA certificates - udeb
cabal-debian (5.0.3-1)
Create a debianization for a cabal package
cabal-install (3.0.0.0-3)
command-line interface for Cabal and Hackage
cabextract (1.9-3)
Microsoft Cabinet file unpacker
caca-utils (0.99.beta19-2.2)
text mode graphics utilities
cachefilesd (0.10.10-0.2)
support fscache on already mounted filesystem
cackey (0.7.10-2)
CAC and PIV Smartcard PKCS #11 cryptographic module
cacti (1.2.16+ds1-2+deb11u3) [security]
web interface for graphing of monitoring systems
cacti-spine (1.2.16-1)
Multi-Threading poller for cacti
cadabra (1.46-5)
field-theory motivated computer algebra system
cadabra2 (2.3.6.8-1)
field-theory motivated computer algebra system
cadaver (0.23.3-2.1+b1)
command-line WebDAV client
cado (0.9.5-1)
Capability Ambient DO
cadubi (1.3.4-3)
Creative ASCII Drawing Utility By Ian
cadvisor (0.38.7+ds1-2+b7)
analyze resource usage and performance characteristics of running containers
cafeobj (1.6.0-2)
new generation algebraic specification and programming language
cafeobj-mode (1.6.0-2)
Emacs major mode for editing CafeOBJ source code
caffe (1.0.0+git20180821.99bd997-8+b2)
Tools for fast, open framework for Deep Learning (CPU_ONLY)
caffe-doc (1.0.0+git20180821.99bd997-8)
Caffe's doxygen docs and examples
caffeine (2.9.8-1)
prevent the desktop becoming idle in full-screen mode
caftools (2.0.3-1) [non-free]
maintenance of DNA sequence assemblies
cairo-5c (1.21)
nickle bindings for the cairo graphics library
cairo-dock (3.4.1+git20201103.0836f5d1-1)
Metapackage for cairo-dock
cairo-dock
本虛擬套件由這些套件填實: cairo-dock-core
cairo-dock-alsamixer-plug-in (3.4.1+git20201022.a0d3415c-1)
Alsamixer plug-in for Cairo-dock
cairo-dock-animated-icons-plug-in (3.4.1+git20201022.a0d3415c-1)
Animated icons plug-in Cairo-dock
cairo-dock-cairo-penguin-plug-in (3.4.1+git20201022.a0d3415c-1)
Cairo-Penguin plug-in for Cairo-dock
cairo-dock-clipper-plug-in (3.4.1+git20201022.a0d3415c-1)
Clipper plug-in for Cairo-dock
cairo-dock-clock-plug-in (3.4.1+git20201022.a0d3415c-1)
Clock plug-in for Cairo-dock
cairo-dock-core (3.4.1+git20201103.0836f5d1-1)
Light eye-candy fully themable animated dock for Linux desktop
cairo-dock-dbus-plug-in (3.4.1+git20201022.a0d3415c-1)
Dbus plug-in for Cairo-dock
cairo-dock-dbus-plug-in-interface-mono (3.4.1+git20201022.a0d3415c-1)
library of D-Bus interface for mono of Cairo-dock
cairo-dock-dbus-plug-in-interface-python (3.4.1+git20201022.a0d3415c-1)
library of D-Bus interface for Python of Cairo-dock
cairo-dock-dbus-plug-in-interface-ruby (3.4.1+git20201022.a0d3415c-1)
library of D-Bus interface for ruby of Cairo-dock
cairo-dock-dbus-plug-in-interface-vala (3.4.1+git20201022.a0d3415c-1)
library of D-Bus interface for vala of Cairo-dock
cairo-dock-desklet-rendering-plug-in (3.4.1+git20201022.a0d3415c-1)
Desklet rendering plug-in for Cairo-dock
cairo-dock-dev (3.4.1+git20201103.0836f5d1-1)
Cairo-dock development file
cairo-dock-dialog-rendering-plug-in (3.4.1+git20201022.a0d3415c-1)
Dialog rendering plug-in for Cairo-dock
cairo-dock-dnd2share-plug-in (3.4.1+git20201022.a0d3415c-1)
Dnd2share plug-in for Cairo-dock
cairo-dock-drop-indicator-plug-in (3.4.1+git20201022.a0d3415c-1)
Drop indicator plug-in for Cairo-dock
cairo-dock-dustbin-plug-in (3.4.1+git20201022.a0d3415c-1)
Dustbin plug-in for Cairo-dock
cairo-dock-folders-plug-in (3.4.1+git20201022.a0d3415c-1)
Folders plug-in Cairo-dock
cairo-dock-gmenu-plug-in (3.4.1+git20201022.a0d3415c-1)
GMenu plug-in for Cairo-dock
cairo-dock-gnome-integration-plug-in (3.4.1+git20201022.a0d3415c-1)
GNOME integration plug-in for Cairo-dock
cairo-dock-icon-effect-plug-in (3.4.1+git20201022.a0d3415c-1)
Icon effect plug-in for Cairo-dock
cairo-dock-illusion-plug-in (3.4.1+git20201022.a0d3415c-1)
Illusion plug-in for Cairo-dock
cairo-dock-impulse-plug-in (3.4.1+git20201022.a0d3415c-1)
Implus plug-in Cairo-dock
cairo-dock-kde-integration-plug-in (3.4.1+git20201022.a0d3415c-1)
KDE integration plug-in for Cairo-dock
cairo-dock-keyboard-indicator-plug-in (3.4.1+git20201022.a0d3415c-1)
Keyboard indicator plug-in for Cairo-dock
cairo-dock-logout-plug-in (3.4.1+git20201022.a0d3415c-1)
Logout plug-in for Cairo-dock
cairo-dock-mail-plug-in (3.4.1+git20201022.a0d3415c-1)
Mail plug-in for Cairo-dock
cairo-dock-messaging-menu-plug-in (3.4.1+git20201022.a0d3415c-1)
Messaging menu plug-in Cairo-dock
cairo-dock-motion-blur-plug-in (3.4.1+git20201022.a0d3415c-1)
Motion blur plug-in for Cairo-dock
cairo-dock-musicplayer-plug-in (3.4.1+git20201022.a0d3415c-1)
Music player plug-in for Cairo-dock
cairo-dock-netspeed-plug-in (3.4.1+git20201022.a0d3415c-1)
Netspeed plug-in for Cairo-dock
cairo-dock-plug-in-data (3.4.1+git20201022.a0d3415c-1)
Cairo-dock - Plug-in data files
cairo-dock-plug-ins (3.4.1+git20201022.a0d3415c-1)
Cairo-dock - All plug-ins
cairo-dock-powermanager-plug-in (3.4.1+git20201022.a0d3415c-1)
Powermanager plug-in for Cairo-dock
cairo-dock-quick-browser-plug-in (3.4.1+git20201022.a0d3415c-1)
Quick browser plug-in for Cairo-dock
cairo-dock-recent-events-plug-in (3.4.1+git20201022.a0d3415c-1)
Recent events plug-in for Cairo-dock
cairo-dock-remote-control-plug-in (3.4.1+git20201022.a0d3415c-1)
Remote control plug-in for Cairo-dock
cairo-dock-rendering-plug-in (3.4.1+git20201022.a0d3415c-1)
Rendering plug-in for Cairo-dock
cairo-dock-rssreader-plug-in (3.4.1+git20201022.a0d3415c-1)
RSS Reader plug-in for Cairo-dock
cairo-dock-shortcuts-plug-in (3.4.1+git20201022.a0d3415c-1)
Shortcuts plug-in for Cairo-dock
cairo-dock-showdesktop-plug-in (3.4.1+git20201022.a0d3415c-1)
Show desktop plug-in for Cairo-dock
cairo-dock-showmouse-plug-in (3.4.1+git20201022.a0d3415c-1)
Showmouse plug-in Cairo-dock
cairo-dock-slider-plug-in (3.4.1+git20201022.a0d3415c-1)
Slider plug-in Cairo-dock
cairo-dock-stack-plug-in (3.4.1+git20201022.a0d3415c-1)
Stack plug-in for Cairo-dock
cairo-dock-switcher-plug-in (3.4.1+git20201022.a0d3415c-1)
Switcher plug-in for Cairo-dock
cairo-dock-system-monitor-plug-in (3.4.1+git20201022.a0d3415c-1)
System Monitor plug-in for Cairo-dock
cairo-dock-systray-plug-in (3.4.1+git20201022.a0d3415c-1)
Systray plug-in for Cairo-dock
cairo-dock-terminal-plug-in (3.4.1+git20201022.a0d3415c-1)
Terminal plug-in for Cairo-dock
cairo-dock-tomboy-plug-in (3.4.1+git20201022.a0d3415c-1)
Tomboy plug-in for Cairo-dock
cairo-dock-toons-plug-in (3.4.1+git20201022.a0d3415c-1)
Toons plug-in for Cairo-dock
cairo-dock-weather-plug-in (3.4.1+git20201022.a0d3415c-1)
Weather plug-in for Cairo-dock
cairo-dock-wifi-plug-in (3.4.1+git20201022.a0d3415c-1)
Wifi plug-in for Cairo-dock
cairo-dock-xfce-integration-plug-in (3.4.1+git20201022.a0d3415c-1)
Xfce integration plug-in for Cairo-dock
cairo-dock-xgamma-plug-in (3.4.1+git20201022.a0d3415c-1)
Xgamma plug-in for Cairo-dock
cairo-perf-utils (1.16.0-5)
Cairo 2D vector graphics library performance utilities
cairosvg (2.5.0-1.1+deb11u2)
SVG to PDF/PS/PNG converter based on Cairo
caja (1.24.0-1)
file manager for the MATE desktop
caja-actions (1.8.3-4)
Caja extension to create custom actions
caja-actions-common (1.8.3-4)
Caja extension to create custom actions (common files)
caja-actions-dev (1.8.3-4)
Caja extension to create custom actions (development files)
caja-admin (0.0.3-1)
Add administrative actions to Caja's right-click menu
caja-common (1.24.0-1)
file manager for the MATE desktop (common files)
caja-dropbox (1.24.0-1) [non-free]
Dropbox integration for Caja
caja-eiciel (1.20.1-2)
Graphical editor for ACLs and xattr for MATE Desktop
caja-extensions-common (1.24.1-1)
Caja extensions (common files)
caja-gtkhash (1.2-1+b3 [amd64], 1.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
caja extension for computing checksums and more using gtkhash
caja-image-converter (1.24.1-1)
Caja extension to mass resize or rotate images
caja-mediainfo (1.0.3+repack1-1)
View media information from the Caja file properties tab
caja-nextcloud (3.1.1-2+deb11u1)
Nextcloud integration for Caja
caja-open-terminal (1.24.1-1)
Caja plugin for opening terminals in arbitrary local paths
caja-rename (20.1.26-1)
Batch renaming extension for Caja
caja-seahorse (1.18.5-1)
Caja extension to encrypt/decrypt OpenPGP files using GnuPG
caja-sendto (1.24.1-1)
integrates email clients and Pidgin into the Caja file manager
caja-share (1.24.1-1)
Allows one to quickly share a folder from the Caja file manager
caja-wallpaper (1.24.1-1)
Allows one to quickly set a wallpaper from folders
caja-xattr-tags (1.24.1-1)
Allows one to view extended attributes
cakephp (2.10.11-2.1)
rapid application development framework for PHP
cakephp-scripts (2.10.11-2.1)
rapid application development framework for PHP (scripts)
calamares (3.2.36-1)
distribution-independent installer framework
calamares-settings
本虛擬套件由這些套件填實: calamares-settings-debian
calamares-settings-debian (11.0.5-2)
Debian theme and settings for the Calamares Installer
calamaris (2.99.4.5-3)
log analyzer for Squid or Oops proxy log files
calc (2.12.7.2-4)
Arbitrary precision calculator
calc-common (2.12.7.2-4)
Arbitrary precision calculator (common files)
calc-dev (2.12.7.2-4)
Library for arbitrary precision arithmetic
calculix-ccx (2.17-3)
Three-Dimensional Structural Finite Element Program
calculix-ccx-doc (2.11-1)
Three-Dimensional Structural Finite Element Program (documentation files)
calculix-ccx-test (2.11-1)
Three-Dimensional Structural Finite Element Program (documentation files)
calculix-cgx (2.17+dfsg-2)
Calculix cgx is a 3-dimensional pre- and post-processor for fem
calculix-cgx-examples (2.17+dfsg-2)
Example files for Calculix GraphiX
calcurse (4.6.0-2)
text-based calendar and todo manager
calendar (12.1.7+nmu3)
display upcoming dates and provide reminders
calf-plugins (0.90.3-1+b1)
Calf Studio Gear - audio effects and sound generators
calibre (5.12.0+dfsg-1+deb11u1)
powerful and easy to use e-book manager
calibre-bin (5.12.0+dfsg-1+deb11u1)
powerful and easy to use e-book manager (binary plugins)
calife (1:3.0.1-6)
Provides super user privileges to specific users
callaudiod (0.1.0-1)
Call audio routing daemon
calligra (1:3.2.1+dfsg-2)
extensive productivity and creative suite
calligra-data (1:3.2.1+dfsg-2)
common shared data for the Calligra Suite
calligra-gemini (1:3.2.1+dfsg-2+b5)
unified interface for stage and words
calligra-gemini-data (1:3.2.1+dfsg-2)
Calligra Gemini - data files
calligra-l10n-bs (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-ca (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-cavalencia (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-cs (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-da (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-de (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-el (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-engb (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-es (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-et (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-fi (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-fr (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-gl (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-hu (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-it (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-ja (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-kk (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-nb (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-nl (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-pl (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-pt (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-ptbr (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-ru (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-sk (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-sv (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-tr (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-uk (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-zhcn (1:3.2.1+dfsg-2)
dummy empty package
calligra-l10n-zhtw (1:3.2.1+dfsg-2)
dummy empty package
calligra-libs (1:3.2.1+dfsg-2+b5)
common libraries and binaries for the Calligra Suite
calligra-reports-web-element (1:3.2.1+dfsg-2)
transitional dummy package for libkreport3-plugin-web
calligraplan (1:3.3.0-1)
integrated project management and planning tool
calligrasheets (1:3.2.1+dfsg-2+b5)
spreadsheet for the Calligra Suite
calligrasheets-data (1:3.2.1+dfsg-2)
data files for Sheets spreadsheet
calligrastage (1:3.2.1+dfsg-2+b5)
presentation program for the Calligra Suite
calligrastage-data (1:3.2.1+dfsg-2)
data files for Calligra Stage
calligrawords (1:3.2.1+dfsg-2+b5)
word processor for the Calligra Suite
calligrawords-data (1:3.2.1+dfsg-2)
data files for Words word processor
callisto (1.1.0-2+b1)
Daemon for e-Callisto hardware
calypso (2.1-1)
CalDAV/CardDAV server with git backend
camera-calibration-parsers-tools (1.12.0-3)
Robot OS camera_calibration_parsers_tools package
camera.app (0.8.0-13)
GNUstep application for digital still cameras
camitk-actionstatemachine (4.1.2-4)
pipeline replay application for the CamiTK library
camitk-config (4.1.2-4)
Computer Assisted Medical Intervention Tool Kit - config
camitk-imp (4.1.2-4)
workbench application for the CamiTK library
caml2html (1.4.4-2+b2)
HTML and LaTeX colored syntax from OCaml source files - executable
camlidl (1.09-1+b1)
Stub code generator for Objective Caml
camlidl-08gt0
本虛擬套件由這些套件填實: camlidl
camlidl-b8aw3
本虛擬套件由這些套件填實: camlidl
camlidl-doc (1.04-5)
Documentation for CamlIDL in PS, PDF and HTML formats
camlidl-ktzt0
本虛擬套件由這些套件填實: camlidl
camlidl-rkmr6
本虛擬套件由這些套件填實: camlidl
camlmix (1.3.1-4+b1)
preprocessor which converts text with embedded OCaml
camlp4 (4.11+1-1)
Pre Processor Pretty Printer for OCaml
camlp4-extra
本虛擬套件由這些套件填實: camlp4
camlp5 (7.13-1+b1)
Pre Processor Pretty Printer for OCaml - classical version
camlp5-2o8n6
本虛擬套件由這些套件填實: camlp5
camlp5-fmbh2
本虛擬套件由這些套件填實: camlp5
camlp5-fue62
本虛擬套件由這些套件填實: camlp5
camlp5-zf8e3
本虛擬套件由這些套件填實: camlp5
camo (2.3.0+dfsg-1.1)
SSL/TLS image proxy to prevent mixed-content warnings
can-utils (2020.11.0-1)
SocketCAN userspace utilities and tools
canadian-ham-exam (1.0.0-3)
practice test for the Canadian Amateur Radio exam
caneda (0.3.1-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.3.1-1 [armel, armhf])
Electronic Design Automation software focused on easy of use and portability
canid (0.0~git20180613.007c9af-2+b16)
Caching Additional Network Information Daemon
canlock (3.2.2-1)
utilities for creating and verifying Usenet cancel locks
canmatrix-utils (0.9.3~github-1)
Handle CAN (Controller Area Network) descriptions - cmdline utilities
canna (3.7p3-16)
input system for Japanese - server and dictionary
canna-shion (0.0.20010204-13)
supporting dictionaries for Canna
canna-utils (3.7p3-16)
input system for Japanese - utilities
cantata (2.4.2.ds1-1)
Qt client for the music player daemon (MPD)
cantor (4:20.12.1-5)
interface for mathematical applications
cantor-backend-julia (4:20.12.1-5)
Julia backend for Cantor
cantor-backend-kalgebra (4:20.12.1-5)
KAlgebra backend for Cantor
cantor-backend-lua (4:20.12.1-5)
Lua backend for Cantor
cantor-backend-maxima (4:20.12.1-5)
Maxima backend for Cantor
cantor-backend-octave (4:20.12.1-5)
Octave backend for Cantor
cantor-backend-python3 (4:20.12.1-5)
Python3 backend for Cantor
cantor-backend-qalculate (4:20.12.1-5)
Qalculate! backend for Cantor
cantor-backend-r (4:20.12.1-5)
R backend for Cantor
cantor-backend-sage (4:20.12.1-5)
Sage backend for Cantor
cantor-backend-scilab (4:20.12.1-5)
Scilab backend for Cantor
canu (2.0+dfsg-1)
single molecule sequence assembler for genomes
capistrano (3.16.0-1)
tool to execute commands in parallel on multiple servers
capnproto (0.7.0-7)
tool for working with the Cap'n Proto data interchange format
cappuccino (0.5.1-9.1)
utility to let your boss think that you're working hard
caps (0.9.26-1)
C* Audio Plugin Suite
capstats (0.29-1)
command-line tool for collecting network interface statistics
capstone-tool (4.0.2-3)
lightweight multi-architecture disassembly framework - command line tool
carbon-c-relay (3.7-3)
Carbon-compatible graphite line mode relay
cardpeek (0.8.4-1.1)
Tool to read the contents of ISO7816 smartcards
cardpeek-data (0.8.4-1.1)
Tool to read the contents of ISO7816 smartcards - data files
care (2.2.1-1+b1)
make linux programs reproducible on all linux systems
cargo (0.47.0-3+b1)
Rust package manager
cargo (= 0.66.0+ds1-1~deb11u1)
本虛擬套件由這些套件填實: cargo-mozilla
cargo-doc (0.47.0-3)
Rust package manager, documentation
cargo-lock (4.0.1-2+b1)
Self-contained Cargo.lock parser
cargo-mozilla (0.66.0+ds1-1~deb11u1)
Rust package manager
caribou (0.4.21-7.1)
Configurable on screen keyboard with scanning mode
caribou-antler (0.4.21-7.1)
Configurable on screen keyboard with scanning mode
carmetal (3.5.2+dfsg-1.2)
dynamic geometry software with highly ergonomic UI
carton (1.0.34-3)
Perl module dependency manager (aka Bundler for Perl)
casacore-data (1.2)
Data for Common Astronomy Software Applications core library
casacore-data-igrf (12-1)
International Geomagnetic Reference Field data for casacore
casacore-data-jpl-de200 (2007.07.05+ds.1-1)
Jet Propulsion Laboratory Development Ephemeris DE200 for casacore
casacore-data-jpl-de405 (2007.07.05+ds.1-1)
Jet Propulsion Laboratory Development Ephemeris DE405 for casacore
casacore-data-lines (0+git2016.11.26-2)
Table of spectral line frequencies for casacore
casacore-data-observatories (0+git2018.12.08-2)
Table of radio observatory coordinates for casacore
casacore-data-sources (2-2)
Table of ICRF reference source coordinates for casacore
casacore-data-tai-utc (1.3)
Difference table between TAI and UTC for casacore
casacore-dev (3.3.0-4+b3)
CASA core library development files
casacore-doc (3.3.0-4)
CASA core library (documentation)
casacore-tools (3.3.0-4+b3)
Tools built with CASA
caspar (20200611-2)
Makefile snippets for centralized configuration management and typesetting
caspar-doc (20200611-2)
documentation for caspar
cassbeam (1.1-3)
Cassegrain antenna modelling
cassiopee (1.0.9-3+b1)
index and search tool in genomic sequences
castle-game-engine-doc (6.4+dfsg1-7)
Castle Game Engine - Developer's Documentation
castle-game-engine-src (6.4+dfsg1-7)
Castle Game Engine - Source code for Lazarus integration
castxml (0.3.6-2)
C-family abstract syntax tree XML output tool
casync (2+20201210-1)
content addressable data synchronizer
cat-bat (5.2.2-1)
taxonomic classification of contigs and metagenome-assembled genomes (MAGs)
cataclysm-dda
本虛擬套件由這些套件填實: cataclysm-dda-sdl, cataclysm-dda-curses
cataclysm-dda-curses (0.E-3-1)
post-apocalyptic roguelike game - curses interface
cataclysm-dda-data (0.E-3-1)
post-apocalyptic roguelike game - data files
cataclysm-dda-sdl (0.E-3-1)
post-apocalyptic roguelike game - SDL interface
catatonit (0.1.5-2)
init process for containers
catch (1.12.1-1.1)
C++ Automated Test Cases in Headers
catch2 (2.13.4-2+b1 [amd64], 2.13.4-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C++ Automated Test Cases in Headers
catcodec (1.0.5-3)
tool to decode/encode the sample catalogue for OpenTTD
catdoc (1:0.95-4.1)
text extractor for MS-Office files
catdvi (0.14-12.1+b1)
DVI to plain text translator
catfish (4.16.0-1)
File searching tool which is configurable via the command line
catimg (2.7.0-1)
fast image printing in to your terminal
catkin (0.8.9-2)
Low-level build system macros and infrastructure for Robot OS
catkin-lint (1.6.12-1)
Check Robot OS catkin packages for common errors
cava (0.7.3-1)
Console-based Audio Visualizer for Alsa
caveconverter (0~20170114-6)
Cave survey data format converter
caveexpress (2.5.1-1)
2D platformer with physics-based gameplay
caveexpress-data (2.5.1-1)
2D platformer with physics-based gameplay --data
cavepacker (2.5.1-1)
sokoban game with network based multiplayer gaming
cavepacker-data (2.5.1-1)
sokoban game with network based multiplayer gaming --data
cavezofphear (0.5.1-1.1)
ASCII Boulder Dash clone
cb2bib (2.0.1-1)
extract bibliographic references from various sources
cba (0.3.6-5)
Continuous Beam Analysis
cbatticon (1.6.9-1+b1 [amd64], 1.6.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lightweight and fast battery icon status and more
cbedic (4.0-4+b1) [contrib]
Text-mode Bulgarian/English Dictionary
cbflib-bin (0.9.6+dfsg1-2+b2)
utilities to manipulate CBF files
cbflib-doc (0.9.6+dfsg1-2)
documentation for CBFlib
cbindgen (0.24.3-2~deb11u1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x], 0.20.0-1~deb11u1 [armel])
Generates C bindings from Rust code
cbios (0.28-1.1)
open source MSX BIOS roms
cbm (0.3-1)
display in real time the network traffic speed
cbmc (5.12-5)
bounded model checker for C and C++ programs
cbootimage (1.8-1)
Tools to dump and generate boot config table on Tegra devices
cbp2make (147+dfsg-4)
Makefile generation tool for the Code::Blocks IDE
cc-tool (0.27-1+b1)
support for Texas Instruments CC Debugger
cc1541 (3.2-1+b1 [amd64], 3.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
tool for creating Commodore Floppy disk images in D64, G64, D71 or D81 format
cc65 (2.19-1)
complete cross development package for 65(C)02 systems
cc65-doc (2.19-1)
cc65 documentation
ccache (4.2-1)
Compiler cache for fast recompilation of C/C++ code
ccal (4.0-4+b1 [i386], 4.0-4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Colorised calendar utility
ccbuild (2.0.7+git20160227.c1179286-3)
source scanning build utility for C++
cccc (1:3.1.4-12)
C and C++ Code Counter, a software metrics tool
cccd (0.3beta4-7.1+b1)
Small GTK+ CD player program
ccd2iso (0.3-7+b1)
Converter from CloneCD disc image format to standard ISO
ccdiff (0.30-1)
Colored Character Diff
ccextractor (0.88+ds1-1)
fast closed captions extractor for MPEG and H264 files
cciss-vol-status (1.12a-2)
HP SmartArray RAID Volume Status Checker
cclib (1.6.2-2)
Parsers and algorithms for computational chemistry
cclib-data (1.6.2-2) [non-free]
Parsers and algorithms for computational chemistry (data files)
cclive (0.9.3-0.2)
lightweight command line video extraction tool
ccls (0.20210330-1)
C/C++/ObjC language server
cconv (0.6.2-1.1+b2)
simplified-traditional chinese conversion tool
ccrypt (1.11-2)
secure encryption and decryption of files and streams
cct (1:1.0.0-1)
visually comparing bacterial, plasmid, chloroplast, or mitochondrial sequences
cct-examples (1:1.0.0-1)
example data for testing the package cct
ccze (0.2.1-5)
robust, modular log coloriser
cd-circleprint (0.7.0-5.1)
prints round cd-labels
cd-discid (1.4-1+b1)
CDDB DiscID utility
cd-hit (4.8.1-3)
suite of programs designed to quickly group sequences
cd-paranoia (10.2+2.0.0-1+b2)
audio CD reading utility which includes extra data verification features
cd5 (0.1-4+b1 [amd64], 0.1-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Compute checksum of individual track on CD-ROMS
cdargs (1.35-12)
bookmarks and browsing for the cd command
cdbackup (0.7.1-1+b1)
CD-R(W) backup utility
cdbfasta (1.00+git20181005.014498c+dfsg-2)
Constant DataBase indexing and retrieval tools for multi-FASTA files
cdbs (0.4.163)
common build system for Debian packages
cdcd (0.6.6-13.1+b4)
command line or console based CD player
cdcd-dbg (0.6.6-13.1+b4)
command line or console based CD player (debug)
cdck (0.7.0+dfsg-3)
tool for verifying the quality of written CDs/DVDs
cdcover (0.9.1-13+b1 [amd64], 0.9.1-13 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Creating Data-CD Covers
cdda2wav
本虛擬套件由這些套件填實: icedax
cdde (0.3.1-1+b2)
CD Detect & Execute utility
cdebconf (0.260)
Debian Configuration Management System (C-implementation)
cdebconf-entropy
本虛擬套件由這些套件填實: cdebconf-gtk-entropy, cdebconf-newt-entropy, cdebconf-text-entropy
cdebconf-gtk (0.260)
Gtk+ frontend for Debian Configuration Management System
cdebconf-gtk-entropy (0.48)
cdebconf gtk plugin for reading from /dev/random
cdebconf-gtk-terminal (0.41)
cdebconf gtk plugin displaying a terminal
cdebconf-gtk-udeb (0.260)
Gtk+ frontend for Debian Configuration Management System
cdebconf-newt-entropy (0.48)
cdebconf newt plugin for reading from /dev/random
cdebconf-newt-terminal (0.41)
cdebconf newt plugin to provide a clean terminal
cdebconf-newt-udeb (0.260)
Newt frontend for Debian Configuration Management System
cdebconf-priority (0.260)
Change debconf priority
cdebconf-terminal
本虛擬套件由這些套件填實: cdebconf-newt-terminal, cdebconf-gtk-terminal
cdebconf-text-entropy (0.48)
cdebconf text plugin for reading from /dev/random
cdebconf-text-udeb (0.260)
Plain text frontend for Debian Configuration Management System
cdebconf-udeb (0.260)
Debian Configuration Management System (C-implementation)
cdebootstrap (0.7.8+b3)
Bootstrap a Debian system
cdebootstrap-static (0.7.8+b3)
Bootstrap a Debian system - static binary
cdecl (2.5-13+b3)
Turn English phrases to C or C++ declarations
cdftools (3.0.2-4)
Diagnostic tools for NEMO netCDF output
cdist (6.9.4-1)
Usable Configuration Management System
cdist-doc (6.9.4-1)
Usable Configuration Management System (html documentation)
cdlabelgen (4.3.0-1.1)
generates front cards and tray cards for CDs and DVDs
cdo (1.9.10-1)
Climate Data Operators
cdparanoia (3.10.2+debian-13.1)
audio extraction tool for sampling CDs
cdpr (2.4-3)
Cisco Discovery Protocol Reporter
cdr2odg (0.9.6-2)
Corel Draw graphics to OpenDocument converter
cdrdao (1:1.2.4-2)
records CDs in Disk-At-Once (DAO) mode
cdrecord
本虛擬套件由這些套件填實: wodim
cdrkit-doc (9:1.1.11-3.2)
Documentation for the cdrkit package suite
cdrom-checker (1.54)
Verify the cd contents
cdrom-core-modules
本虛擬套件由這些套件填實: cdrom-core-modules-5.10.0-26-loongson-3-di, cdrom-core-modules-5.10.0-28-octeon-di, cdrom-core-modules-5.10.0-26-armmp-di, cdrom-core-modules-5.10.0-28-armmp-di, cdrom-core-modules-5.10.0-27-amd64-di, cdrom-core-modules-5.10.0-25-686-pae-di, cdrom-core-modules-5.10.0-27-686-pae-di, cdrom-core-modules-5.10.0-26-s390x-di, cdrom-core-modules-5.10.0-28-s390x-di, cdrom-core-modules-5.10.0-28-marvell-di, cdrom-core-modules-5.10.0-25-powerpc64le-di, cdrom-core-modules-5.10.0-26-octeon-di, cdrom-core-modules-5.10.0-26-marvell-di, cdrom-core-modules-5.10.0-25-armmp-di, cdrom-core-modules-5.10.0-25-marvell-di, cdrom-core-modules-5.10.0-27-686-di, cdrom-core-modules-5.10.0-28-686-pae-di, cdrom-core-modules-5.10.0-27-4kc-malta-di, cdrom-core-modules-5.10.0-27-arm64-di, cdrom-core-modules-5.10.0-28-amd64-di, cdrom-core-modules-5.10.0-27-5kc-malta-di, cdrom-core-modules-5.10.0-27-armmp-di, cdrom-core-modules-5.10.0-27-octeon-di, cdrom-core-modules-5.10.0-28-686-di, cdrom-core-modules-5.10.0-26-powerpc64le-di, cdrom-core-modules-5.10.0-25-s390x-di, cdrom-core-modules-5.10.0-28-loongson-3-di, cdrom-core-modules-5.10.0-25-amd64-di, cdrom-core-modules-5.10.0-27-s390x-di, cdrom-core-modules-5.10.0-26-4kc-malta-di, cdrom-core-modules-5.10.0-25-loongson-3-di, cdrom-core-modules-5.10.0-28-4kc-malta-di, cdrom-core-modules-5.10.0-27-loongson-3-di, cdrom-core-modules-5.10.0-26-arm64-di, cdrom-core-modules-5.10.0-25-686-di, cdrom-core-modules-5.10.0-28-5kc-malta-di, cdrom-core-modules-5.10.0-27-marvell-di, cdrom-core-modules-5.10.0-25-arm64-di, cdrom-core-modules-5.10.0-26-amd64-di, cdrom-core-modules-5.10.0-26-5kc-malta-di, cdrom-core-modules-5.10.0-25-5kc-malta-di, cdrom-core-modules-5.10.0-27-powerpc64le-di, cdrom-core-modules-5.10.0-26-686-di, cdrom-core-modules-5.10.0-28-arm64-di, cdrom-core-modules-5.10.0-26-686-pae-di, cdrom-core-modules-5.10.0-25-4kc-malta-di, cdrom-core-modules-5.10.0-25-octeon-di, cdrom-core-modules-5.10.0-28-powerpc64le-di
cdrom-core-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-686-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
CDROM support
cdrom-core-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-686-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-686-pae-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-amd64-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-arm64-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-armmp-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-loongson-3-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-marvell-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-octeon-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-26-s390x-di (5.10.197-1)
CDROM support
cdrom-core-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-686-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
CDROM support
cdrom-core-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-686-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-686-pae-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-amd64-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-arm64-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-armmp-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-loongson-3-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-marvell-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-octeon-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
CDROM support
cdrom-core-modules-5.10.0-28-s390x-di (5.10.209-2)
CDROM support
cdrom-detect (1.98)
Detect CDROM devices and mount the CD
cdrom-detect
本虛擬套件由這些套件填實: iso-scan
cdrom-retriever (1.58)
Fetch modules from a CDROM
cdrskin (1.5.2-1)
command line CD/DVD/BD writing tool
cdtool (2.1.8-release-8)
text-based audio CD player and CD-ROM control commands
cdw (0.8.1-1+b5)
Tool for burning CD's - console version
cec-utils (6.0.2-2)
USB CEC Adaptor communication Library (utility programs)
cecilia (5.4.1-1)
Sound synthesis and audio signal processing environment
cedar-backup3 (3.6.3-1)
local and remote backups to CD/DVD media or Amazon S3 storage
cedar-backup3-doc (3.6.3-1)
local and remote backups to CD/DVD media or Amazon S3 storage (documentation)
ceferino (0.97.8+svn37-2+b1)
action game similar to Super Pang
ceferino-data (0.97.8+svn37-2)
action game similar to Super Pang
cegui-mk2-0.8.7 (0.8.7-9+b2)
Crazy Eddie's GUI (executables)
ceilometer-agent-central (1:15.0.0-3)
OpenStack efficient metering counters system - agent central
ceilometer-agent-compute (1:15.0.0-3)
OpenStack efficient metering counters system - compute agent
ceilometer-agent-ipmi (1:15.0.0-3)
OpenStack efficient metering counters system - IPMI agent
ceilometer-agent-notification (1:15.0.0-3)
OpenStack efficient metering counters system - notification agent
ceilometer-common (1:15.0.0-3)
OpenStack efficient metering counters system - common files
ceilometer-doc (1:15.0.0-3)
OpenStack efficient metering counters system - doc
ceilometer-polling (1:15.0.0-3)
OpenStack efficient metering counters system - Polling agent
celery (5.0.0-3)
async task/job queue based on message passing (common files)
celluloid (0.20-2)
simple GTK+ frontend for mpv
cellwriter (1.3.6-3)
grid-entry handwriting input panel
cen64 (0.3+git20200723-1)
Cycle-Accurate Nintendo 64 Simulator
cen64-qt (20200219-alpha-1)
Cross-platform graphical frontend for the CEN64 emulator
ceni (2.33-2)
Curses interface to /etc/network/interfaces
cenon.app (4.0.6+ds1-2+b2)
Vector graphics tool for GNUstep
cenon.app-common (4.0.6+ds1-2)
Vector graphics tool for GNUstep (common files)
centreon-connector-perl (19.10.0-1+b1)
Network, system, applicative supervision and monitoring - perl connector
centreon-connector-ssh (19.10.0-1+b1)
Network, system, applicative supervision and monitoring - ssh connector
centrifuge (1.0.3-8)
rapid and memory-efficient system for classification of DNA sequences
ceph (14.2.21-1)
distributed storage and file system
ceph-base (14.2.21-1)
common ceph daemon libraries and management tools
ceph-common (14.2.21-1)
common utilities to mount and interact with a ceph storage cluster
ceph-fuse (14.2.21-1)
FUSE-based client for the Ceph distributed file system
ceph-iscsi (3.4-1)
common logic and CLI tools for creating and managing LIO gateways for Ceph
ceph-mds (14.2.21-1)
metadata server for the ceph distributed file system
ceph-mgr (14.2.21-1)
manager for the ceph distributed file system
ceph-mgr-dashboard (14.2.21-1)
dashboard plugin for ceph-mgr
ceph-mgr-diskprediction-cloud (14.2.21-1)
diskprediction-cloud plugin for ceph-mgr
ceph-mgr-diskprediction-local (14.2.21-1)
diskprediction-local plugin for ceph-mgr
ceph-mgr-k8sevents (14.2.21-1)
kubernetes events plugin for ceph-mgr
ceph-mgr-rook (14.2.21-1)
rook plugin for ceph-mgr
ceph-mgr-ssh (14.2.21-1)
ssh orchestrator plugin for ceph-mgr
ceph-mon (14.2.21-1)
monitor server for the ceph storage system
ceph-osd (14.2.21-1)
OSD server for the ceph storage system
ceph-resource-agents (14.2.21-1)
OCF-compliant resource agents for Ceph
ceph-tools
本虛擬套件由這些套件填實: open-infrastructure-ceph-tools
cephfs-shell (14.2.21-1)
interactive shell for the Ceph distributed file system
cereal (0.24-1.1)
automated, logged serial terminal management system
ceres-solver-doc (1.14.0-14)
documentation for ceres-solver
certbot (1.12.0-2)
automatically configure HTTPS using Let's Encrypt
certmonger (0.79.13-3)
D-Bus -based service to simplify interaction with certificate authorities
certspotter (0.10-1+b5)
Certificate Transparency Log Monitor
cervisia (4:20.12.0-2)
graphical CVS client
ceve
本虛擬套件由這些套件填實: dose-extra
cewl (5.4.9-2)
custom word list generator
cfengine3 (3.15.2-3)
tool for configuring and maintaining network machines
cfi-en (3.0-10.1)
Copyright does not exist, book about hacker culture
cfi-sv (3.0-10.1)
Copyright finns inte, book about hacker culture
cfingerd (1.4.3-5)
configurable finger daemon
cflow (1:1.6-4)
control flow analyzer for C source files
cflow-doc (1:1.6-4)
control flow analyzer for C source files (documentation)
cflow-l10n (1:1.6-4)
control flow analyzer for C source files (translation files)
cfortran (20110621-1)
Header file permitting Fortran routines to be called in C/C++
cfourcc (0.1.2-11)
command line tool for changing FourCC in Microsoft RIFF AVI files
cg3 (1.3.2-1)
Tools for using the 3rd edition of Constraint Grammar (CG-3)
cg3-dev (1.3.2-1)
Metapackage providing both CG-3 CLI dev tools and dev library
cgdb (0.6.7-2+b5)
curses-based interface to the GNU Debugger (GDB)
cgi-mapserver (7.6.2-1)
CGI executable for MapServer
cgilib (0.6-1.1+b1 [amd64], 0.6-1.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple CGI Library
cgit (1.2.3+git2.25.1-1)
hyperfast web frontend for git repositories written in C
cgns-convert (3.4.0-3)
CFD General Notation System - Conversion tools
cgoban (1.9.14-19)
complete Go board
cgpt (0~R88-13597.B-1)
GPT manipulation tool with support for Chromium OS extensions
cgreen1 (1.3.0-2)
Cgreen Library - Library tool
cgroup-tools (0.41-11)
control and monitor control groups (tools)
cgroupfs-mount (1.4)
Light-weight package to set up cgroupfs mounts
cgvg (1.6.2-4)
command-line source browsing tool
cgview (0.0.20100111-7)
Circular Genome Viewer
ch5m3d (1.2.5+dfsg-2.1)
create and visualize 3-dimensional drawings of simple molecules
chado-utils (1.31-6)
tools to add/extract data from Chado
chafa (1.6.0-1)
Image-to-text converter supporting a wide range of symbols, etc.
chai (4.2.0+ds+~4.2.14-4)
assertion library for Node.js
chake (0.81.1-1)
serverless configuration management tool for chef
chalow (1.0-6)
weblog tool that converts ChangeLog to HTML
chameleon-cursor-theme (0.5-8)
modern but not gaudy X11 mouse theme
changeme (1.2.3-2)
Default credential scanner
changeo (1.0.2-1)
Repertoire clonal assignment toolkit (Python 3)
changetrack (4.7-8)
monitor changes to (configuration) files
chaosread (1.1-2+b1 [amd64], 1.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Directly read ChaosKey noise source
chaosreader (0.96-8)
trace network sessions and export it to html format
charactermanaj (0.998+git20190331.e45260e3-1)
avatar editor application
charliecloud (0.21-1)
user-defined software stacks (UDSS) for HPC centers (metapackage)
charliecloud-builders (0.21-1)
user-defined software stacks (UDSS) for HPC centers (builders)
charliecloud-common (0.21-1)
user-defined software stacks (UDSS) for HPC centers (common files)
charliecloud-doc (0.21-1)
user-defined software stacks (UDSS) for HPC centers (documentation)
charliecloud-runtime (0.21-1)
user-defined software stacks (UDSS) for HPC centers (runtime tools)
charliecloud-tests (0.21-1)
user-defined software stacks (UDSS) for HPC centers (test suite)
charmap.app (0.3~rc1-4+b1)
Character map for GNUstep
charmap.app-common (0.3~rc1-4)
Character map for GNUstep (arch-independent files)
charmtimetracker (1.12.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.12.0-1 [armel, armhf])
task based Time Tracker
charon-cmd (5.9.1-1+deb11u4)
standalone IPsec client
charon-systemd (5.9.1-1+deb11u4)
strongSwan IPsec client, systemd support
chase (0.5.2-4+b3)
Follow a symlink and print out its target file
chasen (2.4.5-44+b1)
Japanese Morphological Analysis System
chasen-dic
本虛擬套件由這些套件填實: naist-jdic, naist-jdic-utf8
chasen-dictutils (2.4.5-44+b1)
Japanese Morphological Analysis System - utilities for dictionary
chasquid (1.6-1+b5)
simple SMTP (email) server written in go
chatty (0.2.0-1+b1)
XMPP and SMS messaging
chaussette (1.3.0+git20170419+82ac44a-0.4)
WSGI Server for Circus
check (0.15.2-2)
unit test framework for C
check-manifest (0.46-1)
Tool to check the completeness of MANIFEST.in for Python packages (Python 3)
check-pgactivity (2.5-1)
PostgreSQL plugin for Nagios
check-pgbackrest (1.9-2)
pgBackRest backup check plugin for Nagios
check-postgres (2.25.0-1)
script for monitoring PostgreSQL databases
checkinstall (1.6.2+git20170426.d24a630-2)
installation tracker
checkit-tiff (0.2.3-2)
conformance checker for baseline TIFFs
checkpolicy (3.1-1)
SELinux policy compiler
checkpw (1.02-1.1+b1)
checks password which is stored in ~/Maildir/.password
checksec (2.4.0-1)
Bash script to test executable properties
checksecurity (2.0.16+nmu2)
basic system security checks
checkstyle (8.36.1-1)
checks Java source against a coding standard
checkstyle-doc (8.36.1-1)
Documentation for Checkstyle
cheese (3.38.0-3)
tool to take pictures and videos from your webcam
cheese-common (3.38.0-3)
Common files for the Cheese tool to take pictures and videos
cheesecutter (2.9+git20191210-2)
SID music editor
chemeq (2.19-1+b1 [amd64], 2.19-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Parser for chemical formula and equilibria
chemical-mime-data (0.1.94-7.1)
chemical MIME and file type support for desktops
chemical-structures (2.2.dfsg.0-18)
web service providing molecular structures in open formats
chemical-structures-data (2.2.dfsg.0-18)
set of molecular structures in open formats
chemicalmime
本虛擬套件由這些套件填實: chemical-mime-data
chemps2 (1.8.10-2)
Executable to call libchemps2-3 from the command line
chemps2-doc (1.8.10-2)
Documentation of the libchemps2-3 package
chemtool (1.6.14-6)
chemical structures drawing program
cherrytree (0.99.30+dfsg-1)
hierarchical note taking application
chess.app (2.8-4)
Chess for GNUstep ported from OPENSTEP
chessx (1.4.6-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.4.6-2 [armel, armhf])
chess database
chewing-editor (0.1.1-3+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.1.1-3 [armel, armhf])
user dictionary editor for the chewing input method
chewmail (1.3-1.1)
mail archiver for various mailbox formats
chezdav (2.5-1)
simple tool to share a directory with WebDAV
chezscheme (9.5.4+dfsg-4)
Reliable, high performance Scheme compiler
chezscheme-dev (9.5.4+dfsg-4)
Reliable, high performance Scheme compiler (C development files)
chezscheme-doc (9.5.4+dfsg-4)
Reliable, high performance Scheme compiler (documentation)
chiaki (2.1.0-1.1)
PlayStation remote play client
chiark-backup (6.1.2+nmu1)
backup system for small systems and networks
chiark-really (6.1.2+nmu1)
really - a tool for gaining privilege (simple, realistic sudo)
chiark-rwbuffer (6.1.2+nmu1)
readbuffer/writebuffer: prevents tape drive seesawing, etc.
chiark-scripts (6.1.2+nmu1)
chiark system administration scripts
chiark-tcl-applet (1.0-2)
Tray widget for battery monitor and other X11 programs
chiark-utils-bin (6.1.2+nmu1)
chiark system administration utilities
chicken-bin (5.2.0-2)
Practical and portable Scheme system - compiler
chimeraslayer (20101212+dfsg1-4)
detects likely chimeras in PCR amplified DNA
chip-seq (1.5.5-3)
tools performing common ChIP-Seq data analysis tasks
chip-seq-data (1.5.5-3)
tools performing common ChIP-Seq data analysis tasks (data)
chipmunk-dev (7.0.3-3)
fast, lightweight 2D rigid body physics library, transitional package
chirp (1:20200227+py3+20200213-3)
Configuration tool for amateur radios
chise-db (0.3.0-2.1)
CHISE db, the character information database
chkboot (1.3-7)
detection of malicious changes for boot files
chkrootkit (0.54-1+b2)
rootkit detector
chktex (1.7.6-4)
Finds typographic errors in LaTeX
chntpw (1.0-1.1)
NT SAM password recovery utility
chocolate-doom (3.0.1-1)
Doom engines closely-compatible with Vanilla Doom
chocolate-heretic
本虛擬套件由這些套件填實: chocolate-doom
chocolate-hexen
本虛擬套件由這些套件填實: chocolate-doom
chocolate-strife
本虛擬套件由這些套件填實: chocolate-doom
choose-mirror (2.111)
Choose mirror to install from (menu item)
choose-mirror-bin (2.111)
Choose mirror to install from (program)
choosewm (0.1.6-3+b2)
fake x-session-manager allowing the user to choose a wm
choqok (1.7.0-1)
KDE micro-blogging client
chordii (4.5.3+repack-0.2)
Text file (chordpro format) to music sheet converter
chrome-gnome-shell (10.1-5)
GNOME Shell extensions integration for web browsers
chromhmm (1.21+dfsg-1)
Chromatin state discovery and characterization
chromhmm-example (1.21+dfsg-1)
Chromatin state discovery and characterization (example)
chromimpute (1.0.3+dfsg-2)
Large-scale systematic epigenome imputation
chromium (120.0.6099.224-1~deb11u1)
web browser
chromium-bsu (0.9.16.1-2)
fast paced, arcade-style, scrolling space shooter
chromium-bsu-data (0.9.16.1-2)
data pack for the Chromium B.S.U. game
chromium-common (120.0.6099.224-1~deb11u1)
web browser - common resources used by the chromium packages
chromium-driver (120.0.6099.224-1~deb11u1)
web browser - WebDriver support
chromium-l10n (120.0.6099.224-1~deb11u1)
web browser - language packs
chromium-lwn4chrome (1.0-3)
Chromium extension for making LWN.net slightly easier to read
chromium-sandbox (120.0.6099.224-1~deb11u1)
web browser - setuid security sandbox for chromium
chromium-shell (120.0.6099.224-1~deb11u1)
web browser - minimal shell
chromium-tt-rss-notifier (0.5.2-2.1)
Chromium extension providing toolbar button for TT-RSS installations
chronicle (4.6-2.1)
HTML & RSS blog compiler
chrony (4.0-8+deb11u2)
Versatile implementation of the Network Time Protocol
chrootuid (1.3-6+b2)
Run commands in restricted environments
chrpath (0.16-2+b1)
Tool to edit the rpath in ELF binaries
chuck (1.2.0.8.dfsg-1.5)
Concurrent, On-the-fly Audio Programming Language
cider-doc (0.19.0+dfsg-2.1)
Clojure IDE for Emacs - documentation
ciderwebmail (1.05+20191006-1)
IMAP webmail service
cif-linguist (0.4.2-2)
transform CIF data among CIF formats and dialects
cif-tools (1.0.0-3+b1)
Suite of tools to manipulate, validate and query mmCIF files
cifs-utils (2:6.11-3.1+deb11u2)
Common Internet File System utilities
cil (0.07.00-12)
command line issue tracker
cil-disassembler
本虛擬套件由這些套件填實: mono-utils
cimg-dev (2.9.4+dfsg-2)
powerful image processing library
cimg-doc (2.9.4+dfsg-2)
documentation of cimg-dev imaging library
cimg-examples (2.9.4+dfsg-2)
examples for cimg-dev imaging library
cinder-api (2:17.0.1-1+deb11u1)
OpenStack block storage system - API server
cinder-backup (2:17.0.1-1+deb11u1)
OpenStack block storage system - Backup server
cinder-common (2:17.0.1-1+deb11u1)
OpenStack block storage system - common files
cinder-doc (2:17.0.1-1+deb11u1)
OpenStack block storage system - doc
cinder-scheduler (2:17.0.1-1+deb11u1)
OpenStack block storage system - Scheduler server
cinder-tempest-plugin (1.2.0-2)
OpenStack Integration Test Suite - Cinder plugin
cinder-volume (2:17.0.1-1+deb11u1)
OpenStack block storage system - Volume server
cinnamon (4.8.6-2+deb11u1)
Innovative and comfortable desktop
cinnamon-capplets-data
本虛擬套件由這些套件填實: cinnamon-control-center-data
cinnamon-common (4.8.6-2+deb11u1)
Innovative and comfortable desktop (Common data files)
cinnamon-control-center (4.8.2-1)
configuration applets for the Cinnamon desktop
cinnamon-control-center-data (4.8.2-1)
configuration applets for Cinnamon - data files
cinnamon-control-center-goa (4.8.2-1)
configuration applets for the Cinnamon desktop - Gnome Online Account
cinnamon-core (4.8.3)
Cinnamon desktop environment - essential components
cinnamon-desktop-data (4.8.1-2)
Common files for Cinnamon desktop apps
cinnamon-desktop-environment (4.8.3)
Cinnamon desktop environment - full desktop with extra components
cinnamon-doc (4.8.6-2+deb11u1)
Innovative and comfortable desktop (Documentation)
cinnamon-l10n (4.8.3-1)
Translation files for the Cinnamon desktop
cinnamon-screensaver (4.8.1-3)
Cinnamon screen saver and locker
cinnamon-session (4.8.0-3)
Cinnamon Session Manager - Minimal runtime
cinnamon-session-common (4.8.0-3)
Cinnamon Session Manager - common files
cinnamon-settings-daemon (4.8.5-1)
daemon handling the Cinnamon session settings
cinnamon-settings-daemon-dev (4.8.5-1)
headers for building applications communicating with cinnamon-settings-daemon
circlator (1.5.6-5)
circularize genome assemblies
circle-backend (0.173320-2)
server backend for the Circle IRC client
circos (0.69.9+dfsg-2)
plotter for visualizing data
circos-tools (0.23-1)
plotter for visualizing data - helper utilities
circuit-macros (9.5.0-1)
Macros for drawing electric circuits
circuslinux (1.0.3-34.1)
Clowns are trying to pop balloons to score points!
circuslinux-data (1.0.3-34.1)
data files for circuslinux
citadel-client (916-2+b1 [amd64], 916-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
complete and feature-rich groupware server (command line client)
citation-style-language-locales (0~20210218.81e7a4d-1)
Citation Style Language (CSL) citation locales
citation-style-language-styles (0~20210228.744de6d-1)
Citation Style Language (CSL) citation styles
civetweb (1.13+dfsg-5)
embeddable web server with optional CGI, SSL and Lua support
civicrm-common (5.33.2+dfsg1-1)
CiviCRM common files
civicrm-l10n (5.33.2+dfsg1-1)
CiviCRM country and language data
cjk-latex (4.8.4+git20170127-3)
installs all LaTeX CJK packages - dummy package
cjk-latex
本虛擬套件由這些套件填實: latex-cjk-common
cjs (4.8.2-1)
Mozilla-based javascript bindings for the GNOME platform
ckati (10.0.0+r32-6)
Experimental GNU make clone
ckb-next (0.4.3+dfsg.1-0.1)
driver for Corsair keyboards and mice
ckbuilder (2.3.2+dfsg-2)
command line builder for CKEditor
ckeditor (4.16.0+dfsg-2)
text editor which can be embedded into web pages
ckeditor3 (3.6.6.1+dfsg-7)
text editor for internet
ckermit (305~alpha02-1)
serial and network communications package
ckon (0.7.1-3+b7 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 0.7.1-3+b6 [mips64el])
automatic build tool for ROOT data analysis software
ckport (0.1~rc1-9)
portability analysis and security checking tool
ckport-database (0.1~rc1-9)
portability analysis and security checking tool (Database files)
cksfv (1.3.14-3+b1 [amd64], 1.3.14-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
sfv checker and generator
cl-abnf (20150608-1.1)
Common Lisp ABNF parser generator
cl-acl-compat (20190720.gitcac1d69+dfsg-1)
Compatibility layer for Allegro Common Lisp
cl-actionlib (1.13.2-6)
Robot OS actionlib library - LISP interface
cl-actionlib-msgs (1.13.0-2)
Messages relating to Robot OS actionlib, LISP interface
cl-alexandria (20200821.gitf35e232-1)
collection of portable Common Lisp utilities
cl-anaphora (20190828.git018590d-1)
Common Lisp Anaphoric Macro Collection
cl-asdf (2:3.3.4-2)
Another System Definition Facility
cl-asdf-finalizers (20170403-1.1)
Enforced finalization of ASDF Common Lisp components
cl-asdf-flv (2.1-2)
file-local variables through Common Lisp's ASDF
cl-asdf-system-connections (20170124-1.1)
Allows for ASDF system to be connected so that auto-loading may occur
cl-aserve (20190720.gitcac1d69+dfsg-1)
Portable Aserve
cl-babel (20200719.gitf892d05-1)
charset encoding/decoding library for Common Lisp
cl-base64 (3.4.0-1)
Common Lisp package to encode and decode base64 with URI support
cl-bond (1.8.6-3)
Messages related to Robot OS bond_core - LISP
cl-bordeaux-threads (0.8.8-4)
Portable threads library for Common Lisp
cl-brlapi (6.3+dfsg-1+deb11u1)
Common Lisp bindings for BrlAPI
cl-cffi (1:0.23.0-1)
Common Foreign Function Interface for Common Lisp
cl-chipz (20190121.git0f6c9ea-1)
decompress DEFLATE and BZIP2 data in Common Lisp
cl-chunga (20200403.gitcb333cd-1)
Portable chunked streams for Common Lisp
cl-closer-mop (2:20201029.git91f877a-1)
Cross Implementation AMOP library
cl-closure-common (20101107-1.1)
Shared code for Closure XML and Closure HTML
cl-cluck (0.1.3.1-1)
Common Lisp Microcontroller Clock Calculator
cl-clx-sbcl (0.7.4.20160323-1.1)
X11 Common Lisp client library for SBCL
cl-command-line-arguments (20151218-1.1)
get command line arguments in Common Lisp
cl-containers (20170403-1.1)
Common Lisp containers data structures
cl-contextl (1:20200201.git5d18a71-1)
context orientation for Common Lisp
cl-csv (20200929.git68ecb5d-1)
Common Lisp library providing easy CSV reading and writing
cl-curry-compose-reader-macros (20171227-1.1)
Reader macros for function partial application and composition.
cl-cxml (20110619-2.1)
XML parser written in Common Lisp
cl-daemon (20170403-1.1)
make lisp process daemonize on unix like platform
cl-db3 (20200212-1)
Common Lisp lib to read dbf files version 3
cl-diagnostic-msgs (1.13.0-2)
Messages relating to Robot OS diagnostic, LISP interface
cl-drakma (2.0.7-1)
Common Lisp HTTP client
cl-dynamic-classes (20130128-2.1)
Common Lisp Dynamic Classes
cl-dynamic-reconfigure (1.7.1-3)
Robot OS dynamic-reconfigure library - LISP bindings
cl-esrap (20180430-1.1)
Packrat Parsing library for Common Lisp
cl-fad (20200513.git1c15a90-1)
portable pathname library for Common Lisp
cl-fftw3 (1.0.2-1)
Common Lisp package for using the FFTW3 library
cl-fiveam (1.4.2-1)
simple regression testing framework for Common Lisp
cl-flexi-streams (20200913.git911a3e2-1)
Flexi-streams: Flexible bivalent streams for Common Lisp
cl-ftp (1.6.0-1.1)
Common Lisp FTP library
cl-garbage-pools (20130720-1.1)
implementation the APR Pools for resource management
cl-geometry-msgs (1.13.0-2)
Messages relating to Robot OS geometry, LISP interface
cl-getopt (1.2.0-3.1)
Common Lisp utility for command-line processing
cl-github-v3 (20130312-1.1)
Common Lisp interface to the github V3 API
cl-graph (20180131-1.1)
simple graph data structure and algorithms
cl-htmlgen (20190720.gitcac1d69+dfsg-1)
HTML generation library for Common Lisp programs
cl-hunchentoot (1.2.38-1.1)
Common Lisp web server formerly known as TBNL
cl-hyperobject (2.13-1)
Common Lisp library for hyperobjects
cl-ieee-floats (20170830-1.1)
Common Lisp IEEE-754 float en- and decoding
cl-interpol (20201106.git70a1137-1)
String interpolation for Common Lisp
cl-irc (1:0.9.2+dfsg1-2)
Common Lisp Internet Relay Chat Library
cl-irc-logger (0.9.4-3.1)
Internet Relay Channel Logger
cl-ironclad (0.54-1)
cryptographic toolkit written in Common Lisp
cl-iterate (20180228-1.1)
Jonathan Amsterdam's Common Lisp iterator/gatherer/accumulator facility
cl-ixf (20180228-1.1)
Common Lisp library to parse IBM IXF file format.
cl-kmrcl (1.111-2)
General Utilities for Common Lisp Programs
cl-launch (4.1.4-1.1)
uniform frontend to running Common Lisp code from the shell
cl-lml (2.5.7-4.1)
Lisp Markup Language
cl-lml2 (1.6.6-4.1)
Lisp Markup Language
cl-local-time (20180228-1.1)
Common Lisp library for date and time manipulations
cl-log (1.0.1-1.1)
general purpose Common Lisp logging utility
cl-lparallel (20160825-1.1)
parallel programming in Common Lisp
cl-lw-compat (20160228.gitaabfe28-1)
LispWorks Compatibility Library
cl-map-msgs (1.14.1-2)
LISP code for map-related ROS Messages
cl-markdown (20101006-2.1)
Common Lisp rewrite of Markdown
cl-md5 (1:20180224.gitb141260-1)
Common Lisp package for MD5 Message Digests
cl-metabang-bind (20171130-1.1)
Common Lisp flexible pattern matching
cl-metatilities-base (20170403-1.1)
Common Lisp set of utilities from Metabang
cl-modlisp (0.6-7.1)
Common Lisp interface to the Apache mod-lisp module
cl-move-base-msgs (1.14.1-2)
LISP code for move-base-related ROS Messages
cl-mssql (20180228-1.1)
Common Lisp interface to MS SQL Server
cl-mustache (0.12.1-9-g684f227-1.1)
Common Lisp Mustache Template Renderer
cl-named-readtables (20201221.gitc5689a4-1)
Common Lisp library that creates namespaces for named readtables
cl-nav-msgs (1.13.0-2)
Messages relating to Robot OS nav, LISP interface
cl-nibbles (20201208.git8e6b9b4-1)
Common Lisp library for accessing octet-addressed blocks of data
cl-nodelet (1.10.0-3)
Robot OS nodelet library - service files - LISP
cl-opencv-apps (2.0.2-3)
opencv_apps Robot OS package - LISP bindings
cl-parse-number (1.7-1.1)
parse a string into one of the standard Common Lisp number types
cl-pcl-msgs (0.3.0-2)
LISP code for PCL-related Robot OS Messages
cl-pg (1:20061216-6)
Common Lisp library that provides a socket level postgresql interface
cl-pgloader (3.6.2-1)
extract, transform and load data into PostgreSQL
cl-photo (0.14-4.1)
photographic calculator in Common Lisp
cl-pipes (1.2.1-5.1)
Common Lisp library for pipes or streams
cl-plus-ssl (20200609.gitff4634a-1)
Common Lisp interface to OpenSSL
cl-polled-camera (1.12.0-3)
Robot OS polled_camera package - LISP
cl-postgres (20180430-2)
Low-level client library for PosgreSQL
cl-postmodern (20180430-2)
Common Lisp library for interacting with PostgreSQL databases
cl-ppcre (20190407.git1ca0cd9-2)
Portable Perl-compatible regular expressions for Common Lisp
cl-ppcre-unicode (20190407.git1ca0cd9-2)
Portable Perl-compatible regular expressions for Common Lisp (Unicode)
cl-ptester (20160829.gitfe69fde-1)
Test suite for Common Lisp programs
cl-pubmed (2.1.3-5.1)
Common Lisp package to query Pubmed medical literature database
cl-puri (1:1.5.7.2-1)
Common Lisp Portable URI Library
cl-py-configparser (20170830-1.1)
implements the ConfigParser Python module functionality in Common Lisp
cl-qmynd (20180131-1.1)
MySQL Native Driver for Common Lisp
cl-quicklisp (20150128-1)
library manager for Common Lisp
cl-quri (20150804-1.1)
Yet another URI library for Common Lisp
cl-regex (1-4.1)
Common Lisp regular expression compiler/matcher
cl-reversi (1.0.16-1)
Reversi game for Common Lisp
cl-rfc2388 (20130720+dfsg-1.1)
implementation of RFC 2388 in Common Lisp
cl-roscpp-msg (1.15.9+ds1-7+deb11u1)
Header for roscpp messages, LISP
cl-rosgraph-msgs (1.11.3-2)
Messages relating to the Robot OS Computation Graph, LISP bindings
cl-rss (0.9.1.1-1)
Common Lisp RSS processor
cl-rt (20090812.gita6a7503-1)
Common Lisp regression tester from MIT
cl-s-sql (20180430-2)
lispy syntax for SQL queries
cl-salza2 (2.0.9-1.1)
Create compressed data from Common Lisp
cl-sensor-msgs (1.13.0-2)
Messages relating to Robot OS sensor, LISP interface
cl-shape-msgs (1.13.0-2)
Messages relating to Robot OS shape, LISP interface
cl-simple-date (20180430-2)
Common Lisp types for dates, timestamps, and intervals
cl-speech-dispatcher (0.10.2-2+deb11u2)
Common Lisp interface to Speech Dispatcher
cl-split-sequence (1:2.0.0-1)
Common Lisp package to split a sequence of objects
cl-sql (6.7.1-3)
SQL Interface for Common Lisp
cl-sql-aodbc (6.7.1-3)
CLSQL database backend, AODBC
cl-sql-backend
本虛擬套件由這些套件填實: cl-sql-oracle, cl-sql-postgresql-socket, cl-sql-mysql, cl-sql-aodbc, cl-sql-postgresql, cl-sql-sqlite3, cl-sql-odbc
cl-sql-mysql (6.7.1-3)
CLSQL database backend, MySQL
cl-sql-odbc (6.7.1-3)
CLSQL database backend, ODBC
cl-sql-oracle (6.7.1-3) [contrib]
CLSQL database backend, Oracle
cl-sql-postgresql (6.7.1-3)
CLSQL database backend, PostgreSQL via library
cl-sql-postgresql-socket (6.7.1-3)
CLSQL database backend, PostgreSQL via sockets
cl-sql-sqlite3 (6.7.1-3)
CLSQL database backend, SQLite3
cl-sql-tests (6.7.1-3)
Testing suite for CLSQL
cl-sql-uffi (6.7.1-3)
Common UFFI functions for CLSQL database backends
cl-sqlite (20130615-2.1)
Common Lisp interface to SQLite
cl-std-msgs (0.5.13-2)
LISP interface for Standard Robot OS Messages
cl-std-srvs (1.11.3-2)
Common service definitions, LISP bindings
cl-stereo-msgs (1.13.0-2)
Messages relating to Robot OS stereo, LISP interface
cl-swank (2:2.26.1+dfsg-2)
Superior Lisp Interaction Mode for Emacs (Lisp-side server)
cl-tf (1.13.2-3)
Robot OS tf transform library -- LISP interface
cl-tf2-msgs (0.7.5-3)
Robot OS tf2 transform library messages - LISP
cl-tf2-srvs (0.7.5-3)
Robot OS tf2 transform library services - LISP
cl-topic-tools (1.15.9+ds1-7+deb11u1)
LISP library for working with Robot OS topics
cl-trajectory-msgs (1.13.0-2)
Messages relating to Robot OS trajectory, LISP interface
cl-trivial-backtrace (20200511.git6eb65bd-1)
generate a Common Lisp backtrace portably
cl-trivial-features (20200702.gite7bb968-1)
abstraction layer for the *FEATURES* across Common Lisp implementations
cl-trivial-garbage (20200801.git2319892-1)
portable garbage collector-related APIs for Common Lisp
cl-trivial-gray-streams (20200731.git8e6a1a0-1)
thin compatibility layer for Common Lisp gray streams
cl-trivial-utf-8 (20111001-1.1)
small Common Lisp library for doing UTF-8-based in- and output
cl-uffi (2.1.2-1.1)
Universal Foreign Function Library for Common Lisp
cl-uffi-tests (2.1.2-1.1)
Regression tests for UFFI Common Lisp Library
cl-umlisp (1:2007ac.2-6) [contrib]
Common Lisp interface for the Unified Medical Language System
cl-umlisp-orf (3.3.2-3) [contrib]
Common Lisp Unified Medical Language System Interface, ORF
cl-unicode (20201101.git54bdf2c-1)
portable Unicode library for Common Lisp
cl-usocket (0.8.3-2)
Common Lisp socket library
cl-utilities (1.2.4-3.2)
collection of Common Lisp utilities
cl-uuid (20130813-1.1)
Common Lisp librabry for generation of UUIDs as described by RFC 4122
cl-visualization-msgs (1.13.0-2)
Messages relating to Robot OS visualization, LISP interface
cl-webactions (20190720.gitcac1d69+dfsg-1)
HTTP dispatch library for cl-aserve
cl-who (20171130-1.1)
Yet another Lisp markup language
cl-xlunit (0.6.3-2.1)
Common Lisp eXtreme Lisp Unit Testing Package
cl-xmls (3.0.2-1)
XML Simple Parser for Common Lisp
cl-xptest (1.2.4-3.1)
Extreme programming test suite for Common Lisp package
cl-yason (0.7.6-1.1)
JSON encoder/decoder for Common Lisp
cl-zip (20150608-1.1)
Common Lisp HTTP client
cl-zpb-ttf (1.0.4-1)
Access TrueType fonts with Common Lisp
cl-zs3 (1.3.1-1.1)
Amazon S3 and CloudFront from Common Lisp
clamassassin (1.2.4-1.1)
email virus filter wrapper for ClamAV
clamav (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - command-line interface
clamav-base (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - base package
clamav-daemon (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - scanner daemon
clamav-data
本虛擬套件由這些套件填實: clamav-freshclam
clamav-docs (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - documentation
clamav-freshclam (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - virus database update utility
clamav-milter (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - sendmail integration
clamav-testfiles (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - test files
clamav-unofficial-sigs (3.7.2-2.1)
update script for 3rd-party clamav signatures
clamdscan (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - scanner client
clamfs (1.2.0-2+b2)
user-space anti-virus protected file system
clamsmtp (1.10-17+b2)
virus-scanning SMTP proxy
clamtk (6.03-3)
graphical front-end for ClamAV
clamtk-gnome (6.03-3)
GNOME (Nautilus) MenuProvider extension for ClamTk
clamz (0.5-2.1)
command-line program to download MP3's from Amazon
clang (1:11.0-51+nmu5)
C, C++ and Objective-C compiler (LLVM based), clang binary
clang-11 (1:11.0.1-2)
C, C++ and Objective-C compiler
clang-11-doc (1:11.0.1-2)
C, C++ and Objective-C compiler - Documentation
clang-11-examples (1:11.0.1-2)
Clang examples
clang-13 (1:13.0.1-6~deb11u1)
C, C++ and Objective-C compiler
clang-13-doc (1:13.0.1-6~deb11u1)
C, C++ and Objective-C compiler - Documentation
clang-13-examples (1:13.0.1-6~deb11u1)
Clang examples
clang-16 (1:16.0.6-15~deb11u2)
C, C++ and Objective-C compiler
clang-16-doc (1:16.0.6-15~deb11u2)
C, C++ and Objective-C compiler - Documentation
clang-16-examples (1:16.0.6-15~deb11u2)
Clang examples
clang-9 (1:9.0.1-16.1)
C, C++ and Objective-C compiler
clang-9-doc (1:9.0.1-16.1)
C, C++ and Objective-C compiler - Documentation
clang-9-examples (1:9.0.1-16.1)
Clang examples
clang-format (1:11.0-51+nmu5)
Tool to format C/C++/Obj-C code
clang-format-11 (1:11.0.1-2)
Tool to format C/C++/Obj-C code
clang-format-13 (1:13.0.1-6~deb11u1)
Tool to format C/C++/Obj-C code
clang-format-16 (1:16.0.6-15~deb11u2)
Tool to format C/C++/Obj-C code
clang-format-9 (1:9.0.1-16.1)
Tool to format C/C++/Obj-C code
clang-tidy (1:11.0-51+nmu5)
clang-based C++ linter tool
clang-tidy-11 (1:11.0.1-2)
clang-based C++ linter tool
clang-tidy-13 (1:13.0.1-6~deb11u1)
clang-based C++ linter tool
clang-tidy-16 (1:16.0.6-15~deb11u2)
clang-based C++ linter tool
clang-tidy-9 (1:9.0.1-16.1)
clang-based C++ linter tool
clang-tools (1:11.0-51+nmu5)
clang-based tools
clang-tools-11 (1:11.0.1-2)
clang-based tools for C/C++ developments
clang-tools-13 (1:13.0.1-6~deb11u1)
clang-based tools for C/C++ developments
clang-tools-16 (1:16.0.6-15~deb11u2)
clang-based tools for C/C++ developments
clang-tools-9 (1:9.0.1-16.1)
clang-based tools for C/C++ developments
clangd (1:11.0-51+nmu5)
Language server that provides IDE-like features to editors
clangd-11 (1:11.0.1-2)
Language server that provides IDE-like features to editors
clangd-13 (1:13.0.1-6~deb11u1)
Language server that provides IDE-like features to editors
clangd-16 (1:16.0.6-15~deb11u2)
Language server that provides IDE-like features to editors
clangd-9 (1:9.0.1-16.1)
Language server that provides IDE-like features to editors
clanlib-dev
本虛擬套件由這些套件填實: libclanlib-dev
clanlib-doc (1.0~svn3827-8)
Reference documentation and tutorials for ClanLib
clasp (3.3.5-4)
conflict-driven nogood learning answer set solver
classified-ads (0.13-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.13-1 [armel, armhf])
Program for displaying classified advertisement items
claws-mail (3.17.8-1+b1)
Fast, lightweight and user-friendly GTK+2 based email client
claws-mail-acpi-notifier (3.17.8-1+b1)
Laptop's Mail LED control for Claws Mail
claws-mail-address-keeper (3.17.8-1+b1)
Address keeper plugin for Claws Mail
claws-mail-archiver-plugin (3.17.8-1+b1)
Archiver plugin for Claws Mail
claws-mail-attach-remover (3.17.8-1+b1)
Mail attachment remover for Claws Mail
claws-mail-attach-warner (3.17.8-1+b1)
Missing attachment warnings for Claws Mail
claws-mail-bogofilter (3.17.8-1+b1)
Bogofilter plugin for Claws Mail
claws-mail-bsfilter-plugin (3.17.8-1+b1)
Spam filtering using bsfilter for Claws Mail
claws-mail-clamd-plugin (3.17.8-1+b1)
ClamAV socket-based plugin for Claws Mail
claws-mail-dillo-viewer (3.17.8-1+b1)
HTML viewer plugin for Claws Mail using Dillo
claws-mail-doc (3.17.8-1)
User documentation for Claws Mail mailer
claws-mail-extra-plugins (3.17.8-1)
Extra plugins collection for Claws Mail
claws-mail-feeds-reader (3.17.8-1+b1)
Feeds (RSS/Atom/RDF) reader plugin for Claws Mail
claws-mail-fetchinfo-plugin (3.17.8-1+b1)
Add X-FETCH headers plugin for Claws Mail
claws-mail-gdata-plugin (3.17.8-1+b1)
Access to GData (Google services) for Claws Mail
claws-mail-i18n (3.17.8-1)
Locale data for Claws Mail (i18n support)
claws-mail-libravatar (3.17.8-1+b1)
Display sender avatar from a libravatar server
claws-mail-litehtml-viewer (3.17.8-1+b1)
HTML viewer plugin using litehtml library
claws-mail-mailmbox-plugin (3.17.8-1+b1)
mbox format mailboxes handler for Claws Mail
claws-mail-managesieve (3.17.8-1+b1)
manage Sieve filters with Claws Mail
claws-mail-multi-notifier (3.17.8-1+b1)
Various new mail notifiers for Claws Mail
claws-mail-newmail-plugin (3.17.8-1+b1)
New mail logger plugin for Claws Mail
claws-mail-pdf-viewer (3.17.8-1+b1)
PDF and PostScript attachment viewer for Claws Mail
claws-mail-perl-filter (3.17.8-1+b1)
Message filtering plugin using perl for Claws Mail
claws-mail-pgpcore
本虛擬套件由這些套件填實: claws-mail-pgpmime
claws-mail-pgpinline (3.17.8-1+b1)
PGP/inline plugin for Claws Mail
claws-mail-pgpmime (3.17.8-1+b1)
PGP/MIME plugin for Claws Mail
claws-mail-plugins (3.17.8-1)
Installs plugins for the Claws Mail mailer
claws-mail-smime-plugin (3.17.8-1+b1)
S/MIME signature/encryption handling for Claws Mail
claws-mail-spam-report (3.17.8-1+b1)
Spam reporting plugin for Claws Mail
claws-mail-spamassassin (3.17.8-1+b1)
SpamAssassin plugin for Claws Mail
claws-mail-themes (20140629+dfsg2-1)
Pixmap icon themes for the Claws Mail mailer
claws-mail-tnef-parser (3.17.8-1+b1)
TNEF attachment handler for Claws Mail
claws-mail-tools (3.17.8-1)
Helper and utility scripts for Claws Mail mailer
claws-mail-vcalendar-plugin (3.17.8-1+b1)
vCalendar message handling plugin for Claws Mail
clawsker (1.3.4-1)
Configuration tweaker for Claws Mail
clazy (1.9-3)
Clang plugin for additional warnings
clazy-tests (1.9-3)
test files for Clazy
clblast-tests (1.5.2-2)
Tests for CLBlast
clblast-utils (1.5.2-2)
Utilities for CLBlast
clc-intercal (1:1.0~4pre1.-94.-2-5.1)
Compiler for the INTERCAL language
cldump (0.11~dfsg-4)
Clarion database files extractor
cleancss (4.2.3+~4.3.0-1)
Tool for minifying CSS files
clearcut (1.0.9-6)
extremely efficient phylogenetic tree reconstruction
clearsilver-dev (0.10.5-4+b2)
headers and static library for clearsilver
clementine (1.4.0~rc1+git347-gfc4cb6fc7+dfsg-1+deb11u1)
modern music player and library organizer
cleo (0.004-3)
Play back shell commands for live demonstrations
clevis (16-2)
automated encryption framework
clevis-dracut (16-2)
Clevis integration for dracut
clevis-initramfs (16-2)
Clevis initramfs integration
clevis-luks (16-2)
LUKS integration for clevis
clevis-systemd (16-2)
systemd integration for clevis
clevis-tpm2 (16-2)
automated encryption framework, TPM2 support
clevis-udisks2 (16-2)
UDisks2/Storaged integration for clevis
clex (4.6.patch8-1+b1 [amd64], 4.6.patch8-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
command line file manager which uses the ncurses library
clfft-client (2.12.2-3.1)
client program for clFFT
clfswm (20111015.git51b0a02-3)
A(nother) Common Lisp FullScreen Window Manager
clhep-doc (2.1.4.1+dfsg-1)
Documentation of CLHEP
cli-common (0.10)
common files between all CLI packages
cli-common-dev (0.10)
common files for building CLI packages
cli-runtime
本虛擬套件由這些套件填實: mono-runtime-common
cli-spinner (0.0~git20150423.610063b-4+b16)
Simple command line that show a slash spinner
cli-virtual-machine
本虛擬套件由這些套件填實: mono-runtime-common
click-man (0.4.1-2)
Generate man pages for click based CLI applications - command (Python 3)
clickhouse-client (18.16.1+ds-7.2+deb11u1)
column-oriented database system (cli client)
clickhouse-common (18.16.1+ds-7.2+deb11u1)
column-oriented database system (common files)
clickhouse-server (18.16.1+ds-7.2+deb11u1)
column-oriented database system (server runner)
clickhouse-tools (18.16.1+ds-7.2+deb11u1)
column-oriented database system (tools)
clif (0.93-9.1+b1)
C language interpreter
clinfo (3.0.21.02.21-1)
Query OpenCL system information
clinfo
本虛擬套件由這些套件填實: clinfo
clipf (0.6-1)
command line minimalistic personal finance manager
clipit (1.4.4+git20190202-2)
lightweight GTK+ clipboard manager (deprecated)
clipman (1.2.0+git20200218.39fd4fe-1+b6)
simple clipboard manager for Wayland
clips (6.30-4.1)
"C" Language Integrated Production System
clips-common (6.30-4.1)
CLIPS common files and examples
clips-doc (6.30-4.1)
"C" Language Integrated Production System Documentation
cliquer (1.21-2)
clique searching program
clirr (0.6-7)
Checks Java libraries for compatibility with older releases
clisp (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP, a Common Lisp implementation
clisp-doc (1:2.49.20180218+really2.49.92-3)
GNU CLISP, a Common Lisp implementation (documentation)
clisp-fasl-loader-20100806
本虛擬套件由這些套件填實: clisp
clisp-memfile-hash-0a1ee7d4d97c4eb370f989ad3582f061e1376c75
本虛擬套件由這些套件填實: clisp
clisp-memfile-hash-a78ccb7e22a02ed0aaff6727ac81d18307454a33
本虛擬套件由這些套件填實: clisp
clisp-memfile-hash-b15fe47f6488b8fe70a65185a1aaa18b6aea2ae2
本虛擬套件由這些套件填實: clisp
clisp-memfile-hash-d75aa56d45daf32026f9ab7c83bc430fb9b7e1f9
本虛擬套件由這些套件填實: clisp
clisp-memfile-hash-dd4eb634896a3dea826cdbca213bda8486c35b90
本虛擬套件由這些套件填實: clisp
clisp-module-berkeley-db (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds an interface to Berkeley DB
clisp-module-clx (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds X11 bindings
clisp-module-dbus (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds an interface to D-Bus
clisp-module-gdbm (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds an interface to GNU DBM
clisp-module-pcre (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds libpcre support
clisp-module-postgresql (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds a PostgreSQL interface
clisp-module-zlib (1:2.49.20180218+really2.49.92-3+b4)
GNU CLISP module that adds zlib support for vectors
clitest (0.4.0-1)
portable testing tool for Unix command lines
cln-dev
本虛擬套件由這些套件填實: libcln-dev
cloc (1.86-1)
statistics utility to count lines of code
clock-setup (0.155)
set up clock
clog (1.3.0-1+b1)
colorizing log tail utility
clojure (1.10.2-1)
Lisp dialect for the JVM
clonalframe (1.2-10+b1)
inference of bacterial microevolution using multilocus sequence data
clonalframeml (1.12-1)
Efficient Inference of Recombination in Whole Bacterial Genomes
clonalorigin (1.0-4)
inference of homologous recombination in bacteria using whole genome sequences
clonezilla (3.35.2-3)
bare metal backup and recovery of disk drives
closure-compiler (20130227+dfsg1-10.1)
JavaScript optimizing compiler
cloud-guest-utils (0.31-2)
cloud guest utilities
cloud-image-utils (0.31-2)
cloud image management utilities
cloud-init (20.4.1-2+deb11u1)
initialization system for infrastructure cloud instances
cloud-initramfs-dyn-netconf (0.18.debian8)
write a network interface file in /run for BOOTIF
cloud-initramfs-growroot (0.18.debian8)
automatically resize the root partition on first boot
cloud-initramfs-rescuevol (0.18.debian8)
boot off a rescue volume rather than root filesystem
cloud-sptheme-common (1.10.1.post20200504175005-1)
Cloud Sphinx theme and related extensions (theme files and docs)
cloud-utils (0.31-2)
metapackage for installation of upstream cloud-utils source
cloudcompare (2.10.3-4+b2)
3D point cloud and mesh processing software
cloudkitty-api (13.0.0-6)
OpenStack Rating as a Service - API server
cloudkitty-common (13.0.0-6)
OpenStack Rating as a Service - common files
cloudkitty-doc (13.0.0-6)
OpenStack Rating as a Service - Documentation
cloudkitty-processor (13.0.0-6)
OpenStack Rating as a Service - processor
cloudkitty-tempest-plugin (2.1.0-2)
OpenStack Integration Test Suite - CloudKitty plugin
cloudsql-proxy (1.17.0-5+b6)
connect securely to a 2nd generation Cloud SQL DB
clsync (0.4.5-2)
live sync tool based on inotify, written in GNU C
cltl (1.0.31) [contrib]
Common Lisp the Language, second edition, book (Pre-ANSI)
clustalo (1.2.4-7)
General-purpose multiple sequence alignment program for proteins
clustalw (2.1+lgpl-7)
global multiple nucleotide or peptide sequence alignment
clustalx (2.1+lgpl-9)
Multiple alignment of nucleic acid and protein sequences (graphical interface)
cluster-glue (1.0.12-20)
Reusable cluster components for Linux HA
cluster-glue-dev (1.0.12-20)
Development files for the cluster glue components
cluster-messaging-framework
本虛擬套件由這些套件填實: heartbeat
cluster3 (1.59+ds-3) [non-free]
Reimplementation of the Eisen-clustering software
clustershell (1.8.3-1)
Distributed shell that provides an efficient Python interface
clusterssh (4.16-2)
administer multiple ssh or rsh shells simultaneously
clutter-1.0-tests (1.26.4+dfsg-2)
Open GL based interactive canvas library (installed test programs)
clzip (1.12-2)
C, lossless data compressor based on the LZMA algorithm
cm-super (0.3.4-15)
TeX font package (full version) with CM (EC) in Type1 in T1, T2*, TS1, X2 enc
cm-super-minimal (0.3.4-15)
TeX font package (minimal version) with CM/EC in Type1 in T1, T2*, TS1, X2 enc
cm-super-x11 (0.3.4-15)
Make the cm-super fonts available to X11
cmake (3.18.4-2+deb11u1)
cross-platform, open-source make system
cmake-curses-gui (3.18.4-2+deb11u1)
curses based user interface for CMake (ccmake)
cmake-data (3.18.4-2+deb11u1)
CMake data files (modules, templates and documentation)
cmake-doc (3.18.4-2+deb11u1)
extended documentation in various formats for CMake
cmake-extras (1.5-7)
Extra CMake utility modules
cmake-fedora (2.7.2-1)
Set of scripts and cmake modules that simplify the release process
cmake-format (0.6.13-2)
source code formatter for cmake listfiles
cmake-gui
本虛擬套件由這些套件填實: cmake-qt-gui
cmake-qt-gui (3.18.4-2+deb11u1)
Qt based user interface for CMake (cmake-gui)
cmake-vala (3-1)
CMake utility modules needed for building Vala Panel (and related projects)
cmap-adobe-cns1
本虛擬套件由這些套件填實: poppler-data
cmap-adobe-gb1
本虛擬套件由這些套件填實: poppler-data
cmap-adobe-japan1
本虛擬套件由這些套件填實: poppler-data
cmap-adobe-japan2
本虛擬套件由這些套件填實: poppler-data
cmap-adobe-korea1
本虛擬套件由這些套件填實: poppler-data
cmark (0.29.0-4)
CommonMark parsing and rendering program
cmark-gfm (0.29.0.gfm.0-6)
CommonMark parsing and rendering program, GitHub flavor
cmatrix (2.0-3)
simulates the display from "The Matrix"
cmatrix-xfont (2.0-3)
X11 font for cmatrix
cmdreader (1.5-2)
Library that parses command line arguments
cmdtest (0.32.14.gcdfe14e-2)
blackbox testing of Unix command line programs
cme (1.032-1)
Check or edit configuration data with Config::Model
cmigemo (1:1.2+gh0.20150404-7.1)
Japanese incremental search tool written in C - binary
cmigemo-common (1:1.2+gh0.20150404-7.1)
Japanese incremental search tool written in C - common files
cminpack-doc (1.3.6-4)
Nonlinear equations and nonlinear least squares problems (doc)
cmis-client (0.5.2-3)
client for the CMIS protocol
cmocka-doc (1.1.5-2)
documentation for the CMocka unit testing framework
cmor-tables (3.3-1.1)
MIP tables for the Climate Model Output Rewriter library
cmospwd (5.0+dfsg-2+b1)
decrypt BIOS passwords from CMOS
cmst (2019.01.13-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2019.01.13-1 [armel, armhf])
QT GUI for Connman with system tray icon
cmt (1.16-2+b1)
LADSPA plugin collection
cmtk (3.3.1p1+dfsg-2+b1)
Computational Morphometry Toolkit
cmucl (21d-1.1)
CMU Common Lisp compiler and development system
cmucl-clm (21d-1.1)
Motif interface for CMUCL
cmucl-docs (21d-1.1)
CMUCL documentation
cmucl-source (21d-1.1)
CMUCL lisp sources
cmus (2.9.1-1)
lightweight ncurses audio player
cmus-plugin-ffmpeg (2.9.1-1)
lightweight ncurses audio player (FFmpeg plugin)
cmuscheme48-el (1.9.2-2)
Emacs mode specialized for Scheme48
cnee (3.19-6)
X event recorder/replayer - command-line flavor
cntlm (0.92.3-1+b1)
Fast NTLM authentication proxy with tunneling
cnvkit (0.9.8-1)
Copy number variant detection from targeted DNA sequencing
cobertura (2.1.1-2)
java tool that calculates the percentage of code accessed by tests
cobra (1.1.2-1+b5)
Tool to generate Cobra-based modern Go CLI application
coccinella (0.96.20-9)
Communication tool (XMPP/Jabber) with a built-in whiteboard
coccinelle (1.1.0.deb-1.1)
semantic patching tool for C
coccinelle-doc (1.1.0.deb-1.1)
documentation for coccinelle
cockpit (239-1)
Web Console for Linux servers
cockpit-389-ds (1.4.4.11-2)
Cockpit user interface for 389 Directory Server
cockpit-bridge (239-1)
Cockpit bridge server-side component
cockpit-doc (239-1)
Cockpit deployment and developer guide
cockpit-machines (239-1)
Cockpit user interface for virtual machines
cockpit-networkmanager (239-1)
Cockpit user interface for networking
cockpit-packagekit (239-1)
Cockpit user interface for packages
cockpit-pcp (239-1)
Cockpit PCP integration
cockpit-podman (28-1)
Cockpit component for Podman containers
cockpit-shell
本虛擬套件由這些套件填實: cockpit-system
cockpit-ssh
本虛擬套件由這些套件填實: cockpit-bridge
cockpit-storaged (239-1)
Cockpit user interface for storage
cockpit-system (239-1)
Cockpit admin interface for a system
cockpit-systemd
本虛擬套件由這些套件填實: cockpit-system
cockpit-test-assets
本虛擬套件由這些套件填實: cockpit-tests
cockpit-tests (239-1)
Tests for Cockpit
cockpit-tuned
本虛擬套件由這些套件填實: cockpit-system
cockpit-users
本虛擬套件由這些套件填實: cockpit-system
cockpit-ws (239-1)
Cockpit Web Service
coco-cpp (20120102-1+b2)
Coco/R Compiler Generator (C++ Version)
coco-cs (20110419-5.2)
Coco/R Compiler Generator (C-Sharp Version)
coco-doc (20060919.0-1)
Documentation for the Coco/R Compiler Generator
coco-java (20110419-3.3)
Coco/R Compiler Generator (Java Version)
cod-tools (3.1.0+dfsg-3)
tools for manipulating CIF format files
coda (2.21.2-1+b1)
Common Data Access framework for Earth science
code-saturne (6.0.2-2)
General purpose Computational Fluid Dynamics (CFD) software
code-saturne-bin (6.0.2-2)
General purpose Computational Fluid Dynamics (CFD) software - binaries
code-saturne-data (6.0.2-2)
General purpose Computational Fluid Dynamics (CFD) software - data
code-saturne-doc (6.0.2-2)
General purpose Computational Fluid Dynamics (CFD) software - Documentation
code-saturne-include (6.0.2-2)
General purpose Computational Fluid Dynamics (CFD) software - includes
code2html (0.9.1-4.1)
Syntax highlighter
codeblocks (20.03-3)
Code::Blocks integrated development environment (IDE)
codeblocks-common (20.03-3)
common files for Code::Blocks IDE
codeblocks-contrib (20.03-3)
contrib plugins for Code::Blocks IDE
codeblocks-dev (20.03-3)
Code::Blocks development files (SDK)
codec2 (0.9.2-4)
command line tools for handling Codec2 data
codec2-examples (0.9.2-4)
Codec2 sample data and examples
codecgraph (20120114-5)
Generates graphviz graphs from HDA-Intel codec information
codecrypt (1.8-1+b1)
post-quantum encryption and signing tool
codegroup (19981025-8)
Convert any file, including binary, into 5 letter code
codelite (14.0+dfsg-1+b1)
Powerful and lightweight IDE
codelite-plugins (14.0+dfsg-1+b1)
Powerful and lightweight IDE - plugins
codequery (0.21.1+dfsg1-1.1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.21.1+dfsg1-1.1 [armel, armhf])
code-understanding, code-browsing or code-search tool
coderay (1.1.3-4)
Helper programs for CodeRay Ruby lib for syntax highlighting
codesearch (0.0~hg20120502-3+b17)
regular expression search over large bodies of source code
codespell (2.0.0-1)
Find and fix common misspellings in text files
codfis (0.4.7-2+b2)
tool to generate Italian fiscal codes (codice fiscale)
codonw (1.4.4-6)
Correspondence Analysis of Codon Usage
coffeescript (1.12.8~dfsg-5)
interpreter and compiler for the CoffeeScript language
coffeescript-doc (1.12.8~dfsg-5)
documentation for the CoffeeScript language
cog (0.8.1-1)
Single-window web browser based on WPE WebKit
cohomcalg (0.32+ds-3)
sheaf cohomology of line bundles on toric varieties
cohomcalg-common (0.32+ds-3)
sheaf cohomology of line bundles on toric varieties (common files)
coinor-cbc (2.10.5+ds1-3)
Coin-or branch-and-cut mixed integer programming solver
coinor-clp (1.17.5+repack1-1)
Coin-or linear programming solver
coinor-csdp (6.2.0-3)
Software package for semidefinite programming (binaries)
coinor-csdp-doc (6.2.0-3)
Software package for semidefinite programming (documentation)
coinor-libcbc-dev (2.10.5+ds1-3)
Coin-or branch-and-cut mixed integer programming solver (developer files)
coinor-libcbc-doc (2.10.5+ds1-3)
Coin-or branch-and-cut mixed integer programming solver (documentation)
coinor-libcbc3 (2.10.5+ds1-3)
Coin-or branch-and-cut mixed integer programming solver (shared libraries)
coinor-libcgl-dev (0.60.3+repack1-2)
COIN-OR Cut Generation Library (developer files)
coinor-libcgl-doc (0.60.3+repack1-2)
COIN-OR Cut Generation Library (documentation)
coinor-libcgl1 (0.60.3+repack1-2)
COIN-OR Cut Generation Library
coinor-libclp-dev (1.17.5+repack1-1)
Coin-or linear programming solver (developer files)
coinor-libclp-doc (1.17.5+repack1-1)
Coin-or linear programming solver (documentation)
coinor-libclp1 (1.17.5+repack1-1)
Coin-or linear programming solver (shared libraries)
coinor-libcoinmp-dev (1.8.3-3)
Simple C API for COIN-OR Solvers Clp and Cbc -- development
coinor-libcoinmp1v5 (1.8.3-3)
Simple C API for COIN-OR Solvers Clp and Cbc -- library
coinor-libcoinutils-dev (2.11.4+repack1-1)
Coin-or collection of utility classes (developer files)
coinor-libcoinutils-doc (2.11.4+repack1-1)
Coin-or collection of utility classes (documentation)
coinor-libcoinutils3v5 (2.11.4+repack1-1)
Coin-or collection of utility classes (binaries and libraries)
coinor-libdylp-dev (1.10.4-2)
Linear programming solver using of the dynamic simplex algorithm
coinor-libdylp-doc (1.10.4-2)
Linear programming solver using of the dynamic simplex algorithm
coinor-libdylp1 (1.10.4-2)
Linear programming solver using the dynamic simplex algorithm
coinor-libipopt-dev (3.11.9-2.2+b4)
Interior-Point Optimizer - header files
coinor-libipopt-doc (3.11.9-2.2)
Interior-Point Optimizer - documentation
coinor-libipopt1v5 (3.11.9-2.2+b4)
Interior-Point Optimizer, for large-scale nonlinear optimization
coinor-libipopt1v5-dbg (3.11.9-2.2+b4)
Interior-Point Optimizer - debugging symbols
coinor-libosi-dev (0.108.6+repack1-2)
COIN-OR Open Solver Interface (developer files)
coinor-libosi-doc (0.108.6+repack1-2)
COIN-OR Open Solver Interface (documentation)
coinor-libosi1v5 (0.108.6+repack1-2)
COIN-OR Open Solver Interface
coinor-libsymphony-dev (5.6.16+repack1-3)
COIN-OR solver for mixed-integer linear programs (developer files)
coinor-libsymphony-doc (5.6.16+repack1-3)
COIN-OR solver for mixed-integer linear programs (documentation)
coinor-libsymphony3 (5.6.16+repack1-3)
COIN-OR solver for mixed-integer linear programs (shared libraries)
coinor-libvol-dev (1.5.4-4)
Coin-or linear programming solver (development files)
coinor-libvol-doc (1.5.4-4)
Coin-or linear programming solver
coinor-libvol1 (1.5.4-4)
Coin-or linear programming solver (libraries)
coinor-symphony (5.6.16+repack1-3)
COIN-OR solver for mixed-integer linear programs
coinst (1.9.3-3+b1)
computes the co-installability kernel of a deb or RPM repository
coinst-viewer (1.9.3-3+b1)
viewer for co-installability kernels generated by coinst
collada-urdf-tools (1.12.13-3)
ROS collada_urdf tools
collatinus (11-1+b2 [amd64], 11-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 11-1 [armel, armhf])
lemmatisation of latin text
collectd (5.12.0-7)
statistics collection and monitoring daemon
collectd-core (5.12.0-7)
statistics collection and monitoring daemon (core system)
collectd-dev (5.12.0-7)
statistics collection and monitoring daemon (development files)
collectd-utils (5.12.0-7)
statistics collection and monitoring daemon (utilities)
collectl (4.3.1-1)
Utility to collect Linux performance data
colmap (3.6+really3.6-1)
Structure-from-Motion and Multi-View Stereo
colobot (0.1.12-7+b1)
educational programming strategy game
colobot-common (0.1.12-7)
educational programming strategy game - data
colobot-common-sounds (0.1.12-7)
educational programming strategy game - sounds and music
colobot-common-textures (0.1.12-7)
educational programming strategy game - textures
colobot-dev-doc (0.1.12-7)
educational programming strategy game - source doc
colorcode (0.8.5-2+b2 [amd64], 0.8.5-2+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.8.5-2 [armel, armhf])
advanced clone of the MasterMind code-breaking game
colord (1.4.5-3)
system service to manage device colour profiles -- system daemon
colord-data (1.4.5-3)
system service to manage device colour profiles -- data files
colord-gtk-utils (0.1.26-2)
miscellaneous GUI utilities interacting with colord
colord-tests (1.4.5-3)
system service to manage device colour profiles -- test suite
colordiff (1.0.18-1.1)
tool to colorize 'diff' output
colorhug-client (0.2.8-3+b1 [amd64], 0.2.8-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tools for the Hughski Colorimeter
colorize (0.65-1)
Colorizes text on terminal with ANSI escape sequences
colorized-logs (2.5-1)
tools for consuming logs with ANSI color
colormake (0.9.20140504-5)
simple wrapper around make to colorize output
colortail (0.3.3-1+b2)
log colorizer that makes log checking easier
colortest (20110624-8)
utilities to test color capabilities of terminal
colortest-python (3.0.1-4)
utility to test color capabilities of terminal
colossal-cave-adventure (1.4-1.1)
Colossal Cave Adventure game
colplot (5.2.0-1.1)
Utility to plot performance data from collectl
com.github.muriloventuroso.easyssh
本虛擬套件由這些套件填實: easyssh
comerr-dev (2.1-1.46.2-2)
common error description library - headers and static libraries
comet-ms (2019015+cleaned1-3)
Tandem mass spectrometry (MS/MS) search engine
comgt (0.32-3+b1 [amd64], 0.32-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Option GlobeTrotter and Vodafone datacard control tool
comitup (1.15-1)
bootstrap Wi-Fi using Wi-Fi
comix
本虛擬套件由這些套件填實: mcomix
comixcursors
本虛擬套件由這些套件填實: comixcursors-righthanded
comixcursors-lefthanded (0.9.1-1.1)
X11 mouse pointer themes with a comic art feeling (LH, translucent)
comixcursors-lefthanded-opaque (0.9.1-1.1)
X11 mouse pointer themes with a comic art feeling (LH, opaque)
comixcursors-righthanded (0.9.1-1.1)
X11 mouse pointer themes with a comic art feeling (RH, translucent)
comixcursors-righthanded-opaque (0.9.1-1.1)
X11 mouse pointer themes with a comic art feeling (RH, opaque)
command-not-found (20.10.1-1+deb11u1)
Suggest installation of packages in interactive bash sessions
commit-patch (2.6-2.1)
utility to commit fine grained patches to source code control repositories
comparepdf (1.0.1-1.1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.0.1-1.1 [armel, armhf])
command line tool for comparing two PDF files
compartment (1.1.0-5+b1 [i386], 1.1.0-5 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Confine services in a limited environment
compass-blend-modes-plugin (0.0.3+20150331~dfsg-3)
use standard color blending functions in Sass
compass-blueprint-plugin (1.0.0-4)
Compass extension for blueprint CSS framework
compass-breakpoint-plugin (2.7.1-3)
really simple media queries with Sass
compass-color-schemer-plugin (0.2.8+20140309-4)
create color schemes with ease
compass-fancy-buttons-plugin (1.1.1~20120313-7)
Compass plugin implementing fancy CSS3 buttons
compass-h5bp-plugin (1.0.0-6)
Sass mixins for HTML5 Boilerplate
compass-layoutgala-plugin (0.2.1-3)
Sass mixins implementing the Layout-gala CSS styles
compass-normalize-plugin (7.0.1-1)
Sass version of Normalize.css
compass-sassy-maps-plugin (0.4.0-6)
map helper functions for Sass maps
compass-toolkit-plugin (2.10.2-2)
toolkit of awesome Sass stuff
compface (1:1.5.2-5+b2)
Compress/decompress images for mailheaders, user tools
compiz (2:0.8.18-2)
OpenGL window and compositing manager
compiz-bcop (2:0.8.18-1)
Compiz Reloaded option code generator
compiz-boxmenu (1.1.12-5)
Compiz Reloaded - daemon and clients providing pop-up menus
compiz-core (2:0.8.18-2)
OpenGL window and compositing manager
compiz-core-abiversion-20180927
本虛擬套件由這些套件填實: compiz-core
compiz-dev (2:0.8.18-2)
OpenGL window and compositing manager - development files
compiz-gnome (2:0.8.18-2)
OpenGL window and compositing manager - Gtk window decorator
compiz-mate (2:0.8.18-2)
OpenGL window and compositing manager - MATE window decorator
compiz-plugins (2:0.8.18-2)
OpenGL window and compositing manager - plugins
compiz-plugins-default (2:0.8.18-2)
transitional dummy package
compiz-plugins-experimental (2:0.8.18-1)
Compiz Reloaded plugins - "unsupported" collection
compiz-plugins-extra (2:0.8.18-1)
Compiz Reloaded plugins - extra collection
compiz-plugins-main (2:0.8.18-4)
Compiz Reloaded plugins - main collection
compizconfig-settings-manager (2:0.8.18-1+b1)
Compizconfig Settings Manager
complexity (1.10+dfsg-3.1)
tool for analyzing the complexity of C program functions
complexity-doc (1.10+dfsg-3.1)
tool for analyzing the complexity of C program (documentation)
composer (2.0.9-2+deb11u2) [security]
dependency manager for PHP
comprez (2.7.3-2)
frontend to many compression programs
comptext (1.0.1-4)
Gui based tool to compare two text streams
compton (1-1+deb11u1)
compositor for X11, based on xcompmgr
compton-conf (0.16.0-1)
Compton Configurator for Qt
compton-conf-l10n (0.16.0-1)
Language package for compton-conf
comptty (1.0.1-4)
GUI based tool to compare two RTTY streams
comskip (0.82.009+ds.1-2)
Commercial detector
comskip-gui (0.82.009+ds.1-2)
Commercial detector GUI
concalc (0.9.2-2+b2)
console calculator
concavity (0.1+dfsg.1-5)
predictor of protein ligand binding sites from structure and conservation
concordance (1.4-2+b1)
configuration tool for Harmony remotes
concordance-common (1.4-2)
Harmony remote configuration tool - common files
conda-package-handling (1.7.2-2+deb11u1)
create and extract conda packages of various formats
condure (1.1.0-1+b2 [amd64], 1.1.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
HTTP/WebSocket connection manager
confclerk (0.6.4-2)
offline conference schedule application
confget (2.3.4-1)
read variables from INI-style configuration files
config-package-dev (5.5)
Debhelper (and CDBS) modules for building configuration packages
configure-debian (1.0.3+nmu1)
central configuration program for packages using debconf
configured-network
本虛擬套件由這些套件填實: netcfg-static, ppp-udeb, netcfg
congruity (20-1)
graphical utility to configure Logitech Harmony remotes
conjugar (0.8.3-7)
text only program to conjugate Brazilian verbs
conky (1.11.6-2)
highly configurable system monitor (transitional package)
conky-all (1.11.6-2)
highly configurable system monitor (all features enabled)
conky-cli (1.11.6-2)
highly configurable system monitor (basic version)
conky-std (1.11.6-2)
highly configurable system monitor (default version)
conman (0.2.7-1+b1)
serial console management program
conmon (2.0.25+ds1-1.1+deb11u1)
OCI container runtime monitor
conmux (0.12.0-1.1)
console multiplexor
connect-proxy (1.105-1.2)
Establish TCP connection using SOCKS4/5 or HTTP tunnel
connectagram (1.2.11-1.1)
word unscrambling game
connectagram-data (1.2.11-1.1)
word unscrambling game - data files
connectome-workbench (1.5.0-1)
brain visualization, analysis and discovery tool
connectome-workbench-dbg (1.5.0-1)
brain visualization, analysis and discovery tool -- debug symbols
connman (1.36-2.2+deb11u2)
Intel Connection Manager daemon
connman-dev (1.36-2.2+deb11u2)
Development files for connman
connman-doc (1.36-2.2+deb11u2)
ConnMan documentation
connman-gtk (1.1.1+git20180626.b72c6ab-2)
fully-featured GUI for ConnMan with systray support
connman-ui (0~20150623-1+b1 [amd64], 0~20150623-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
full-featured GTK-based tray icon UI for ConnMan
connman-vpn (1.36-2.2+deb11u2)
Intel Connection Manager daemon - VPN daemon
conntrack (1:1.4.6-2)
Program to modify the conntrack tables
conntrackd (1:1.4.6-2)
Connection tracking daemon
conservation-code (20110309.0-8)
protein sequence conservation scoring tool
conserver-client (8.2.6-2)
connect to a console server
conserver-server (8.2.6-2)
connect multiple user to a serial console with logging
consolation (0.0.8-1)
linux console pointer support for copy-paste
console-braille (1.9)
Fonts and keymaps for reading/typing unicode braille
console-common (0.7.91)
basic infrastructure for text console configuration
console-cyrillic (0.9-17.1)
Cyrillic fonts and keyboard layouts for Linux console
console-data (2:1.12-8)
keymaps, fonts, charset maps, fallback tables for 'kbd'.
console-keymaps
本虛擬套件由這些套件填實: console-data, console-keymaps-amiga, console-keymaps-mac, console-keymaps-atari, console-keymaps-dec, console-keymaps-usb, console-keymaps-at, console-keymaps-acorn, console-keymaps-sun
console-keymaps-acorn (2:1.12-8)
keymaps for Acorn RISC-PC keyboards
console-keymaps-amiga (2:1.12-8)
keymaps for Amiga keyboards
console-keymaps-at (2:1.12-8)
keymaps for PC-style (PS/2 and AT) keyboards
console-keymaps-atari (2:1.12-8)
keymaps for Atari keyboards
console-keymaps-dec (2:1.12-8)
keymaps for Dec keyboards
console-keymaps-mac (2:1.12-8)
keymaps for Apple keyboards
console-keymaps-sun (2:1.12-8)
keymaps for Sun keyboards
console-keymaps-usb (2:1.12-8)
keymaps for USB keyboards
console-log (1.2-2.1)
Puts logfile pagers on virtual consoles
console-setup (1.205)
console font and keymap setup program
console-setup-amiga-ekmap (1.205)
encoded Linux keyboard layouts for Amiga keyboards
console-setup-ataritt-ekmap (1.205)
encoded Linux keyboard layouts for Atari TT keyboards
console-setup-ekmap
本虛擬套件由這些套件填實: console-setup-pc-ekbd, console-setup-ataritt-ekmap, console-setup-pc-ekmap, console-setup-sun5-ekmap, console-setup-amiga-ekmap, console-setup-sun4-ekmap
console-setup-freebsd (1.205)
FreeBSD specific part of console-setup
console-setup-freebsd-charmaps-udeb (1.205)
FreeBSD 8-bit charmaps for console-setup-udeb
console-setup-freebsd-fonts-udeb (1.205)
FreeBSD console fonts for Debian Installer
console-setup-linux (1.205)
Linux specific part of console-setup
console-setup-linux-charmaps-udeb (1.205)
Linux 8-bit charmaps for console-setup-udeb
console-setup-linux-fonts-udeb (1.205)
Linux console fonts for Debian Installer
console-setup-mini (1.205)
console font and keymap setup program - reduced version for Linux
console-setup-pc-ekbd (1.205)
encoded FreeBSD keyboard layouts for PC keyboards
console-setup-pc-ekmap (1.205)
encoded Linux keyboard layouts for PC keyboards
console-setup-sun4-ekmap (1.205)
encoded Linux keyboard layouts for Sun4 keyboards
console-setup-sun5-ekmap (1.205)
encoded Linux keyboard layouts for Sun5 keyboards
console-setup-udeb (1.205)
Configure the keyboard
console-terminus
本虛擬套件由這些套件填實: console-setup-linux
console-tools-data
本虛擬套件由這些套件填實: console-data
console-utilities
本虛擬套件由這些套件填實: kbd
conspy (1.16-1+b1 [amd64], 1.16-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Remote control of Linux virtual consoles
consul (1.8.7+dfsg1-2+b3)
tool for service discovery, monitoring and configuration
consulfs (0.2.1-2+b5)
distributed FUSE filesystem backed by a Consul Key-Value store
container-tools
本虛擬套件由這些套件填實: open-infrastructure-container-tools
containerd (1.4.13~ds1-1~deb11u4)
open and reliable container runtime
containernetworking-plugins (0.9.0-1+b6)
standard networking plugins - binaries
containers-storage (1.24.8+dfsg1-1+deb11u1)
CLI tools for handling how containers are stored on disk
content
本虛擬套件由這些套件填實: php-horde-content
context (2020.03.10.20200331-1)
powerful TeX format
context-modules (20200331-1)
additional ConTeXt modules
context-nonfree (2007.03.22-2) [non-free]
Non-free items from the ConTeXt distribution
contextfree (3.3+dfsg1-1+b1)
image generator based on context-free grammars
conv-tools (20160905-2)
convert 8 bit character encoding in file names and text content to UTF-8
converseen (0.9.9.0-1)
batch image converter and resizer
convertall (0.8.0-1)
very flexible unit converter
convlit (1.8-2)
convert Microsoft Reader .LIT files to HTML
convmv (2.05-1.1)
filename encoding conversion tool
cookietool (2.5-6+b1)
suite of programs to help maintain a fortune database
cool-retro-term (1.1.1+git20200723-2.1)
terminal emulator which mimics old screens
coolkey (1.1.0-16)
Smart Card PKCS #11 cryptographic module
coolmail (1.3-12+b1)
Mail notifier with 3d graphics
coop-computing-tools (7.1.2-5)
cooperative computing tools
coop-computing-tools-dev (7.1.2-5)
libraries and header files for coop-computing-tools
coop-computing-tools-doc (7.1.2-5)
documentation for coop-computing-tools
copyq (3.13.0-1)
Advanced clipboard manager with editing and scripting features
copyq-doc (3.13.0-1)
Documentation and examples for CopyQ - HTML format
copyq-plugins (3.13.0-1)
Plugins for CopyQ
copyright-update (2016.1018-4)
update copyright information in files
coq (8.12.0-3+b3)
proof assistant for higher-order logic (toplevel and compiler)
coq-8.12.0+4.11.1
本虛擬套件由這些套件填實: coq
coq-theories (8.12.0-3+b3)
proof assistant for higher-order logic (theories)
coqide (8.12.0-3+b3)
proof assistant for higher-order logic (gtk interface)
core-dump-handler
本虛擬套件由這些套件填實: systemd-coredump, minicoredumper, corekeeper
corekeeper (1.7)
enable core files and report crashes to the sysadmin
coreutils (8.32-4+b1 [amd64], 8.32-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU core utilities
corkscrew (2.0-13)
tunnel TCP connections through HTTP proxies
corosync (3.1.2-2)
cluster engine daemon and utilities
corosync-doc (3.1.2-2)
cluster engine HTML documentation
corosync-notifyd (3.1.2-2)
cluster engine notification daemon
corosync-qdevice (3.0.1-1)
cluster engine quorum device daemon
corosync-qnetd (3.0.1-1)
cluster engine quorum device network daemon
corosync-vqsim (3.1.2-2)
cluster engine votequorum simulator
corsix-th (0.64-2) [contrib]
Open source clone of Theme Hospital
corsix-th-data (0.64-2) [contrib]
Open source clone of Theme Hospital (data package)
cortado (0.6.0-4)
streaming applet for Ogg formats
coturn (4.5.2-3)
TURN and STUN server for VoIP
courier-authdaemon (0.71.1-2)
Courier authentication daemon
courier-authlib (0.71.1-2)
Courier authentication library
courier-authlib-dev (0.71.1-2)
Development libraries for the Courier authentication library
courier-authlib-ldap (0.71.1-2)
LDAP support for the Courier authentication library
courier-authlib-mysql (0.71.1-2)
MySQL support for the Courier authentication library
courier-authlib-pipe (0.71.1-2)
External authentication support for the Courier authentication library
courier-authlib-postgresql (0.71.1-2)
PostgreSQL support for the Courier authentication library
courier-authlib-sqlite (0.71.1-2)
SQLite 3 support for the Courier authentication library
courier-authlib-userdb (0.71.1-2)
userdb support for the Courier authentication library
courier-base (1.0.16-3)
Courier mail server - base system
courier-doc (1.0.16-3)
Courier mail server - additional documentation
courier-faxmail (1.0.16-3)
Courier mail server - Fax<->mail gateway
courier-imap (5.0.13+1.0.16-3)
Courier mail server - IMAP server
courier-ldap (1.0.16-3)
Courier mail server - LDAP support
courier-mlm (1.0.16-3)
Courier mail server - mailing list manager
courier-mta (1.0.16-3)
Courier mail server - ESMTP daemon
courier-pcp (1.0.16-3)
Courier mail server - PCP server
courier-pop (1.0.16-3)
Courier mail server - POP3 server
courier-webadmin (1.0.16-3)
Courier mail server - web-based administration frontend
couriergraph (0.25-4.5)
Mail statistics RRDtool frontend for Courier-{POP,IMAP}
couriergrey (0.3.2-5+b4)
Mail filter interface of Courier-MTA to support greylisting
covered (0.7.10-3.1)
Verilog code coverage analysis tool
covered-doc (0.7.10-3.1)
Verilog code coverage analysis tool - documentation
covtobed (1.2.0+dfsg-1)
convert the coverage track from a BAM file into a BED file
covtobed-examples (1.2.0+dfsg-1)
example data and scripts for mindthegap
cowbell (0.2.7.1-7+b3)
An easy-to-use tag editor for your music files
cowbuilder (0.89)
pbuilder running on cowdancer
cowdancer (0.89)
Copy-on-write directory tree utility
cowpatty (4.8-3)
Brute-force WPA dictionary attack
cowsay (3.03+dfsg2-8)
configurable talking cow
cowsay-off (3.03+dfsg2-8)
configurable talking cow (offensive cows)
coz-profiler (0.2.2-2)
Finding Code that Counts with Causal Profiling
cp2k (8.1-9)
Ab Initio Molecular Dynamics
cp2k-data (8.1-9)
Ab Initio Molecular Dynamics (data files)
cpan-listchanges (0.08-1)
package change history notification tool
cpaninject
本虛擬套件由這些套件填實: libcpan-inject-perl
cpanminus (1.7044-2)
script to get, unpack, build and install modules from CPAN
cpanoutdated (0.32-1.1)
script to detect outdated CPAN modules in your environment
cpanplus
本虛擬套件由這些套件填實: libcpanplus-perl
cpants-lint (0.05-5.1)
commandline frontend to Module::CPANTS::Analyse - tool
cpio (2.13+dfsg-7.1~deb11u1)
GNU cpio -- 管理檔案資料庫的工具
cpio-doc (2.13-1) [non-free]
Documentation for the cpio package
cpio-win32 (2.13+dfsg-7.1~deb11u1)
GNU cpio -- a program to manage archives of files (win32 build)
cpipe (3.0.1-2+b1 [amd64], 3.0.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
data pipe forwarding utility for performance monitoring
cpl-plugin-amber (4.4.0+dfsg-4)
ESO data reduction pipeline for the AMBER instrument
cpl-plugin-amber-calib (4.4.0+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for AMBER
cpl-plugin-amber-doc (4.4.0+dfsg-4)
ESO data reduction pipeline documentation for AMBER
cpl-plugin-fors (5.5.6+dfsg-4)
ESO data reduction pipeline for the FORS1/2 instruments
cpl-plugin-fors-calib (5.5.6+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for FORS2
cpl-plugin-fors-doc (5.5.6+dfsg-4)
ESO data reduction pipeline documentation for FORS
cpl-plugin-giraf (2.16.7+dfsg-4)
ESO data reduction pipeline for the GIRAFFE instrument
cpl-plugin-giraf-calib (2.16.7+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for GIRAFFE
cpl-plugin-giraf-doc (2.16.7+dfsg-4)
ESO data reduction pipeline documentation for GIRAFFE
cpl-plugin-hawki (2.4.8+dfsg-4)
ESO data reduction pipeline for the HAWK-I instrument
cpl-plugin-hawki-calib (2.4.8+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for HAWK-I
cpl-plugin-hawki-doc (2.4.8+dfsg-4)
ESO data reduction pipeline documentation for HAWK-I
cpl-plugin-muse (2.8.3+dfsg-4)
ESO data reduction pipeline for the MUSE instrument
cpl-plugin-muse-calib (2.8.3+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for MUSE
cpl-plugin-muse-doc (2.8.3+dfsg-4)
ESO data reduction pipeline documentation for MUSE
cpl-plugin-naco (4.4.9+dfsg-4)
ESO data reduction pipeline for the NaCo instrument
cpl-plugin-naco-calib (4.4.9+dfsg-4) [contrib]
ESO data reduction pipeline NaCo calibration data downloader
cpl-plugin-naco-doc (4.4.9+dfsg-4)
ESO data reduction pipeline documentation for NaCo
cpl-plugin-uves (6.1.3+dfsg-5)
ESO data reduction pipeline for the UVES instrument
cpl-plugin-uves-calib (6.1.3+dfsg-5) [contrib]
ESO data reduction pipeline calibration data downloader for UVES
cpl-plugin-uves-doc (6.1.3+dfsg-5)
ESO data reduction pipeline documentation for UVES
cpl-plugin-vimos (4.1.1+dfsg-4)
ESO data reduction pipeline for the VIMOS instrument
cpl-plugin-vimos-calib (4.1.1+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for VIMOS
cpl-plugin-vimos-doc (4.1.1+dfsg-4)
ESO data reduction pipeline documentation for VIMOS
cpl-plugin-visir (4.3.10+dfsg-5)
ESO data reduction pipeline for the VISIR instrument
cpl-plugin-visir-calib (4.3.10+dfsg-5) [contrib]
ESO data reduction pipeline calibration data downloader for VISIR
cpl-plugin-visir-doc (4.3.10+dfsg-5)
ESO data reduction pipeline documentation for the VISIR instrument
cpl-plugin-xshoo (3.5.0+dfsg-4)
ESO data reduction pipeline for the XSHOOTER instrument
cpl-plugin-xshoo-calib (3.5.0+dfsg-4) [contrib]
ESO data reduction pipeline calibration data downloader for XSHOOTER
cpl-plugin-xshoo-doc (3.5.0+dfsg-4)
ESO data reduction pipeline documentation for XSHOOTER
cpluff-loader (0.2.0+ds1-1)
C-Pluff, a plug-in framework for C - plugin loader
cpm (0.32-1.3)
Curses based password manager using PGP-encryption
cpmtools (2.20-2+b1)
Tools to access CP/M file systems
cpp (4:10.2.1-1)
GNU C preprocessor (cpp)
cpp-10 (10.2.1-6)
GNU C preprocessor
cpp-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-alpha-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU C preprocessor
cpp-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU C preprocessor
cpp-10-doc (10.2.0-1) [non-free]
documentation for the GNU C preprocessor (cpp)
cpp-10-hppa-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-i686-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-m68k-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mips-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU C preprocessor
cpp-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU C preprocessor
cpp-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-s390x-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-sh4-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU C preprocessor
cpp-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU C preprocessor
cpp-9 (9.3.0-22)
GNU C preprocessor
cpp-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-alpha-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU C preprocessor
cpp-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU C preprocessor
cpp-9-doc (9.3.0-1) [non-free]
documentation for the GNU C preprocessor (cpp)
cpp-9-hppa-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-i686-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-m68k-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-s390x-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-sh4-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU C preprocessor
cpp-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU C preprocessor
cpp-aarch64-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the arm64 architecture
cpp-alpha-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the alpha architecture
cpp-arm-linux-gnueabi (4:10.2.1-1)
GNU C preprocessor (cpp) for the armel architecture
cpp-arm-linux-gnueabihf (4:10.2.1-1)
GNU C preprocessor (cpp) for the armhf architecture
cpp-doc (5:10.1.0-1) [contrib]
documentation for the GNU C preprocessor (cpp)
cpp-hppa-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the hppa architecture
cpp-i686-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the i386 architecture
cpp-m68k-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the m68k architecture
cpp-mips-linux-gnu (4:10.2.0-1)
GNU C preprocessor (cpp) for the mips architecture
cpp-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU C preprocessor (cpp) for the mips64 architecture
cpp-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU C preprocessor (cpp) for the mips64el architecture
cpp-mipsel-linux-gnu (4:10.2.0-1)
GNU C preprocessor (cpp) for the mipsel architecture
cpp-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU C preprocessor (cpp) for the mipsr6 architecture
cpp-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU C preprocessor (cpp) for the mipsr6el architecture
cpp-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU C preprocessor (cpp) for the mips64r6 architecture
cpp-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU C preprocessor (cpp) for the mips64r6el architecture
cpp-powerpc-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the powerpc architecture
cpp-powerpc64-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the ppc64 architecture
cpp-powerpc64le-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the ppc64el architecture
cpp-riscv64-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the riscv64 architecture
cpp-s390x-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the s390x architecture
cpp-sh4-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the sh4 architecture
cpp-sparc64-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the sparc64 architecture
cpp-x86-64-linux-gnu (4:10.2.1-1)
GNU C preprocessor (cpp) for the amd64 architecture
cpp-x86-64-linux-gnux32 (4:10.2.1-1)
GNU C preprocessor (cpp) for the x32 architecture
cppad (2021.00.00.5-1)
Automatic Differentiation of C++ algorithms, dummy package
cppcheck (2.3-1)
tool for static C/C++ code analysis (CLI)
cppcheck-gui (2.3-1)
tool for static C/C++ code analysis (GUI)
cpphs (1.20.9.1-1+b2 [s390x], 1.20.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Simplified cpp-a-like preprocessor for Haskell
cppman (0.5.0+dfsg1-1)
C++ 98/11 manual pages for Linux, with source from cplusplus.com
cppo (1.6.6-2+b1)
cpp for OCaml
cppreference-doc-en-html (20170409-2)
C and C++ standard library reference (English, Devhelp variant)
cppreference-doc-en-qch (20170409-2)
C and C++ standard library reference (English, Qt Help variant)
cpputest (4.0-2)
C/C++ based unit test framework — main package
cproto (4.7s-1)
utilities to generate C function prototypes from C source code
cpu (1.4.3-13)
console based LDAP user management tool
cpu-checker (0.7-1.1+b1 [amd64], 0.7-1.1 [arm64, armhf, i386, ppc64el, s390x])
tools to help evaluate certain CPU (or BIOS) features
cpu-x (4.1.0-1)
Tool that gathers information on CPU, motherboard and more
cpufreqd (2.4.2-2.3+b1 [ppc64el], 2.4.2-2.3 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x])
fully configurable daemon for dynamic frequency and voltage scaling
cpufrequtils (008-2)
utilities to deal with the cpufreq Linux kernel feature
cpuid (20201006-1)
tool to dump x86 CPUID information about the CPU(s)
cpuidtool (0.5.0+repack1-1+b1)
C library for x86/x86_64 CPU detection and feature extraction (tool)
cpuinfo (0.0~git20200612.63b2545-2)
CPU INFOrmation library (binary utilities)
cpulimit (2.6-3)
tool for limiting the CPU usage of a process
cpupower-gui (0.7.2-2)
GUI utility to change the CPU frequency
cpuset (1.6-4.1)
Allows manipluation of cpusets and provides higher level fun
cpustat (0.02.13-1)
periodic cpu utilization statistics
cputool (0.0.8-2+b1)
Utility which manages CPU usage and system load
cqrlog (2.5.1-2)
Advanced logging program for hamradio operators
cqrlog-data (2.5.1-2)
Advanced logging program for hamradio operators (data files)
crac (2.5.2+dfsg-4)
integrated RNA-Seq read analysis
crack (5.0a-13)
Password guessing program (crypt() variant)
crack
本虛擬套件由這些套件填實: crack-md5
crack-attack (1.1.14-9.1+b2)
multiplayer OpenGL puzzle game like "Tetris Attack"
crack-common (5.0a-13)
Password guessing program (common files of all variants)
crack-md5 (5.0a-13)
Password guessing program (MD5 variant)
cracklib-runtime (2.9.6-3.4)
runtime support for password checker library cracklib2
crafty (23.4-7) [non-free]
state-of-the-art chess engine, compatible with xboard
crafty-bitmaps (1.0-1) [contrib]
bitmap images for crafty chess game annotation mode
crafty-books-medium (1.0.debian1-2) [contrib]
Medium size opening books for the crafty chess engine
crafty-books-medtosmall (1.0.debian1-2) [contrib]
Medium-to-small size opening books for crafty chess engine
crafty-books-small (1.0.debian1-2) [contrib]
Small-size opening books for crafty chess engine
cramfsswap (1.4.2)
swap endianness of a cram filesystem (cramfs)
crash (7.2.9-2)
kernel debugging utility, allowing gdb like syntax
crashmail (1.7-2)
JAM and *.MSG capable Fidonet tosser
crashme (2.8.5-1+b2)
tool to test kernel stability
crasm (1.8-3)
Cross assembler for 6800/6801/6803/6502/65C02/Z80
crawl (2:0.26.1-1)
Dungeon Crawl, a text-based roguelike game
crawl-common (2:0.26.1-1)
Dungeon Crawl, a text-based roguelike game (data files)
crawl-tiles (2:0.26.1-1)
Dungeon Crawl, a roguelike game, tiles version
crawl-tiles-data (2:0.26.1-1)
Dungeon Crawl, a text-based roguelike game (tile data files)
crazydiskinfo (1.1.0-2)
interactive TUI S.M.A.R.T viewer
crazywa (0.0.0~git2019.06.13-2)
AI engine for Wa Shogi and several other variants
crc-modules
本虛擬套件由這些套件填實: crc-modules-5.10.0-27-5kc-malta-di, crc-modules-5.10.0-25-arm64-di, crc-modules-5.10.0-28-5kc-malta-di, crc-modules-5.10.0-25-armmp-di, crc-modules-5.10.0-25-686-pae-di, crc-modules-5.10.0-25-5kc-malta-di, crc-modules-5.10.0-28-s390x-di, crc-modules-5.10.0-26-marvell-di, crc-modules-5.10.0-27-s390x-di, crc-modules-5.10.0-25-marvell-di, crc-modules-5.10.0-26-octeon-di, crc-modules-5.10.0-27-686-di, crc-modules-5.10.0-25-686-di, crc-modules-5.10.0-25-octeon-di, crc-modules-5.10.0-27-octeon-di, crc-modules-5.10.0-27-amd64-di, crc-modules-5.10.0-25-4kc-malta-di, crc-modules-5.10.0-28-arm64-di, crc-modules-5.10.0-28-4kc-malta-di, crc-modules-5.10.0-28-powerpc64le-di, crc-modules-5.10.0-26-powerpc64le-di, crc-modules-5.10.0-28-marvell-di, crc-modules-5.10.0-28-armmp-di, crc-modules-5.10.0-27-686-pae-di, crc-modules-5.10.0-28-686-di, crc-modules-5.10.0-26-armmp-di, crc-modules-5.10.0-26-686-pae-di, crc-modules-5.10.0-26-686-di, crc-modules-5.10.0-28-loongson-3-di, crc-modules-5.10.0-26-amd64-di, crc-modules-5.10.0-25-s390x-di, crc-modules-5.10.0-26-5kc-malta-di, crc-modules-5.10.0-26-4kc-malta-di, crc-modules-5.10.0-27-loongson-3-di, crc-modules-5.10.0-28-686-pae-di, crc-modules-5.10.0-25-loongson-3-di, crc-modules-5.10.0-25-amd64-di, crc-modules-5.10.0-26-arm64-di, crc-modules-5.10.0-26-s390x-di, crc-modules-5.10.0-27-armmp-di, crc-modules-5.10.0-25-powerpc64le-di, crc-modules-5.10.0-27-marvell-di, crc-modules-5.10.0-27-4kc-malta-di, crc-modules-5.10.0-27-arm64-di, crc-modules-5.10.0-26-loongson-3-di, crc-modules-5.10.0-28-octeon-di, crc-modules-5.10.0-27-powerpc64le-di, crc-modules-5.10.0-28-amd64-di
crc-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-686-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
CRC modules
crc-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-686-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-686-pae-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-amd64-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-arm64-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-armmp-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-loongson-3-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-marvell-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-octeon-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-26-s390x-di (5.10.197-1)
CRC modules
crc-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-686-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
CRC modules
crc-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-686-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-686-pae-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-amd64-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-arm64-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-armmp-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-loongson-3-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-marvell-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-octeon-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
CRC modules
crc-modules-5.10.0-28-s390x-di (5.10.209-2)
CRC modules
crda (4.14+git20191112.9856751-1)
wireless Central Regulatory Domain Agent
cream (0.43-3.1)
VIM macros that make the VIM easier to use for beginners
create-resources (0.1.3-6)
shared resources for use by creative applications
created-fstab
本虛擬套件由這些套件填實: partman-base
createfp (3.4.5-1)
Language detection library - fingerprint generation utility
createrepo-c (0.17.0-1)
tool to create RPM repository metadata (C implementation)
credential-sheets (0.0.3-3)
User account credential sheets tool
creduce (2.10.0+20201029-3)
Test-Case Reduction for C Compiler Bugs
cricket (1.0.5-22)
Program for collection and display of time-series data
crimson (0.5.2-1.1+b1)
hex-based tactical game
crip (3.9-2)
terminal-based ripper/encoder/tagger tool
crispy-doom (5.10.0-1)
Limit-raising medium-resolution Doom engine based on Chocolate Doom
critcl (3.1.18.1+dfsg-3)
compiled runtime in Tcl
criticalmass (1:1.0.2-2)
Shoot-em-up a la galaxian
criticalmass-data (1:1.0.2-2)
Shoot-em-up a la galaxian (data files)
critterding (1.0-beta12.1-1.3+b1)
Evolving Artificial Life
crm114 (20100106-10)
versatile classifier for e-mail and other data
crmsh (4.2.1-2)
CRM shell for the pacemaker cluster manager
crmsh-doc (4.2.1-2)
crmsh HTML Documentation
cron (3.0pl1-137)
process scheduling daemon
cron
本虛擬套件由這些套件填實: bcron
cron-apt (0.13.0+nmu1)
automatic update of packages using apt-get
cron-daemon
本虛擬套件由這些套件填實: systemd-cron, bcron, cron
cronic (3-2.1)
Bash script for wrapping cron jobs to prevent excess email sending
cronolog (1.6.2+rpk-3)
Logfile rotator for web servers
cronometer (0.9.9+dfsg-4)
CRON-o-Meter - Exercise and nutrient intake tracker
cronutils (1.9-2)
Utilities to assist running batch processing jobs
cross-config (2.6.18+nmu1)
autotools support for cross-compilation
cross-gcc-dev (245)
Tools for building cross-compilers and cross-compiler packages
crossbuild-essential-amd64 (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-arm64 (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-armel (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-armhf (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-i386 (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mips (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mips64 (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mips64el (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mips64r6 (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mips64r6el (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mipsel (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mipsr6 (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-mipsr6el (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-powerpc (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-ppc64el (12.9)
Informational list of cross-build-essential packages
crossbuild-essential-s390x (12.9)
Informational list of cross-build-essential packages
crossfire-client (1.75.0-2)
Client for the multiplayer roguelike Crossfire
crossfire-client-images (1.70.0-2)
Base crossfire-client images
crossfire-common (1.75.0-3)
Architecture independent common files for Crossfire server
crossfire-doc (1.75.0-3)
Documentation for Crossfire
crossfire-maps (1.75.0+dfsg1-1)
Standard set of maps for crossfire
crossfire-maps
本虛擬套件由這些套件填實: crossfire-maps-small
crossfire-maps-small (1.5.0-3.1)
Small set of maps for crossfire
crossfire-server (1.75.0-3)
Server for Crossfire Games
crossgrader (0.0.3+nmu3)
Debian crossgrading tool
crosshurd (1.7.57)
Install a Debian system
crossystem
本虛擬套件由這些套件填實: cgpt
crowdsec (1.0.9-2+b4)
lightweight and collaborative security engine
crrcsim (0.9.13-3.2)
Model-Airplane Flight Simulator
crrcsim-data (0.9.13-3.2)
Data files for crrcsim package
crrcsim-doc (0.9.13-3.2)
Documentation for crrcsim package
crudini (0.9.3-4)
utility for manipulating ini files
cruft (0.9.39)
program that finds any cruft built up on your system
cruft-common (0.9.39)
information database shared by cruft & cruft-ng
cruft-ng (0.4.9)
program that finds any cruft built up on your system
crun (0.17+dfsg-1+deb11u2)
lightweight OCI runtime for running containers
crunch (3.6-3)
tool for creating wordlist
cryfs (0.10.2-5)
encrypt your files and store them in the cloud
crypt++el (2.94-3.1)
Emacs-Lisp Code for handling compressed and encrypted files
cryptcat (20031202-4+b2)
A lightweight version netcat extended with twofish encryption
cryptmount (5.3.3-1+deb11u1)
Management of encrypted file systems
crypto-dm-modules
本虛擬套件由這些套件填實: crypto-dm-modules-5.10.0-27-octeon-di, crypto-dm-modules-5.10.0-26-s390x-di, crypto-dm-modules-5.10.0-28-arm64-di, crypto-dm-modules-5.10.0-28-marvell-di, crypto-dm-modules-5.10.0-25-loongson-3-di, crypto-dm-modules-5.10.0-26-powerpc64le-di, crypto-dm-modules-5.10.0-27-armmp-di, crypto-dm-modules-5.10.0-26-arm64-di, crypto-dm-modules-5.10.0-28-s390x-di, crypto-dm-modules-5.10.0-27-amd64-di, crypto-dm-modules-5.10.0-28-powerpc64le-di, crypto-dm-modules-5.10.0-26-686-pae-di, crypto-dm-modules-5.10.0-25-arm64-di, crypto-dm-modules-5.10.0-27-loongson-3-di, crypto-dm-modules-5.10.0-27-686-pae-di, crypto-dm-modules-5.10.0-28-octeon-di, crypto-dm-modules-5.10.0-25-4kc-malta-di, crypto-dm-modules-5.10.0-26-5kc-malta-di, crypto-dm-modules-5.10.0-25-5kc-malta-di, crypto-dm-modules-5.10.0-26-octeon-di, crypto-dm-modules-5.10.0-27-s390x-di, crypto-dm-modules-5.10.0-26-4kc-malta-di, crypto-dm-modules-5.10.0-25-amd64-di, crypto-dm-modules-5.10.0-28-686-pae-di, crypto-dm-modules-5.10.0-25-686-di, crypto-dm-modules-5.10.0-25-s390x-di, crypto-dm-modules-5.10.0-27-4kc-malta-di, crypto-dm-modules-5.10.0-25-686-pae-di, crypto-dm-modules-5.10.0-28-armmp-di, crypto-dm-modules-5.10.0-27-powerpc64le-di, crypto-dm-modules-5.10.0-28-4kc-malta-di, crypto-dm-modules-5.10.0-26-loongson-3-di, crypto-dm-modules-5.10.0-25-marvell-di, crypto-dm-modules-5.10.0-28-amd64-di, crypto-dm-modules-5.10.0-27-marvell-di, crypto-dm-modules-5.10.0-27-arm64-di, crypto-dm-modules-5.10.0-26-686-di, crypto-dm-modules-5.10.0-27-5kc-malta-di, crypto-dm-modules-5.10.0-28-686-di, crypto-dm-modules-5.10.0-28-loongson-3-di, crypto-dm-modules-5.10.0-27-686-di, crypto-dm-modules-5.10.0-28-5kc-malta-di, crypto-dm-modules-5.10.0-26-amd64-di, crypto-dm-modules-5.10.0-25-powerpc64le-di, crypto-dm-modules-5.10.0-26-marvell-di, crypto-dm-modules-5.10.0-26-armmp-di, crypto-dm-modules-5.10.0-25-armmp-di, crypto-dm-modules-5.10.0-25-octeon-di
crypto-dm-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-686-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-686-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-686-pae-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-amd64-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-arm64-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-armmp-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-loongson-3-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-marvell-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-octeon-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-26-s390x-di (5.10.197-1)
devicemapper crypto module
crypto-dm-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-686-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
devicemapper crypto module
crypto-dm-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-686-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-686-pae-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-amd64-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-arm64-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-armmp-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-loongson-3-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-marvell-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-octeon-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
devicemapper crypto module
crypto-dm-modules-5.10.0-28-s390x-di (5.10.209-2)
devicemapper crypto module
crypto-modules
本虛擬套件由這些套件填實: crypto-modules-5.10.0-25-arm64-di, crypto-modules-5.10.0-26-powerpc64le-di, crypto-modules-5.10.0-27-octeon-di, crypto-modules-5.10.0-27-s390x-di, crypto-modules-5.10.0-27-loongson-3-di, crypto-modules-5.10.0-28-loongson-3-di, crypto-modules-5.10.0-26-armmp-di, crypto-modules-5.10.0-25-686-di, crypto-modules-5.10.0-28-armmp-di, crypto-modules-5.10.0-25-marvell-di, crypto-modules-5.10.0-28-686-di, crypto-modules-5.10.0-26-4kc-malta-di, crypto-modules-5.10.0-27-powerpc64le-di, crypto-modules-5.10.0-25-4kc-malta-di, crypto-modules-5.10.0-26-marvell-di, crypto-modules-5.10.0-27-5kc-malta-di, crypto-modules-5.10.0-28-686-pae-di, crypto-modules-5.10.0-26-arm64-di, crypto-modules-5.10.0-26-octeon-di, crypto-modules-5.10.0-28-s390x-di, crypto-modules-5.10.0-25-loongson-3-di, crypto-modules-5.10.0-28-amd64-di, crypto-modules-5.10.0-27-amd64-di, crypto-modules-5.10.0-25-powerpc64le-di, crypto-modules-5.10.0-28-arm64-di, crypto-modules-5.10.0-25-armmp-di, crypto-modules-5.10.0-27-armmp-di, crypto-modules-5.10.0-26-686-di, crypto-modules-5.10.0-26-loongson-3-di, crypto-modules-5.10.0-27-686-pae-di, crypto-modules-5.10.0-27-686-di, crypto-modules-5.10.0-28-5kc-malta-di, crypto-modules-5.10.0-27-marvell-di, crypto-modules-5.10.0-25-amd64-di, crypto-modules-5.10.0-26-5kc-malta-di, crypto-modules-5.10.0-26-686-pae-di, crypto-modules-5.10.0-26-s390x-di, crypto-modules-5.10.0-25-686-pae-di, crypto-modules-5.10.0-27-arm64-di, crypto-modules-5.10.0-25-s390x-di, crypto-modules-5.10.0-28-powerpc64le-di, crypto-modules-5.10.0-26-amd64-di, crypto-modules-5.10.0-25-octeon-di, crypto-modules-5.10.0-28-4kc-malta-di, crypto-modules-5.10.0-28-marvell-di, crypto-modules-5.10.0-28-octeon-di, crypto-modules-5.10.0-27-4kc-malta-di, crypto-modules-5.10.0-25-5kc-malta-di
crypto-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-686-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
crypto modules
crypto-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-686-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-686-pae-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-amd64-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-arm64-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-armmp-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-loongson-3-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-marvell-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-octeon-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-26-s390x-di (5.10.197-1)
crypto modules
crypto-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-686-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
crypto modules
crypto-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-686-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-686-pae-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-amd64-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-arm64-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-armmp-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-loongson-3-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-marvell-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-octeon-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
crypto modules
crypto-modules-5.10.0-28-s390x-di (5.10.209-2)
crypto modules
cryptol (2.8.0-1+b3 [s390x], 2.8.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.8.0-1+b1 [mips64el, mipsel])
domain-specific language of cryptography
cryptominisat (5.8.0+dfsg1-1+b3)
SAT solver command line interface
cryptsetup (2:2.3.7-1+deb11u1)
disk encryption support - startup scripts
cryptsetup-bin (2:2.3.7-1+deb11u1)
disk encryption support - command line tools
cryptsetup-initramfs (2:2.3.7-1+deb11u1)
disk encryption support - initramfs integration
cryptsetup-run (2:2.3.7-1+deb11u1)
transitional dummy package for cryptsetup
cryptsetup-udeb (2:2.3.7-1+deb11u1)
disk encryption support - commandline tools (udeb)
crystal-facet-uml (1.27.3-1)
Diagram documentation tool for system and software architecture:
crystalcursors (1.1.1-14.1)
X11 mouse theme with the crystal look&feel
cs (2.7.1-1)
simple, yet powerful CloudStack API client
csb (1.2.5+dfsg-5)
Computational Structural Biology Toolbox (CSB)
cscope (15.9-1)
interactively examine a C program source
csh (20110502-6)
Shell with C-like syntax
csladspa (1:6.11.1-1+b1 [amd64], 1:6.11.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LADSPA plugin for Csound
csmash (0.6.6-6.8+b1)
CannonSmash, a table tennis simulation game
csmash-data (0.6.6-6.8)
data files for the CannonSmash game
csmash-demosong (1.4+nmu1)
Demo song for CannonSmash
csmith (2.3.0-6)
generator of random C programs
csound (1:6.14.0~dfsg-6+b1)
powerful and versatile sound synthesis software
csound-data (1:6.14.0~dfsg-6)
data files used by the csound library
csound-doc (1:6.15.0~dfsg-1)
documentation for csound
csound-utils (1:6.14.0~dfsg-6+b1)
miscellaneous utilities for the Csound system
csoundqt (0.9.8.1~dfsg0-1)
frontend for the csound sound processor
csoundqt-examples (0.9.8.1~dfsg0-1)
example scores for csoundqt
css-beautify (= 1.13.6+dfsg+~0.15.3-1)
本虛擬套件由這些套件填實: node-js-beautify
css2xslfo (1.6.2-2)
XML+CSS2 to XSL-FO converter
cssc (1.4.1-1)
Clone of the Unix SCCS revision-control system
cssmin (0.2.0-10)
YUI CSS compression algorithm (command line tools)
csstidy (1.4-6)
CSS parser and optimiser
cstocs (1:3.44-1.1)
Recoding utility and Czech sorter
cstools-vn
本虛擬套件由這些套件填實: cstocs
cstream (3.1.1-1)
general-purpose stream-handling tool similar to dd
csv2latex (0.22-1)
command-line CSV to LaTeX file converter
csvkit (1.0.5-2)
command-line tools for working with CSV
csvkit-doc (1.0.5-2)
documentation for csvkit
csvtool (2.4-1+b1)
handy command line tool for handling CSV files
csync2 (2.0-25-gc0faaf9-1)
cluster synchronization tool
ctags
本虛擬套件由這些套件填實: exuberant-ctags, universal-ctags
ctapi-dev (1.1+nmu1)
Card Terminal (CT) API definition
ctdb (2:4.13.13+dfsg-1~deb11u6) [security]
clustered database to store temporary data
ctdconverter (2.1-3)
Convert CTD files into Galaxy tool and CWL CommandLineTool files
cthreadpool-dev (0.0+git20170424-2)
minimal ANSI C thread pool - development files
cthumb (4.2-3.1)
Program to generate themable Web picture albums
ctioga2 (0.14.1-2)
polymorphic plotting program
ctn (3.2.0~dfsg-7)
Central Test Node, a DICOM implementation for medical imaging
ctn-dev (3.2.0~dfsg-7)
Development files for Central Test Node, a DICOM implementation
ctorrent (1.3.4.dnh3.3.2-5+b1)
BitTorrent Client written in C++
ctpl (0.3.4+dfsg-1.1)
command-line template parsing utility
ctsim (6.0.2-5)
Computed tomography simulator
ctsim-doc (6.0.2-5)
Documentation for ctsim package
ctsim-help (6.0.2-5)
Online help file for CTSim
ctwm (3.7-5)
Claude's Tab window manager
cu (1.07-27+b1)
call up another system
cube2 (0.0.20201227+dfsg-2)
3D first-person shooter game engine
cube2-data (1.3-1)
demo game and content for the Cube2 engine
cube2-server (0.0.20201227+dfsg-2)
standalone server for Cube2 based games
cube2font (1.5.1-1)
utility program for creating font bitmaps for Cube Engine games
cubemap (1.4.3-2)
scalable video reflector, designed to be used with VLC
cubicsdr (0.2.5+dfsg-3+b1)
Software Defined Radio receiver
cucumber (2.4.0-4)
acceptance testing framework
cudf-solver
本虛擬套件由這些套件填實: mccs, packup, aspcud
cudf-tools (0.9-1+b1)
command line tools for package upgrade problem descriptions
cue2toc (0.4-5+b2)
converts CUE files to cdrdao's TOC format
cuetools (1.4.1-0.2)
tools for manipulating CUE/TOC files
cufflinks (2.2.1+dfsg.1-8+b1) [non-free]
Transcript assembly, differential expression and regulation for RNA-Seq
culmus (0.133-1)
TrueType and Type1 Hebrew Fonts for X11
culmus-fancy (0.0.20140315-1)
Type1 Fancy Hebrew Fonts for X11
cultivation (9+dfsg1-2+b2)
game about the interactions within a gardening community
cuneiform (1.1.0+dfsg-8) [non-free]
multi-language OCR system
cuneiform-common (1.1.0+dfsg-8) [non-free]
multi-language OCR system (common)
cup (0.11b-20160615-2)
LALR parser generator for Java(tm)
cupp (0.0+20190501.git986658-6)
generate dictionaries for attacks from personal data
cupp3 (0.0+20190501.git986658-6)
transitional package
cups (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - PPD/driver support, web interface
cups-backend-bjnp (2.0.3-1)
printer backend for Canon BJNP protocol
cups-browsed (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - cups-browsed
cups-bsd (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - BSD commands
cups-client (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - client programs (SysV)
cups-common (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - common files
cups-core-drivers (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - driverless printing
cups-daemon (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - daemon
cups-filters (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - Main Package
cups-filters-core-drivers (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - Driverless printing
cups-ipp-utils (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - IPP developer/admin utilities
cups-pdf
本虛擬套件由這些套件填實: printer-driver-cups-pdf
cups-pk-helper (0.2.6-1+b1)
PolicyKit helper to configure cups with fine-grained privileges
cups-ppdc (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - PPD manipulation utilities
cups-server-common (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - server common files
cups-tea4cups (3.14~alpha0+svn3576-2)
Swiss Army's knife of advanced CUPS administrators
cups-x2go (3.0.1.4-1)
Virtual X2Go printer for CUPS
cupt (2.10.4+nmu1+b1)
flexible package manager -- console interface
cupt-dbg (2.10.4+nmu1+b1)
flexible package manager -- debugging symbols
cura (4.8-4)
GUI G-code generator for 3D printers
cura-engine (1:4.8-1)
command line slicer engine for 3d printing
curl (7.74.0-1.3+deb11u11)
command line tool for transferring data with URL syntax
curlftpfs (0.9.2-9+b1)
filesystem to access FTP hosts based on FUSE and cURL
curry-frontend (2.0.0-2)
Lexer, parser, type checker, etc. for Curry; executable
curry-libs-source (3.0.0-2)
Standard libraries of the Curry distributions PAKCS and KiCS2 (source files)
curry-tools-source (3.3.0-2)
Tools that are used by different Curry systems, like PAKCS or KiCS2
curseofwar (1.1.8-3.1)
Fast-paced action strategy game for Linux based on ncurses
curtain (0.3-1.1)
handy curtain for the desktop
curvedns (0.87-6)
DNS/DNSCurve forwarding name server - binaries
custodia (0.6.0-5)
Modular and pluggable Secrets Manager
cutadapt (3.2-2)
Clean biological sequences from high-throughput sequencing reads
cutecom (0.30.3-1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.30.3-1+b1 [armel, armhf])
Graphical serial terminal, like minicom
cutemaze (1.2.6-1)
single player maze game
cutesdr (1.20-4)
simple demodulation and spectrum display program
cutils (1.6-5)
C source code utilities
cutycapt (0.0~svn10-0.1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.0~svn10-0.1+b1 [armel, armhf])
utility to capture WebKit's rendering of a web page
cuyo (2.1.0-1)
Tetris-like game with very impressive effects
cuyo-data (2.1.0-1)
data files for the game cuyo
cvc4 (1.8-2)
automated theorem prover for SMT problems
cve-dictionary
本虛擬套件由這些套件填實: go-cve-dictionary
cvise (2.1.0-1)
super-parallel Python port of the C-Reduce project
cvm (0.97-2)
Credential Validation Modules
cvm-dev
本虛擬套件由這些套件填實: libcvm1-dev
cvm-mysql (0.97-2)
Credential Validation Modules (MySQL)
cvm-pgsql (0.97-2)
Credential Validation Modules (PostgreSQL)
cvs (2:1.12.13+real-28)
Concurrent Versions System
cvs-buildpackage (5.26)
set of Debian package scripts for CVS source trees
cvs-fast-export (1.55-1)
Export an RCS or CVS history as a fast-import stream
cvs-mailcommit (1.19-6)
Send CVS commitments via mail
cvsd (1.0.24+b1)
chroot wrapper to run 'cvs pserver' more securely
cvsdelta (1.7.0-7)
Summarize differences in a CVS repository
cvsgraph (1.7.0-5+b1 [amd64], 1.7.0-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Create a tree of revisions/branches from a CVS/RCS file
cvsps (2.1-8)
Tool to generate CVS patch set information
cvsservice (4:20.12.0-2)
D-Bus service for accessing CVS repositories
cvsutils (0.2.5-1.1)
CVS utilities for use in working directories
cvsweb (3:3.0.6-8.1)
CGI interface to your CVS repository
cw (3.5.1-4)
Morse code tutor - command line user interface
cwcp (3.5.1-4)
Morse code tutor - text user interface
cwdaemon (0.10.2-2+b1 [amd64], 0.10.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
morse daemon for the parallel or serial port
cwebx (3.52-3)
C/C++ literate programming system (Marc van Leeuwen's version)
cwl-runner
本虛擬套件由這些套件填實: cwltool, toil
cwlformat (2021.01.05-1)
code formatter for Common Workflow Language
cwltool (3.0.20210124104916-3+deb11u1)
Common Workflow Language reference implementation
cwm (6.6-2)
lightweight and efficient window manager for X11
cxref (1.6e-3.1)
Generates LaTeX and HTML documentation for C programs
cxref-doc (1.6e-3.1)
Generates LaTeX and HTML documentation for C programs
cxref-emacs (1.6e-3.1)
Generates LaTeX and HTML documentation for C programs
cxxtest (4.4+git171022-2)
lightweight xUnit-like framework for C/C++ applications
cycfx2prog (0.47-1.1)
Cypress EZ-USB FX2 (LP) programmer
cyclades-serial-client (0.94)
Network Serial port client software for Cyclades terminal servers
cyclist (0.2~beta3-5)
Utility for converting Max/MSP binary patches to text
cyclograph (1.9.1-1.1)
route altimetry plotting application
cyclograph-gtk3 (1.9.1-1.1)
route altimetry plotting application - GTK3 interface
cyclograph-qt5 (1.9.1-1.1)
route altimetry plotting application - Qt interface
cylc
本虛擬套件由這些套件填實: cylc-flow
cylc-flow (8.0~a2-1)
Workflow scheduler
cynthiune.app (1.0.0-2+b3)
Music player for GNUstep
cypher-lint (0.6.0-1)
Lint tool for the Cypher query language
cyrus-admin (3.2.6-2+deb11u2)
Cyrus mail system - administration tools
cyrus-caldav (3.2.6-2+deb11u2)
Cyrus mail system - CalDAV and CardDAV support
cyrus-clients (3.2.6-2+deb11u2)
Cyrus mail system - test clients
cyrus-common (3.2.6-2+deb11u2)
Cyrus mail system - common files
cyrus-dev (3.2.6-2+deb11u2)
Cyrus mail system - developer files
cyrus-doc (3.2.6-2+deb11u2)
Cyrus mail system - documentation files
cyrus-imapd (3.2.6-2+deb11u2)
Cyrus mail system - IMAP support
cyrus-imspd (1.8-5)
Internet Message Support Protocol daemon
cyrus-murder (3.2.6-2+deb11u2)
Cyrus mail system - proxies and aggregator
cyrus-nntpd (3.2.6-2+deb11u2)
Cyrus mail system - NNTP support
cyrus-pop3d (3.2.6-2+deb11u2)
Cyrus mail system - POP3 support
cyrus-replication (3.2.6-2+deb11u2)
Cyrus mail system - replication
cyrus-sasl2-doc (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - documentation
cysignals-tools (1.10.2+ds-6)
interrupt and signal handling for Cython -- tools
cytadela (1.1.0-4) [contrib]
old-school first person shooter game
cytadela-data (1.1.0-4) [contrib]
game data for cytadela
cytadela-dbg (1.1.0-4) [contrib]
debugging symbols for cytadela
cython-doc (0.29.21-3)
C-Extensions for Python - documentation
cython3 (0.29.21-3+b1)
C-Extensions for Python 3
cython3-dbg (0.29.21-3+b1)
C-Extensions for Python 3 - debug build
d-compiler
本虛擬套件由這些套件填實: gdc-9, ldc, gdc-10
d-feet (0.3.15-3)
D-Bus object browser, viewer and debugger
d-itg (2.8.1-r1023-4)
Distributed Internet Traffic Generator
d-shlibs (0.98)
Debian shared library package building helper scripts
d-v2-compiler
本虛擬套件由這些套件填實: ldc, gdc-9, gdc-10
d1x-rebirth (0.58.1-1.2) [non-free]
port of the 1995 classic game Descent 1: First Strike
d2x-rebirth (0.58.1-1.3) [non-free]
port of the 1996 classic game Descent 2: Counterstrike
d52 (3.4.1-1.1+b2)
Disassembler for 8052, 8048/8041, and Z80/8080/8085 code
daa2iso (0.1.7e-1.1)
DAA files (Direct Access Archive) to ISO converting tool
dablin (1.13.0-1)
CLI and GTK+ GUI DAB & DAB+ receiver client
dacco-common (2021.01.01-1)
Catalan <-> English dictionary (XML files)
dact (0.8.42-5)
multi-algorithm compression
dadadodo (1.04-7+b1 [mips64el], 1.04-7 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
exterminates all rational thought
daemon (0.7-1)
turns other processes into daemons
daemonize (1.7.8-1)
tool to run a command as a daemon
daemonlogger (1.2.1-8+b1)
simple network packet logger and soft tap daemon
daemontools (1:0.76-7)
collection of tools for managing UNIX services
daemontools-run (1:0.76-7)
daemontools service supervision
dafny (2.3.0+dfsg-0.1)
programming language with program correctness verifier
dahdi (1:3.1.0-2)
utilities for using the DAHDI kernel modules
dahdi-dkms (1:2.11.1.0.20170917~dfsg-7.4)
DAHDI telephony interface (dkms kernel driver)
dahdi-firmware-nonfree (2.11.1.0.20170917-1) [non-free]
DAHDI non-free firmware
dahdi-linux (1:2.11.1.0.20170917~dfsg-7.4)
DAHDI telephony interface - Linux userspace parts
dahdi-source (1:2.11.1.0.20170917~dfsg-7.4)
DAHDI telephony interface - source code for kernel driver
dailystrips (1.0.28-11.1)
view web comic strips more conveniently
daisy-player (12.1-1)
player for DAISY Digital Talking Books
daligner (1.0+git20200727.ed40ce5-3)
local alignment discovery between long nucleotide sequencing reads
dalvik-exchange (10.0.0+r36-1)
Manipulate Dalvik eXchange format and produce dex files
damapper (0.0+git20200322.b2c9d7f-3)
long read to reference genome mapping tool
dangen (0.5-6)
shoot 'em up game where accurate shooting matters
danmaq (0.2.3.2-1)
Small client side Qt program to play danmaku on any screen
dante-client (1.4.2+dfsg-7)
SOCKS wrapper for users behind a firewall
dante-server (1.4.2+dfsg-7+b2)
SOCKS (v4 and v5) proxy daemon (danted)
daphne (3.0.1-1)
Django Channels HTTP/WebSocket server
dapl2-utils (2.1.10.1.f1e05b7a-3+b1 [amd64], 2.1.10.1.f1e05b7a-3 [arm64, i386, ppc64el, s390x])
utilities for use with the DAPL libraries
daps (3.0.0-4)
DocBook Authoring and Publishing Suite (DAPS)
daptup (0.12.7+nmu1)
reporter of changes in list of available packages from repositories
dar (2.6.13-2+b3)
Disk ARchive: Backup directory tree and files
dar-docs (2.6.13-2)
Disk ARchive: Backup directory tree and files
dar-static (2.6.13-2+b3)
Disk ARchive: Backup directory tree and files
darcs (2.14.5-1)
distributed, interactive, smart revision control system
darcs-monitor (0.4.2-14+b2 [armel], 0.4.2-14+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Darcs add-on that sends mail about newly pushed changes
darcsum (1.10+20120116-4)
Transition package, darcsum to elpa-darcsum
darcsum
本虛擬套件由這些套件填實: elpa-darcsum
darcula (2018.2-2)
Dark Look and Feel for Java desktop applications
dares (0.6.5+repack-2+b1 [amd64], 0.6.5+repack-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
rescue files from damaged CDs and DVDs (ncurses-interface)
darkblood-gtk-theme (0-3)
dark GTK2/GTK3/Metacity theme
darkcold-gtk-theme (5.0.0-3)
dark GTK2/GTK3/Metacity theme
darkfire-gtk-theme (0-3)
dark GTK2/GTK3/Metacity theme
darkice (1.3-0.2)
Live audio streamer
darkmint-gtk-theme (2.0.0-3)
dark GTK2/GTK3/Metacity theme
darknet (0.0.0+git20180914.61c9d02e-2+b2)
Open Source Neural Networks in C
darkplaces (0~20180908~beta1-3)
Game engine for Quake and similar 3D first person shooter games
darkplaces-server (0~20180908~beta1-3)
Standalone server for Quake-based games
darkradiant (2.11.0-1)
Level design toolchain for DOOM3 and The Dark Mod
darkradiant-plugins-darkmod (2.11.0-1)
DarkMod-specific plugins for DarkRadiant
darkslide (5.1.0-1)
HTML5 slideshow generator
darksnow (0.7.1-3)
simple graphical user interface to darkice
darkstat (3.0.719-1+b1)
network traffic analyzer
darktable (3.4.1-5)
virtual lighttable and darkroom for photographers
darnwdl (0.5-2+b2)
WDL document format viewer
dart-doc (6.9.5-3)
Dynamic Animation and Robotics Toolkit - Documentation
darts (0.32-20)
C++ Template Library for implementation of Double-Array
das-watchdog (0.9.0-3.2+b3)
solves system lock-ups by making all processes non-realtime
dascrubber (1.1-2)
alignment-based scrubbing pipeline for DNA sequencing reads
dasd-extra-modules
本虛擬套件由這些套件填實: dasd-extra-modules-5.10.0-25-s390x-di, dasd-extra-modules-5.10.0-28-s390x-di, dasd-extra-modules-5.10.0-26-s390x-di, dasd-extra-modules-5.10.0-27-s390x-di
dasd-extra-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
optional dasd DIAG support
dasd-extra-modules-5.10.0-26-s390x-di (5.10.197-1)
optional dasd DIAG support
dasd-extra-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
optional dasd DIAG support
dasd-extra-modules-5.10.0-28-s390x-di (5.10.209-2)
optional dasd DIAG support
dasd-modules
本虛擬套件由這些套件填實: dasd-modules-5.10.0-27-s390x-di, dasd-modules-5.10.0-25-s390x-di, dasd-modules-5.10.0-26-s390x-di, dasd-modules-5.10.0-28-s390x-di
dasd-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
dasd modules
dasd-modules-5.10.0-26-s390x-di (5.10.197-1)
dasd modules
dasd-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
dasd modules
dasd-modules-5.10.0-28-s390x-di (5.10.209-2)
dasd modules
dash (0.5.11+git20200708+dd9ef66-5)
POSIX-compliant shell
dash-el (2.17.0+dfsg-1)
transitional dummy package for elpa-dash
dash-el
本虛擬套件由這些套件填實: elpa-dash
dasher (5.0.0~beta~repack2-2)
graphical predictive text input system
dasher-data (5.0.0~beta~repack2-2)
Data files for dasher
dasm (2.20.15~20201109+really2.20.14.1-1)
Macro assembler with support for several 8-bit microprocessors
datalad (0.14.0-1)
data files management and distribution platform
datalad-container (1.1.2-1)
DataLad extension for working with containerized environments
datamash (1.7-2)
statistics tool for command-line interface
datapacker (1.0.3)
Tool to pack files into minimum number of CDs/DVDs/etc
dataset-fashion-mnist (0.0~git20200523.55506a9-1)
MNIST-like fashion product database
datefudge (1.24)
Fake the system date
dateutils (0.4.5-1.1)
nifty command line date and time utilities
dav-text (0.9.0-2)
minimalist ncurses-based text editor
dav1d (0.7.1-3)
fast and small AV1 video stream decoder
davfs2 (1.6.0-1)
mount a WebDAV resource as a regular file system
davical (1.1.10-1)
PHP CalDAV and CardDAV Server
davical-doc (1.1.10-1)
PHP CalDAV and CardDAV Server - technical documentation
davix (0.7.6-3)
Toolkit for http based file management
davix-dev (0.7.6-3)
Development files for davix
davix-doc (0.7.6-3)
Documentation for davix
davix-tests (0.7.6-3)
Test suite for davix
davmail (5.5.1.3299-5)
POP/IMAP/SMTP/CalDav/LDAP to Microsoft Exchange gateway
dawg (1.2-3)
simulate the evolution of recombinant DNA sequences
dawgdic-tools (0.4.5-3)
command line tools for DAWG dictionaries
daxctl (71.1-1)
Utility for managing the device DAX subsystem
dazzdb (1.0+git20201103.8d98c37-1+deb11u1)
manage nucleotide sequencing read data
db-upgrade-util (5.3.1+nmu1)
Berkeley Database Utilities (old versions)
db-util (5.3.1+nmu1)
Berkeley Database Utilities
db2twitter (0.6-1.1)
Simple Twitter bot using a database to build and send tweets
db4otool (8.0.184.15484+dfsg2-3.1)
native OODBMS for CLI (tools)
db5.3-doc (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Documentation [html]
db5.3-sql-util (5.3.28+dfsg1-0.8)
Berkeley v5.3 SQL Database Utilities
db5.3-util (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Utilities
dbab (1.5.01-1)
dnsmasq-based ad-blocking using pixelserv
dbar (0.0.20100524-6)
general purpose ASCII graphic percentage meter/progressbar
dbconfig-common (2.0.19)
framework that helps packages to manage databases
dbconfig-mysql (2.0.19)
dbconfig-common MySQL/MariaDB support
dbconfig-no-thanks (2.0.19)
dbconfig-common bypass
dbconfig-pgsql (2.0.19)
dbconfig-common PostgreSQL support
dbconfig-sqlite3 (2.0.19)
dbconfig-common SQLite3 support
dbeacon (0.4.0-2+b1 [amd64], 0.4.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Multicast beacon
dbench (4.0-2+b2)
The dbench (disk) and tbench (TCP) benchmarks
dbf2mysql (1.14a-7+b1 [amd64], 1.14a-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
xBase to MySQL or vice versa
dblatex (0.3.12py3-1)
Produces DVI, PostScript, PDF documents from DocBook sources
dblatex-doc (0.3.12py3-1)
Documentation for dblatex
dbmix (0.9.8-8)
DJ mixer for digital audio streams
dbskkd-cdb (1:3.00-3)
SKK dictionary server using cdb for faster access
dbtoepub (0+svn9904-4)
DocBook XML to .epub converter
dbus (1.12.28-0+deb11u1)
simple interprocess messaging system (daemon and utilities)
dbus-1-doc (1.12.28-0+deb11u1)
simple interprocess messaging system (documentation)
dbus-bin (= 1.12.24-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-bin (= 1.12.28-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-broker (26-1+deb11u2)
Linux D-Bus Message Broker
dbus-daemon (= 1.12.24-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-daemon (= 1.12.28-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-session-bus
本虛擬套件由這些套件填實: dbus-user-session, dbus-x11
dbus-session-bus-common (= 1.12.24-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-session-bus-common (= 1.12.28-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-system-bus (= 1.12.24-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-system-bus (= 1.12.28-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-system-bus-common (= 1.12.24-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-system-bus-common (= 1.12.28-0+deb11u1)
本虛擬套件由這些套件填實: dbus
dbus-test-runner (16.10.0~bzr100+repack1-4.1)
Runs tests under a new DBus session
dbus-tests (1.12.28-0+deb11u1)
simple interprocess messaging system (test infrastructure)
dbus-udeb (1.12.28-0+deb11u1)
simple interprocess messaging system (minimal runtime)
dbus-user-session (1.12.28-0+deb11u1)
simple interprocess messaging system (systemd --user integration)
dbus-x11 (1.12.28-0+deb11u1)
simple interprocess messaging system (X11 deps)
dbview (1.0.4-4)
View dBase III files
dc (1.07.1-2+b2)
GNU dc arbitrary precision reverse-polish calculator
dc3dd (7.2.646-4)
patched version of GNU dd with forensic features
dcap (2.47.12-3)
Client Tools for dCache
dcap-dev (2.47.12-3)
Client Development Files for dCache
dcap-tunnel-gsi (2.47.12-3)
GSI tunnel for dCache
dcap-tunnel-krb (2.47.12-3)
Kerberos tunnel for dCache
dcap-tunnel-ssl (2.47.12-3)
SSL tunnel for dCache
dcap-tunnel-telnet (2.47.12-3)
Telnet tunnel for dCache
dcfldd (1.7-3+deb11u1)
enhanced version of dd for forensics and security
dcl-f77 (7.4.1-1)
GFD-DENNOU Club Library (DCL) - FORTRAN77 version
dcl-f77-docs (7.4.1-1)
GFD-DENNOU Club Library (DCL) - documents
dclock (2.2.2-13)
Digital clock for the X Window System with flexible display
dcm2niix (1.0.20201102-1)
next generation DICOM to NIfTI converter
dcmtk (3.6.5-1)
OFFIS DICOM toolkit command line utilities
dcmtk-doc (3.6.5-1)
OFFIS DICOM toolkit documentation
dconf-cli (0.38.0-2)
simple configuration storage system - utilities
dconf-editor (3.38.2-1)
simple configuration storage system - graphical editor
dconf-gsettings-backend (0.38.0-2)
simple configuration storage system - GSettings back-end
dconf-service (0.38.0-2)
simple configuration storage system - D-Bus service
dcraw (9.28-2)
decode raw digital camera images
dctrl-tools (2.24-3+b1 [amd64], 2.24-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Command-line tools to process Debian package information
ddate (0.2.2-1+b1)
convert Gregorian dates to Discordian dates
ddcci-dkms (0.3.3-1)
DDC/CI driver
ddccontrol (0.4.4-1.2)
program to control monitor parameters
ddccontrol-db (20190826-1)
monitor database for ddccontrol
ddclient (3.9.1-7)
address updating utility for dynamic DNS services
ddcutil (0.9.9-2)
Query and change monitor settings - command line application
ddd (1:3.3.12-5.3)
Data Display Debugger, a graphical debugger frontend
ddd-doc (1:3.3.12-5.3)
Additional documentation for the Data Display Debugger
dde-calendar (5.7.0.4-1)
Deepin Calendar
dde-qt5integration (5.1.0.5-1)
Qt5 theme integration for Deepin application
ddgr (1.9-2)
DuckDuckGo from the terminal
ddir (2019.0505-2)
display hierarchical directory tree
ddnet (15.3.2-1)
Teeworlds modification with a unique cooperative gameplay
ddnet-data (15.3.2-1)
Data for DDNet
ddnet-server (15.3.2-1)
Server for DDNet
ddnet-tools (15.3.2-1)
Tools for DDNet
ddpt (0.95-1+b1 [amd64], 0.95-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Copies disks, partitions and files.
ddrescueview (0.4~alpha4-2)
graphical viewer for GNU ddrescue map files
ddrutility (2.8-1.1)
set of data recovery utilities for use with GNU ddrescue
dds2tar (2.5.2-7+b1)
Tools for using DDS features of DAT drives with GNU tar
ddskk (17.1-4+deb11u1)
efficient and characteristic Japanese input system for Emacs
ddtc (0.17.2)
Deal with ddts mails
ddupdate (0.6.5-2)
Tool updating DNS data for dynamic IP addresses
de4dot (3.1.41592.3405-2)
.NET deobfuscator and unpacker
deal (3.1.9-12)
bridge hand generator
dealer (20190529+ds-2)
bridge hand generator
deap-doc (1.3.1-2)
Distributed Evolutionary Algorithms in Python (docs)
deb-gview (0.2.11+b1)
GNOME viewer for .deb package files and contents
debarchiver (0.11.5+nmu1)
tool to handle Debian package archives
debaux (0.1.12-1.1)
Debian Auxiliary Programs
debaux-debconf (0.1.12-1.1)
Perl Interface to Debconf
debcargo (2.4.4-1)
Create a Debian package from a Cargo crate
debci (2.15.2)
continuous integration system for Debian
debci-collector (2.15.2)
continuous integration system for Debian (collector daemon)
debci-worker (2.15.2)
continuous integration system for Debian (worker daemon)
debconf (1.5.77)
Debian 設定管理系統
debconf-2.0
本虛擬套件由這些套件填實: debconf, cdebconf-udeb, cdebconf
debconf-doc (1.5.77)
debconf documentation
debconf-i18n (1.5.77)
完整的 debconf 國際化支援
debconf-kde-data (1.0.3-4)
Debconf KDE data files
debconf-kde-helper (1.0.3-4)
Debconf KDE GUI frontend tool
debconf-utils (1.5.77)
debconf utilities
debdate (0.20210102-1)
Convert Gregorian dates to Debian Regnal dates
debdelta (0.67)
diff and patch utilities which work with Debian packages
debdelta-doc (0.67)
diff and patch utilities which work with Debian packages
debfoster (2.7-2.1+b2)
Install only wanted Debian packages
debhelper (13.3.4)
helper programs for debian/rules
debhelper-compat (= 10)
本虛擬套件由這些套件填實: debhelper
debhelper-compat (= 11)
本虛擬套件由這些套件填實: debhelper
debhelper-compat (= 12)
本虛擬套件由這些套件填實: debhelper
debhelper-compat (= 13)
本虛擬套件由這些套件填實: debhelper
debhelper-compat (= 9)
本虛擬套件由這些套件填實: debhelper
debian-archive-keyring (2021.1.1+deb11u1)
Debian 檔案庫的 GnuPG 檔案庫金鑰
debian-archive-keyring-udeb (2021.1.1+deb11u1)
GnuPG keys of the Debian archive
(3.0)
Debian Astronomy Pure Blends Logo
debian-builder (1.8.0)
Rebuild Debian packages from source code
debian-cd (3.1.35)
Tools for building (Official) Debian CD set
debian-dad (1+nmu1)
automated source package updater assistant
debian-edu-artwork (2.11.6-1)
Debian Edu themes and artwork
debian-edu-artwork-buster (2.11.6-1)
Debian Edu futurePrototype "buster" theme and artwork
debian-edu-artwork-homeworld (2.11.6-1)
Debian Edu Homeworld "bullseye" theme and artwork
debian-edu-artwork-softwaves (2.11.6-1)
Debian Edu softWaves ("stretch") theme and artwork
debian-edu-artwork-spacefun (20201210-3)
Debian Edu Spacefun (squeeze) themes and artwork
debian-edu-config (2.11.56+deb11u4)
Configuration files for Debian Edu (Skolelinux) systems
debian-edu-doc-da (2.11.26+deb11u1)
Danish documentation from the Debian Edu project
debian-edu-doc-de (2.11.26+deb11u1)
German documentation from the Debian Edu project
debian-edu-doc-en (2.11.26+deb11u1)
English documentation from the Debian Edu project
debian-edu-doc-es (2.11.26+deb11u1)
Spanish documentation from the Debian Edu project
debian-edu-doc-fr (2.11.26+deb11u1)
French documentation from the Debian Edu project
debian-edu-doc-it (2.11.26+deb11u1)
Italian documentation from the Debian Edu project
debian-edu-doc-ja (2.11.26+deb11u1)
Japanese documentation from the Debian Edu project
debian-edu-doc-legacy-en (2.11.26+deb11u1)
English documentation from the Debian Edu project
debian-edu-doc-legacy-fr (2.11.26+deb11u1)
French documentation from the Debian Edu project
debian-edu-doc-legacy-ja (2.11.26+deb11u1)
Japanese documentation from the Debian Edu project
debian-edu-doc-legacy-nb-no (2.11.26+deb11u1)
Norwegian Bokmål documentation from the Debian Edu project
debian-edu-doc-legacy-nl (2.11.26+deb11u1)
Dutch documentation from the Debian Edu project
debian-edu-doc-legacy-pl (2.11.26+deb11u1)
Polish documentation from the Debian Edu project
debian-edu-doc-legacy-pt-br (2.11.26+deb11u1)
Portuguese (Brazil) documentation from the Debian Edu project
debian-edu-doc-legacy-pt-pt (2.11.26+deb11u1)
Portuguese (Portugal) documentation from the Debian Edu project
debian-edu-doc-legacy-sv (2.11.26+deb11u1)
Swedish documentation from the Debian Edu project
debian-edu-doc-legacy-uk (2.11.26+deb11u1)
Ukrainian documentation from the Debian Edu project
debian-edu-doc-legacy-zh-cn (2.11.26+deb11u1)
Simplified Chinese documentation from the Debian Edu project
debian-edu-doc-nb (2.11.26+deb11u1)
transitional package for debian-edu-doc-nb-no
debian-edu-doc-nb-no (2.11.26+deb11u1)
Norwegian Bokmål documentation from the Debian Edu project
debian-edu-doc-nl (2.11.26+deb11u1)
Dutch documentation from the Debian Edu project
debian-edu-doc-pt-pt (2.11.26+deb11u1)
Portuguese (Portugal) documentation from the Debian Edu project
debian-edu-doc-zh (2.11.26+deb11u1)
transitional package for debian-edu-doc-zh-cn
debian-edu-doc-zh-cn (2.11.26+deb11u1)
Simplified Chinese documentation from the Debian Edu project
debian-edu-install (2.11.13)
Set d-i values to install Debian Edu with less questions
debian-edu-install-udeb (2.11.13)
Execute Debian Edu debian-installer profile
debian-edu-profile-udeb (2.11.13)
Choose Debian Edu profile
debian-el (37.10)
Transition package, debian-el to elpa-debian-el
debian-faq (10.1)
Debian Frequently Asked Questions
debian-faq-de (10.1)
Debian Frequently Asked Questions, in German
debian-faq-fr (10.1)
Debian Frequently Asked Questions, in French
debian-faq-it (10.1)
Debian Frequently Asked Questions, in Italian
debian-faq-ja (10.1)
Debian Frequently Asked Questions, in Japanese
debian-faq-nl (10.1)
Debian Frequently Asked Questions, in Dutch
debian-faq-ru (10.1)
Debian Frequently Asked Questions, in Russian
debian-faq-zh-cn (10.1)
Debian Frequently Asked Questions, in Simplified Chinese
debian-goodies (0.87)
Small toolbox-style utilities for Debian systems
debian-handbook (10.20200619)
reference book for Debian users and system administrators
debian-history (2.25)
Short History of the Debian Project
debian-installer (20210731+deb11u10)
Debian Installer documentation
debian-installer-11-netboot-amd64 (20210731+deb11u10)
Debian-installer network boot images for amd64
debian-installer-11-netboot-arm64 (20210731+deb11u10)
Debian-installer network boot images for arm64
debian-installer-11-netboot-armel (20210731+deb11u10)
Debian-installer network boot images for armel
debian-installer-11-netboot-armhf (20210731+deb11u10)
Debian-installer network boot images for armhf
debian-installer-11-netboot-i386 (20210731+deb11u10)
Debian-installer network boot images for i386
debian-installer-11-netboot-mips64el (20210731+deb11u10)
Debian-installer network boot images for mips64el
debian-installer-11-netboot-mipsel (20210731+deb11u10)
Debian-installer network boot images for mipsel
debian-installer-11-netboot-ppc64el (20210731+deb11u10)
Debian-installer network boot images for ppc64el
debian-installer-launcher (38)
Debian Installer desktop launcher
debian-installer-netboot
本虛擬套件由這些套件填實: debian-installer-11-netboot-mipsel, debian-installer-11-netboot-mips64el, debian-installer-11-netboot-armel, debian-installer-11-netboot-armhf, debian-installer-11-netboot-ppc64el, debian-installer-11-netboot-amd64, debian-installer-11-netboot-i386, debian-installer-11-netboot-arm64
debian-installer-netboot-amd64
本虛擬套件由這些套件填實: debian-installer-11-netboot-amd64
debian-installer-netboot-arm64
本虛擬套件由這些套件填實: debian-installer-11-netboot-arm64
debian-installer-netboot-armel
本虛擬套件由這些套件填實: debian-installer-11-netboot-armel
debian-installer-netboot-armhf
本虛擬套件由這些套件填實: debian-installer-11-netboot-armhf
debian-installer-netboot-i386
本虛擬套件由這些套件填實: debian-installer-11-netboot-i386
debian-installer-netboot-mips64el
本虛擬套件由這些套件填實: debian-installer-11-netboot-mips64el
debian-installer-netboot-mipsel
本虛擬套件由這些套件填實: debian-installer-11-netboot-mipsel
debian-installer-netboot-ppc64el
本虛擬套件由這些套件填實: debian-installer-11-netboot-ppc64el
debian-kernel-handbook (1.0.19)
reference to Debian Linux kernel packages and development
debian-kernel-handbook-ja (1.0.19)
reference to Debian Linux kernel packages and development (Japanese)
debian-keyring (2021.07.26)
GnuPG keys of Debian Developers and Maintainers
debian-lan-config (0.28)
FAI config space for the Debian-LAN system
debian-maintainers
本虛擬套件由這些套件填實: debian-keyring
debian-mate-default-settings (1.24.1-2)
Default settings for MATE on Debian
debian-paketmanagement-buch (0~2021.03.01)
book about Debian package management written in German
debian-policy (4.5.1.0)
Debian Policy Manual and related documents
debian-policy-ja (4.5.1.0)
Debian Policy Manual and related documents (Japanese)
debian-ports-archive-keyring (2024.01.05~deb11u1)
GnuPG archive keys of the debian-ports archive
debian-ports-archive-keyring-udeb (2024.01.05~deb11u1)
GnuPG keys of the debian-ports archive
debian-refcard (11.0)
printable reference card for the Debian system
debian-reference (2.78)
metapackage to install (all) translations of Debian Reference
debian-reference-common (2.78)
Debian system administration guide, common files
debian-reference-de (2.78)
Debian system administration guide, German translation
debian-reference-en (2.78)
Debian system administration guide, English original
debian-reference-es (2.78)
Debian system administration guide, Spanish translation
debian-reference-fr (2.78)
Debian system administration guide, French translation
debian-reference-it (2.78)
Debian system administration guide, Italian translation
debian-reference-ja (2.78)
Debian system administration guide, Japanese translation
debian-reference-pt (2.78)
Debian system administration guide, Portuguese translation
debian-reference-zh-cn (2.78)
Debian system administration guide, Chinese (Simplified) translation
debian-reference-zh-tw (2.78)
Debian system administration guide, Chinese (Traditional) translation
debian-security-support (1:11+2024.01.30)
Debian security support coverage checker
debian-timeline (45)
Web-based timeline of the Debian Project
debiandoc-sgml (1.2.32-2.1)
DebianDoc SGML DTD and formatting tools
debiandoc-sgml-doc (1.1.26)
Documentation for DebianDoc-SGML
debiandoc-sgml-doc-pt-br (1.1.13+nmu1)
Documentation for DebianDoc-SGML in Brazilian Portuguese
debianutils (4.11.2)
Miscellaneous utilities specific to Debian
debichem-analytical-biochemistry (0.0.11)
DebiChem Analytical BioChemistry
debichem-cheminformatics (0.0.11)
DebiChem Cheminformatics
debichem-crystallography (0.0.11)
DebiChem crystallography
debichem-development (0.0.11)
DebiChem C/C++/Fortran Development
debichem-input-generation-output-processing (0.0.11)
DebiChem input preparation and output processing
debichem-molecular-abinitio (0.0.11)
DebiChem Molecular Ab Initio Calculations
debichem-molecular-dynamics (0.0.11)
DebiChem Molecular Dynamics
debichem-molecular-modelling (0.0.11)
DebiChem 3D Molecular Modelling and Visualization
debichem-periodic-abinitio (0.0.11)
DebiChem Periodic Ab Initio Calculations
debichem-semiempirical (0.0.11)
DebiChem Semi Empirical
debichem-tasks (0.0.11)
DebiChem tasks for tasksel
debichem-view-edit-2d (0.0.11)
DebiChem chemical formular/structure editors
debichem-visualisation (0.0.11)
DebiChem 3D Viewers
debmake (4.3.2-1.1)
helper script to make the Debian source package
debmake-doc (1.16-1)
Guide for Debian Maintainers
debmirror (1:2.35+deb11u1)
Debian partial mirror script, with ftp and package pool support
debocker (0.2.4)
docker-powered package builder for Debian
debomatic (0.25-2)
automatic build machine for Debian source packages
debootstick (2.5)
Turn a chroot environment into a bootable image
debootstrap (1.0.123+deb11u2)
Bootstrap a basic Debian system
debootstrap-udeb (1.0.123+deb11u2)
Bootstrap the Debian system
deborphan (1.7.33)
program that can find unused packages, e.g. libraries
debos (1.0.0+git20201203.e939090-4+b3)
Debian OS builder
debpear (0.5)
automatically builds and installs PEAR package as Debian packages
debram (2.3.0)
ramified catalog of available commands
debram-data (2.3.0)
debram's architecture-independent data
debroster (1.18+nmu1)
A package for use at expos.
debsecan (0.4.20.1)
Debian Security Analyzer
debsig-verify (0.23+b2)
Debian package signature verification tool
debsigs (0.1.25)
toolset for cryptographically signing Debian packages
debspawn (0.5.0-1)
Build in nspawn containers
debsums (3.0.2)
tool for verification of installed package files against MD5 checksums
debtags (2.1.5)
Debian Package Tags support tools
debtree (1.1.0)
package dependency graphs on steroids
debuerreotype (0.10-2)
reproducible, snapshot-based Debian rootfs builder
debug-me (1.20200820-1)
secure remote debugging
debug-me-server (1.20200820-1)
run a debug-me server for secure remote debugging
debugedit (4.16.1.2+dfsg1-3)
tool to mangle source locations in .debug files
debuginfod (0.183-1)
debuginfo-related http file-server daemon
deck (1.4.0-1+b5)
Configuration management for Kong and Kong Enterprise (program)
decopy (0.2.4.4-0.1)
Automatic debian/copyright Generator
dee-tools (1.2.7+17.10.20170616-6+b1)
Model to synchronize multiple instances over DBus - tooling
deepin-calculator (5.6.0.10-2)
Calculator for DDE (Deepin Desktop Environment)
deepin-deb-installer (5.6.0.19-1)
Deepin Package Manager
deepin-gettext-tools (1.0.8-5)
Deepin Internationalization utilities
deepin-icon-theme (2020.09.25-1)
Icon Theme for Deepin software and Deepin Desktop Environment
deepin-image-viewer (5.0.0-2)
Image Viewer for Deepin Desktop Environment
deepin-menu (5.0.1-1)
Deepin menu service
deepin-movie (5.7.6.147-1)
Deepin movie player
deepin-music (6.0.1.54+ds.1-2)
music player with brilliant and tweakful UI
deepin-notifications (3.3.4-1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 3.3.4-1+b1 [armel, armhf])
System notifications for Deepin Desktop Environment
deepin-picker (5.0.1-2+b1)
Color picker tool for deepin
deepin-screen-recorder (5.8.0.46-2)
Simple recorder tools for deepin
deepin-screenshot (5.0.0-1.1+b1)
Advanced screen shoting tool
deepin-shortcut-viewer (5.0.1-1)
Pop-up shortcut viewer for Deepin applications
deepin-sound-theme (15.10.5-1)
Deepin sound theme for DDE
deepin-terminal (5.0.0+ds1-3)
Deepin terminal emulator application
deepin-voice-recorder (5.0.0-2)
Deepin's Voice recorder
deepnano (0.0+git20170813.e8a621e-3.1)
alternative basecaller for MinION reads of genomic sequences
deepnano-data (0.0+git20170813.e8a621e-3.1)
alternative basecaller for MinION reads of genomic sequences (data)
deets (0.3.1-1+b12)
decentralized model-based administration tool
default-d-compiler (0.6.5)
Default D compiler (metapackage)
default-dbus-session-bus
本虛擬套件由這些套件填實: dbus-user-session
default-dbus-system-bus
本虛擬套件由這些套件填實: dbus
default-jdk (2:1.11-72)
Standard Java or Java compatible Development Kit
default-jdk-doc (2:1.11-72)
Standard Java or Java compatible Development Kit (documentation)
default-jdk-headless (2:1.11-72)
Standard Java or Java compatible Development Kit (headless)
default-jre (2:1.11-72)
Standard Java or Java compatible Runtime
default-jre-headless (2:1.11-72)
Standard Java or Java compatible Runtime (headless)
default-libmysqlclient-dev (1.0.7)
MySQL database development files (metapackage)
default-libmysqld-dev (1.0.7)
MySQL embedded database development files (metapackage)
default-logind (= 247.3-7+deb11u4)
本虛擬套件由這些套件填實: libpam-systemd
default-mta
本虛擬套件由這些套件填實: exim4-daemon-light
default-mysql-client (1.0.7)
MySQL database client binaries (metapackage)
default-mysql-client-core (1.0.7)
MySQL database core client binaries (metapackage)
default-mysql-server (1.0.7)
MySQL database server binaries and system database setup (metapackage)
default-mysql-server-core (1.0.7)
MySQL database server binaries (metapackage)
deheader (1.7-1)
Find and remove unnecessary includes in C or C++ source files
dehydrated (0.7.0-2)
ACME client implemented in Bash
dehydrated-apache2 (0.7.0-2)
dehydrated challenge response support for Apache2
dehydrated-hook-ddns-tsig (0.1.4-5)
dehydrated dns-01 challenge response support
deja-dup (42.7-1)
Backup utility
dejagnu (1.6.2-1)
framework for running test suites on software tools
deken (0.6.0-1)
Externals wrangler for Pure Data - upload utility
delay (1.0-5)
Constant delay generator
delly (0.8.7-1)
Structural variant discovery by read analysis
delta (2006.08.03-9)
heuristic minimizer of interesting files
deluge (2.0.3-3.1)
bittorrent client written in Python/PyGTK
deluge-common (2.0.3-3.1)
bittorrent client written in Python/PyGTK (common files)
deluge-console (2.0.3-3.1)
bittorrent client written in Python/PyGTK (console ui)
deluge-gtk (2.0.3-3.1)
bittorrent client written in Python/PyGTK (GTK+ ui)
deluge-web (2.0.3-3.1)
bittorrent client written in Python/PyGTK (web ui)
deluged (2.0.3-3.1)
bittorrent client written in Python/PyGTK (daemon)
delve (1.6.1-1+b2)
debugger for the Go programming language
denemo (2.5.0-1)
free graphical music notation editor (GTK front end to LilyPond)
denemo-data (2.5.0-1)
data for Denemo
denemo-doc (2.5.0-1)
documentation and examples for Denemo
density-fitness (1.0.0-2)
Calculates per-residue electron density scores
depqbf (5.01-3)
solver for quantified boolean formulae
deps-tools-cli (0.13-4.1)
DEPS command-line tools
derby-doc (10.14.2.0-2)
Apache Derby API documentation and examples
derby-tools (10.14.2.0-2)
Apache Derby Tools
derivations (0.57.20210107-3)
book: Derivations of Applied Mathematics
design-data (3.0.22+deb11u1)
recipes to install Debian Design blends
design-desktop (3.0.22+deb11u1)
Debian Design desktop for visual designers - common parts
design-desktop-animation (3.0.22+deb11u1)
Debian Design desktop for animation designers
design-desktop-graphics (3.0.22+deb11u1)
Debian Design desktop for graphics designers
design-desktop-strict (3.0.22+deb11u1)
Debian Design desktop for visual designers - strict
design-desktop-web (3.0.22+deb11u1)
Debian Design desktop for web designers
designate (1:11.0.0-2)
OpenStack DNS as a Service - metapackage
designate-agent (1:11.0.0-2)
OpenStack DNS as a Service - agent
designate-api (1:11.0.0-2)
OpenStack DNS as a Service - API server
designate-central (1:11.0.0-2)
OpenStack DNS as a Service - central daemon
designate-common (1:11.0.0-2)
OpenStack DNS as a Service - common files
designate-doc (1:11.0.0-2)
OpenStack DNS as a Service - doc
designate-mdns (1:11.0.0-2)
OpenStack DNS as a Service - mdns
designate-pool-manager (1:11.0.0-2)
OpenStack DNS as a Service - pool manager
designate-producer (1:11.0.0-2)
OpenStack DNS as a Service - producer
designate-sink (1:11.0.0-2)
OpenStack DNS as a Service - sink
designate-tempest-plugin (0.9.0-2)
OpenStack Integration Test Suite - Designate plugin
designate-worker (1:11.0.0-2)
OpenStack DNS as a Service - worker
designate-zone-manager (1:11.0.0-2)
OpenStack DNS as a Service - zone manager
desklaunch (1.1.8+b2)
A small utility for creating desktop icons
deskmenu (1.4.5+b2)
A root menu for X11 window managers
desktop-autoloader (0.0.4-1)
Accelerate Diskless Workstation systems by pre-loading a dummy Desktop Session
desktop-base (11.0.3)
common files for the Debian Desktop
desktop-file-utils (0.26-1)
Utilities for .desktop files
desktopfolder (1.1.3-1)
Organize your desktop with panels, notes and photos
desmume (0.9.11-3)
Nintendo DS emulator
desproxy (0.1.0~pre3-10.1)
tunnel TCP traffic through a HTTP proxy
detachtty (11.0.0-2+b1 [amd64], 11.0.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Utility to connect to detached interactive programs
detox (1.3.3-1+deb11u1)
replace problematic characters in filenames
deutex (5.2.2-1)
composition tool for doom-style WAD files
devede (4.15.0-2)
simple application to create Video DVDs
develock-el (0.47-3.1)
additional font-lock keywords for the developers on Emacs
developers-reference (11.0.21)
guidelines and information for Debian developers
developers-reference-de (11.0.21)
guidelines and information for Debian developers, in German
developers-reference-fr (11.0.21)
guidelines and information for Debian developers, in French
developers-reference-it (11.0.21)
guidelines and information for Debian developers, in Italian
developers-reference-ja (11.0.21)
guidelines and information for Debian developers, in Japanese
developers-reference-ru (11.0.21)
guidelines and information for Debian developers, in Russian
devhelp (3.38.1-1)
GNOME developers help program
devhelp-common (3.38.1-1)
Common files for devhelp and its library
device-tree-compiler (1.6.0-1)
Device Tree Compiler for Flat Device Trees
devilspie (0.23-2+b1)
find windows and perform actions on them
devilspie2 (0.43-4)
Lua-based window matching utility
devio (1.2-1.2+b1)
correctly read (or write) a region of a block device
devio-udeb (1.2-1.2+b1)
correctly read (or write) a region of a block device
devmon
本虛擬套件由這些套件填實: udevil
devrplay3 (3.3.2-18)
rplay network audio system - basic library
devscripts (2.21.3+deb11u1)
scripts to make the life of a Debian Package maintainer easier
devscripts-el (40.5)
Transition package, devscripts-el to elpa-devscripts
devtodo (0.1.20+git20200830.0ad52b0-1)
hierarchical, prioritised todo list manager
dex (0.9.0-1)
generate and execute Application type .desktop files
dexdump (10.0.0+r36-3)
Displays information about Android DEX files
dexlist (10.0.0+r36-3)
Lists all methods in all concrete classes in Android DEX files
dextractor (1.0-4)
(d)extractor and compression command library
dfc (3.1.1-1)
display file system usage using graph and colors
dfcgen-gtk (0.5-1)
Digital Filter Coefficients Generator (DFCGen) GTK+
dfrs (0.0.6-3+b1)
Display file system space usage using graphs and colors
dfu-programmer (0.6.1-1+b1)
device firmware update (DFU) based USB programmer for Atmel chips
dfu-util (0.9-1+b1)
Device firmware update (DFU) USB programmer
dgedit (0.10.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.10.0-1 [armel, armhf])
drum kit editor for DrumGizmo
dgen (1.23-12) [non-free]
Sega Genesis/MegaDrive emulator
dgit (9.13)
git interoperability with the Debian archive
dgit-infrastructure (9.13)
dgit server backend infrastructure
dh-acc (2.3-0.2)
debhelper addon to compare ABI compatibility of shared C/C++ library versions
dh-ada-library (7.3+b1 [amd64], 7.3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Debian helper for Ada libraries
dh-apache2
本虛擬套件由這些套件填實: apache2-dev
dh-apparmor (2.13.6-10)
AppArmor debhelper routines
dh-autoreconf (20)
debhelper add-on to call autoreconf and clean up after the build
dh-buildinfo (0.11+nmu2)
Debhelper addon to track package versions used to build a package
dh-cargo (24)
debhelper buildsystem for Rust crates using Cargo
dh-cmake (0.6.1)
Debhelper programs for CMake projects
dh-cmake-compat (= 1)
本虛擬套件由這些套件填實: dh-cmake
dh-consoledata (0.7.91)
debhelper-based script to help packaging console data files
dh-di (10)
Debhelper addon for debian-installer
dh-dist-zilla (1.4.1)
debhelper add-on to call dzil build and clean up after the build
dh-dlang (0.6.5)
Packaging helpers for building D code
dh-elpa (2.0.8)
Debian helper tools for packaging emacs lisp extensions
dh-elpa-helper (2.0.8)
helper package for emacs lisp extensions
dh-exec (0.23.2)
Scripts to help with executable debhelper files
dh-fortran-mod (0.20)
debhelper add-on to handle Fortran '.mod' files
dh-golang (1.51)
debhelper add-on for packaging software written in Go (golang)
dh-linktree (0.8)
Create symlink trees within a Debian package
dh-lisp (0.7.2)
Debhelper to support Common Lisp related packages
dh-lua (27)
helper tools for maintaining Lua-related packages
dh-make (2.202003)
tool that converts source archives into Debian package source
dh-make-elpa (0.19.1)
helper for creating Debian packages from ELPA packages
dh-make-golang (0.4.0-1+b6)
tool that converts Go packages into Debian package source
dh-make-perl (0.116)
helper for creating Debian packages from perl modules
dh-ocaml (1.1.3)
helper tools for maintaining OCaml-related Debian packages
dh-octave (1.0.3)
Debhelper-based infrastructure for building Octave add-on packages
dh-octave-autopkgtest (1.0.3)
script for the automatic testing of Octave add-on packages
dh-perl6 (0.4)
debhelper add-on to simplify Perl 6 package building
dh-php (0.35)
debhelper add-on to handle PHP PECL extensions
dh-python (4.20201102+nmu1)
Debian helper tools for packaging Python libraries and applications
dh-r (20210303)
Debian helper tools for packaging R libraries
dh-rebar (0.0.4+nmu1)
helper tools for maintaining Erlang package which is using rebar
dh-runit (2.10.3)
debhelper add-on to handle runit runscripts
dh-sequence-ada-library
本虛擬套件由這些套件填實: dh-ada-library
dh-sequence-aspell-simple
本虛擬套件由這些套件填實: dictionaries-common-dev
dh-sequence-bash-completion
本虛擬套件由這些套件填實: bash-completion
dh-sequence-cli
本虛擬套件由這些套件填實: cli-common-dev
dh-sequence-cmake
本虛擬套件由這些套件填實: dh-cmake
dh-sequence-cme-upgrade
本虛擬套件由這些套件填實: cme
dh-sequence-cpack
本虛擬套件由這些套件填實: dh-cmake
dh-sequence-ctest
本虛擬套件由這些套件填實: dh-cmake
dh-sequence-dist-zilla
本虛擬套件由這些套件填實: dh-dist-zilla
dh-sequence-dkms
本虛擬套件由這些套件填實: dkms
dh-sequence-dwz
本虛擬套件由這些套件填實: debhelper
dh-sequence-elf-tools
本虛擬套件由這些套件填實: debhelper
dh-sequence-elpa
本虛擬套件由這些套件填實: dh-elpa
dh-sequence-fortran-mod
本虛擬套件由這些套件填實: dh-fortran-mod
dh-sequence-gir
本虛擬套件由這些套件填實: gobject-introspection
dh-sequence-gnome
本虛擬套件由這些套件填實: gnome-pkg-tools
dh-sequence-golang
本虛擬套件由這些套件填實: dh-golang
dh-sequence-installinitramfs
本虛擬套件由這些套件填實: debhelper
dh-sequence-javahelper
本虛擬套件由這些套件填實: javahelper
dh-sequence-kodiaddon (= 2:19.1+dfsg2-2+deb11u1)
本虛擬套件由這些套件填實: kodi-addons-dev
dh-sequence-libva
本虛擬套件由這些套件填實: libva-dev
dh-sequence-nodejs (= 0.9.65)
本虛擬套件由這些套件填實: pkg-js-tools
dh-sequence-numpy3
本虛擬套件由這些套件填實: python3-numpy
dh-sequence-perl-dbi
本虛擬套件由這些套件填實: libdbi-perl
dh-sequence-perl-imager
本虛擬套件由這些套件填實: libimager-perl
dh-sequence-perl-openssl
本虛擬套件由這些套件填實: perl-openssl-defaults
dh-sequence-phpcomposer
本虛擬套件由這些套件填實: pkg-php-tools
dh-sequence-phppear
本虛擬套件由這些套件填實: pkg-php-tools
dh-sequence-pypy
本虛擬套件由這些套件填實: dh-python
dh-sequence-python2
本虛擬套件由這些套件填實: dh-python
dh-sequence-python3
本虛擬套件由這些套件填實: dh-python
dh-sequence-scour
本虛擬套件由這些套件填實: scour
dh-sequence-sphinxdoc
本虛擬套件由這些套件填實: sphinx-common
dh-sequence-systemd
本虛擬套件由這些套件填實: debhelper
dh-sequence-tex
本虛擬套件由這些套件填實: tex-common
dh-sequence-vim-addon
本虛擬套件由這些套件填實: dh-vim-addon
dh-sequence-zathura
本虛擬套件由這些套件填實: zathura-dev
dh-soong
本虛擬套件由這些套件填實: mini-soong
dh-strip-nondeterminism (1.12.0-1)
file non-deterministic information stripper — Debhelper add-on
dh-sysuser (1.3.5.1)
debhelper addon to handle creation of system users
dh-vim-addon (0.4)
debhelper addon to help package Vim/Neovim addons
dh-virtualenv (1.2.2-1.1)
wrap and build Python packages using virtualenv
dh-xsp (4.2-2.2)
debhelper add-on to handle ASP.NET sites
dhcp-client
本虛擬套件由這些套件填實: isc-dhcp-client, dhcpcd5, dhcpcanon
dhcp-helper (1.2-2)
A DHCP relay agent
dhcp-probe (1.3.0-10.1+b1)
network DHCP or BootP server discover
dhcpcanon (0.8.5-2)
DHCP client disclosing less identifying information
dhcpcd-dbus (0.6.1-1)
DBus bindings for dhcpcd
dhcpcd-gtk (0.7.8-1)
GTK+ frontend for dhcpcd and wpa_supplicant
dhcpcd5 (7.1.0-2+b1 [amd64], 7.1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DHCPv4, IPv6RA and DHCPv6 client with IPv4LL support
dhcpd
本虛擬套件由這些套件填實: udhcpd
dhcpd-pools (2.29-1.1)
ISC dhcpd lease analysis and reporting tool
dhcpdump (1.8-2.2+b1 [amd64], 1.8-2.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Parse DHCP packets from tcpdump
dhcpig (1.5-3)
DHCP exhaustion script using scapy network library
dhcping (1.2-5)
DHCP Daemon Ping Program
dhcpoptinj (0.5.3-1)
DHCP option injector
dhcpstarv (0.2.2-2+b1 [amd64], 0.2.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DHCP starvation utility
dhcpy6d (1.0.3-1)
MAC address aware DHCPv6 server written in Python
dhelp (0.6.27)
online help system
dhewm3 (1.5.1~pre+git20200905+dfsg-1) [contrib]
GPL Doom 3 game engine
dhewm3-d3xp (1.5.1~pre+git20200905+dfsg-1) [contrib]
dhewm3's gamelibrary for the title DOOM³: Resurrection of Evil
dhewm3-doom3 (1.5.1~pre+git20200905+dfsg-1) [contrib]
dhewm3's gamelibrary for the title DOOM³
dhex (0.69-3)
ncurses based hex editor with diff mode
dhid
本虛擬套件由這些套件填實: dhis-client
dhis-client (5.5-5+b1)
Dynamic Host Information System - client
dhis-dns-engine (5.3-2+b1)
Dynamic Host Information System - DNS engine
dhis-mx-sendmail-engine (5.0-3+b1)
Dynamic Host Information System - sendmail MX engine
dhis-server (5.3-2.1+b2)
Dynamic Host Information System - server
dhis-tools-dns (5.0-8+b1)
Dynamic Host Information System - DNS configuration tools
dhis-tools-genkeys (5.0-8+b1)
Dynamic Host Information System - key generation tools
dhtnode (2.1.10-1)
OpenDHT node binary
di (4.48-1)
advanced df like disk information utility
di-netboot-assistant (0.70)
Debian-Installer netboot assistant
di-utils (1.140)
Miscellaneous utilities for the debian installer
di-utils-exit-installer (1.140)
Exit installer
di-utils-mapdevfs (1.140)
mapdevfs utility for the debian installer
di-utils-reboot (1.140)
Reboot
di-utils-shell (1.140)
Execute a shell
di-utils-terminfo (1.140)
Terminfo entries needed by newt/slang in debian installer
dia (0.97.3+git20160930-9)
圖表編輯器
dia-common (0.97.3+git20160930-9)
Diagram editor (common files)
dia-rib-network (0.1-2)
network icons scheme for Dia
dia-shapes (0.6.0-3.1)
Diagram editor (additional shapes)
dia2code (0.8.3-4.1)
a dia-UML code generator
dialign (2.2.1-11)
Segment-based multiple sequence alignment
dialign-tx (1.0.2-13)
Segment-based multiple sequence alignment
dialign-tx-data (1.0.2-13)
Segment-based multiple sequence alignment (data files)
dialog (1.3-20201126-1)
Displays user-friendly dialog boxes from shell scripts
diamond-aligner (2.0.7-1)
accelerated BLAST compatible local sequence aligner
dianara (1.4.2-1+b2 [amd64], 1.4.2-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.4.2-1 [armel, armhf])
client for the pump.io federated social network
diaspora-common (0.7.15.0+debian1)
distributed social networking service - common files
diaspora-installer (0.7.15.0+debian1) [contrib]
distributed social networking service - installer
diaspora-installer-mysql (0.7.15.0+debian1) [contrib]
distributed social networking service - installer (with MySQL)
diatheke (1.9.0+dfsg-4)
command line bible browsing and search tool
dibbler-client (1.0.1-1.1)
portable DHCPv6 client
dibbler-client-dbg (1.0.1-1.1)
portable DHCPv6 client
dibbler-doc (1.0.1-1.1)
documentation for Dibbler
dibbler-relay (1.0.1-1.1)
portable DHCPv6 relay
dibbler-relay-dbg (1.0.1-1.1)
portable DHCPv6 relay
dibbler-server (1.0.1-1.1)
portable DHCPv6 server
dibbler-server-dbg (1.0.1-1.1)
portable DHCPv6 server
dicelab (0.7-5+b1 [amd64], 0.7-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
evaluate the statistical distribution of dice rolls
diceware (0.9.6-1)
Create memorizable passphrases from wordlists and various sources of randomness
diceware-doc (0.9.6-1)
Create memorizable passphrases from wordlists and various sources of randomness
dico (2.10-1)
RFC 2229 compliant dictionary client
dico-dev (2.10-1)
RFC 2229 compliant modular dictionary server (development files)
dico-doc (2.10-1)
RFC 2229 compliant modular dictionary server (documentation)
dico-module-guile (2.10-1)
RFC 2229 compliant modular dictionary server (Guile module)
dico-module-mediawiki (2.10-1)
RFC 2229 compliant modular dictionary server (mediawiki module)
dico-module-python (2.10-1)
RFC 2229 compliant modular dictionary server (Python module)
dico-module-wordnet (2.10-1)
RFC 2229 compliant modular dictionary server (WordNet module)
dicod (2.10-1)
RFC 2229 compliant modular dictionary server
dicom3tools (1.00~20190724083540-1)
DICOM medical image files manipulation and conversion tools
dicomnifti (2.33.1-2)
converts DICOM files into the NIfTI format
dicomscope (3.6.0-22)
OFFIS DICOM Viewer
dicomscope-doc (3.6.0-22)
OFFIS DICOM Viewer - documentation
dicoweb (2.10-1)
RFC 2229 compliant modular dictionary server (web interface)
dict (1.13.0+dfsg-1)
dictionary client
dict-client
本虛擬套件由這些套件填實: gnome-dictionary, jed-extra, lookup-el, dictem, xfce4-dict, elpa-dictionary, dico, dict
dict-de-en (1.9-2)
German-English translation dictionary for dictd
dict-devil (1.0-13.1)
"The Devil's Dictionary" by Ambrose Bierce
dict-elements (20001107-a-9.1)
Data regarding the Elements
dict-foldoc (20201018-1)
FOLDOC dictionary database
dict-freedict-afr-deu (2021.01.05-3)
Afrikaans-German dictionary for the dict server/client
dict-freedict-afr-eng (2021.01.05-3)
Afrikaans-English dictionary for the dict server/client
dict-freedict-ara-eng (2021.01.05-3)
Arabic-English dictionary for the dict server/client
dict-freedict-bre-fra (2021.01.05-3)
Breton-French dictionary for the dict server/client
dict-freedict-ces-eng (2021.01.05-3)
Czech-English dictionary for the dict server/client
dict-freedict-ckb-kmr (2021.01.05-3)
Central Kurdish-Northern Kurdish dictionary for the dict server/client
dict-freedict-cym-eng (2021.01.05-3)
Welsh-English dictionary for the dict server/client
dict-freedict-dan-eng (2021.01.05-3)
Danish-English dictionary for the dict server/client
dict-freedict-deu-bul (2020.12.28-1.1)
German-Bulgarian dictionary for the dict server/client
dict-freedict-deu-eng (2021.01.05-3)
German-English dictionary for the dict server/client
dict-freedict-deu-fin (2020.12.28-1.1)
German-Finnish dictionary for the dict server/client
dict-freedict-deu-fra (2020.12.28-1.1)
German-French dictionary for the dict server/client
dict-freedict-deu-ind (2020.12.28-1.1)
German-Indonesian dictionary for the dict server/client
dict-freedict-deu-ita (2021.01.05-3)
German-Italian dictionary for the dict server/client
dict-freedict-deu-kur (2021.01.05-3)
German-Kurdish dictionary for the dict server/client
dict-freedict-deu-nld (2021.01.05-3)
German-Dutch dictionary for the dict server/client
dict-freedict-deu-pol (2020.12.28-1.1)
German-Polish dictionary for the dict server/client
dict-freedict-deu-por (2021.01.05-3)
German-Portuguese dictionary for the dict server/client
dict-freedict-deu-rus (2020.12.28-1.1)
German-Russian dictionary for the dict server/client
dict-freedict-deu-spa (2020.12.28-1.1)
German-Spanish dictionary for the dict server/client
dict-freedict-deu-swe (2020.12.28-1.1)
German-Swedish dictionary for the dict server/client
dict-freedict-deu-tur (2021.01.05-3)
German-Turkish dictionary for the dict server/client
dict-freedict-eng-afr (2021.01.05-3)
English-Afrikaans dictionary for the dict server/client
dict-freedict-eng-ara (2021.01.05-3)
English-Arabic dictionary for the dict server/client
dict-freedict-eng-bul (2020.12.28-1.1)
English-Bulgarian dictionary for the dict server/client
dict-freedict-eng-ces (2021.01.05-3)
English-Czech dictionary for the dict server/client
dict-freedict-eng-cym (2021.01.05-3)
English-Welsh dictionary for the dict server/client
dict-freedict-eng-dan (2021.01.05-3)
English-Danish dictionary for the dict server/client
dict-freedict-eng-deu (2021.01.05-3)
English-German dictionary for the dict server/client
dict-freedict-eng-ell (2021.01.05-3)
English-Modern Greek dictionary for the dict server/client
dict-freedict-eng-fin (2020.12.28-1.1)
English-Finnish dictionary for the dict server/client
dict-freedict-eng-fra (2021.01.05-3)
English-French dictionary for the dict server/client
dict-freedict-eng-gle (2021.01.05-3)
English-Irish dictionary for the dict server/client
dict-freedict-eng-hin (2021.01.05-3)
English-Hindi dictionary for the dict server/client
dict-freedict-eng-hrv (2021.01.05-3)
English-Croatian dictionary for the dict server/client
dict-freedict-eng-hun (2021.01.05-3)
English-Hungarian dictionary for the dict server/client
dict-freedict-eng-ita (2021.01.05-3)
English-Italian dictionary for the dict server/client
dict-freedict-eng-jpn (2020.12.28-1.1)
English-Japanese dictionary for the dict server/client
dict-freedict-eng-lat (2021.01.05-3)
English-Latin dictionary for the dict server/client
dict-freedict-eng-lit (2021.01.05-3)
English-Lithuanian dictionary for the dict server/client
dict-freedict-eng-nld (2021.01.05-3)
English-Dutch dictionary for the dict server/client
dict-freedict-eng-nor (2020.12.28-1.1)
English-Norwegian dictionary for the dict server/client
dict-freedict-eng-pol (2021.01.05-3)
English-Polish dictionary for the dict server/client
dict-freedict-eng-por (2021.01.05-3)
English-Portuguese dictionary for the dict server/client
dict-freedict-eng-rom (2021.01.05-3)
English-Romany dictionary for the dict server/client
dict-freedict-eng-rus (2021.01.05-3)
English-Russian dictionary for the dict server/client
dict-freedict-eng-spa (2021.01.05-3)
English-Spanish dictionary for the dict server/client
dict-freedict-eng-srp (2021.01.05-3)
English-Serbian dictionary for the dict server/client
dict-freedict-eng-swe (2021.01.05-3)
English-Swedish dictionary for the dict server/client
dict-freedict-eng-swh (2021.01.05-3)
English-Swahili dictionary for the dict server/client
dict-freedict-eng-tur (2021.01.05-3)
English-Turkish dictionary for the dict server/client
dict-freedict-epo-eng (2021.01.05-3)
Esperanto-English dictionary for the dict server/client
dict-freedict-fin-bul (2020.12.28-1.1)
Finnish-Bulgarian dictionary for the dict server/client
dict-freedict-fin-deu (2020.12.28-1.1)
Finnish-German dictionary for the dict server/client
dict-freedict-fin-ell (2020.12.28-1.1)
Finnish-Modern Greek dictionary for the dict server/client
dict-freedict-fin-eng (2020.12.28-1.1)
Finnish-English dictionary for the dict server/client
dict-freedict-fin-fra (2020.12.28-1.1)
Finnish-French dictionary for the dict server/client
dict-freedict-fin-ita (2020.12.28-1.1)
Finnish-Italian dictionary for the dict server/client
dict-freedict-fin-jpn (2020.12.28-1.1)
Finnish-Japanese dictionary for the dict server/client
dict-freedict-fin-lat (2020.12.28-1.1)
Finnish-Latin dictionary for the dict server/client
dict-freedict-fin-nld (2020.12.28-1.1)
Finnish-Dutch dictionary for the dict server/client
dict-freedict-fin-nor (2020.12.28-1.1)
Finnish-Norwegian dictionary for the dict server/client
dict-freedict-fin-pol (2020.12.28-1.1)
Finnish-Polish dictionary for the dict server/client
dict-freedict-fin-por (2020.12.28-1.1)
Finnish-Portuguese dictionary for the dict server/client
dict-freedict-fin-swe (2020.12.28-1.1)
Finnish-Swedish dictionary for the dict server/client
dict-freedict-fra-bre (2021.01.05-3)
French-Breton dictionary for the dict server/client
dict-freedict-fra-bul (2020.12.28-1.1)
French-Bulgarian dictionary for the dict server/client
dict-freedict-fra-deu (2020.12.28-1.1)
French-German dictionary for the dict server/client
dict-freedict-fra-ell (2020.12.28-1.1)
French-Modern Greek dictionary for the dict server/client
dict-freedict-fra-eng (2021.01.05-3)
French-English dictionary for the dict server/client
dict-freedict-fra-fin (2020.12.28-1.1)
French-Finnish dictionary for the dict server/client
dict-freedict-fra-ita (2020.12.28-1.1)
French-Italian dictionary for the dict server/client
dict-freedict-fra-jpn (2020.12.28-1.1)
French-Japanese dictionary for the dict server/client
dict-freedict-fra-lat (2020.12.28-1.1)
French-Latin dictionary for the dict server/client
dict-freedict-fra-nld (2021.01.05-3)
French-Dutch dictionary for the dict server/client
dict-freedict-fra-pol (2020.12.28-1.1)
French-Polish dictionary for the dict server/client
dict-freedict-fra-por (2020.12.28-1.1)
French-Portuguese dictionary for the dict server/client
dict-freedict-fra-rus (2020.12.28-1.1)
French-Russian dictionary for the dict server/client
dict-freedict-fra-spa (2020.12.28-1.1)
French-Spanish dictionary for the dict server/client
dict-freedict-fra-swe (2020.12.28-1.1)
French-Swedish dictionary for the dict server/client
dict-freedict-fra-tur (2020.12.28-1.1)
French-Turkish dictionary for the dict server/client
dict-freedict-gla-deu (2021.01.05-3)
Scottish Gaelic-German dictionary for the dict server/client
dict-freedict-gle-eng (2021.01.05-3)
Irish-English dictionary for the dict server/client
dict-freedict-gle-pol (2021.01.05-3)
Irish-Polish dictionary for the dict server/client
dict-freedict-hrv-eng (2021.01.05-3)
Croatian-English dictionary for the dict server/client
dict-freedict-hun-eng (2021.01.05-3)
Hungarian-English dictionary for the dict server/client
dict-freedict-isl-eng (2021.01.05-3)
Icelandic-English dictionary for the dict server/client
dict-freedict-ita-bul (2020.12.28-1.1)
Italian-Bulgarian dictionary for the dict server/client
dict-freedict-ita-deu (2021.01.05-3)
Italian-German dictionary for the dict server/client
dict-freedict-ita-ell (2020.12.28-1.1)
Italian-Modern Greek dictionary for the dict server/client
dict-freedict-ita-eng (2021.01.05-3)
Italian-English dictionary for the dict server/client
dict-freedict-ita-fin (2020.12.28-1.1)
Italian-Finnish dictionary for the dict server/client
dict-freedict-ita-jpn (2020.12.28-1.1)
Italian-Japanese dictionary for the dict server/client
dict-freedict-ita-pol (2020.12.28-1.1)
Italian-Polish dictionary for the dict server/client
dict-freedict-ita-por (2020.12.28-1.1)
Italian-Portuguese dictionary for the dict server/client
dict-freedict-ita-rus (2020.12.28-1.1)
Italian-Russian dictionary for the dict server/client
dict-freedict-ita-swe (2020.12.28-1.1)
Italian-Swedish dictionary for the dict server/client
dict-freedict-ita-tur (2020.12.28-1.1)
Italian-Turkish dictionary for the dict server/client
dict-freedict-jpn-deu (2021.01.05-3)
Japanese-German dictionary for the dict server/client
dict-freedict-jpn-eng (2021.01.05-3)
Japanese-English dictionary for the dict server/client
dict-freedict-jpn-fra (2021.01.05-3)
Japanese-French dictionary for the dict server/client
dict-freedict-jpn-rus (2021.01.05-3)
Japanese-Russian dictionary for the dict server/client
dict-freedict-kha-deu (2021.01.05-3)
Khasi-German dictionary for the dict server/client
dict-freedict-kha-eng (2021.01.05-3)
Khasi-English dictionary for the dict server/client
dict-freedict-kur-deu (2021.01.05-3)
Kurdish-German dictionary for the dict server/client
dict-freedict-kur-eng (2021.01.05-3)
Kurdish-English dictionary for the dict server/client
dict-freedict-kur-tur (2021.01.05-3)
Kurdish-Turkish dictionary for the dict server/client
dict-freedict-lat-deu (2021.01.05-3)
Latin-German dictionary for the dict server/client
dict-freedict-lat-eng (2021.01.05-3)
Latin-English dictionary for the dict server/client
dict-freedict-lit-eng (2021.01.05-3)
Lithuanian-English dictionary for the dict server/client
dict-freedict-mkd-bul (2021.01.05-3)
Macedonian-Bulgarian dictionary for the dict server/client
dict-freedict-nld-deu (2021.01.05-3)
Dutch-German dictionary for the dict server/client
dict-freedict-nld-eng (2021.01.05-3)
Dutch-English dictionary for the dict server/client
dict-freedict-nld-fin (2020.12.28-1.1)
Dutch-Finnish dictionary for the dict server/client
dict-freedict-nld-fra (2021.01.05-3)
Dutch-French dictionary for the dict server/client
dict-freedict-nld-ita (2020.12.28-1.1)
Dutch-Italian dictionary for the dict server/client
dict-freedict-nld-lat (2020.12.28-1.1)
Dutch-Latin dictionary for the dict server/client
dict-freedict-nld-lit (2020.12.28-1.1)
Dutch-Lithuanian dictionary for the dict server/client
dict-freedict-nld-por (2020.12.28-1.1)
Dutch-Portuguese dictionary for the dict server/client
dict-freedict-nld-rus (2020.12.28-1.1)
Dutch-Russian dictionary for the dict server/client
dict-freedict-nld-spa (2020.12.28-1.1)
Dutch-Spanish dictionary for the dict server/client
dict-freedict-nld-swe (2020.12.28-1.1)
Dutch-Swedish dictionary for the dict server/client
dict-freedict-nno-nob (2021.01.05-3)
Norwegian Nynorsk-Norwegian Bokmål dictionary for the dict server/client
dict-freedict-oci-cat (2021.01.05-3)
Occitan-Catalan dictionary for the dict server/client
dict-freedict-pol-deu (2020.12.28-1.1)
Polish-German dictionary for the dict server/client
dict-freedict-pol-ell (2020.12.28-1.1)
Polish-Modern Greek dictionary for the dict server/client
dict-freedict-pol-eng (2020.12.28-1.1)
Polish-English dictionary for the dict server/client
dict-freedict-pol-fin (2020.12.28-1.1)
Polish-Finnish dictionary for the dict server/client
dict-freedict-pol-fra (2020.12.28-1.1)
Polish-French dictionary for the dict server/client
dict-freedict-pol-gle (2021.01.05-3)
Polish-Irish dictionary for the dict server/client
dict-freedict-pol-ind (2020.12.28-1.1)
Polish-Indonesian dictionary for the dict server/client
dict-freedict-pol-ita (2020.12.28-1.1)
Polish-Italian dictionary for the dict server/client
dict-freedict-pol-jpn (2020.12.28-1.1)
Polish-Japanese dictionary for the dict server/client
dict-freedict-pol-nld (2020.12.28-1.1)
Polish-Dutch dictionary for the dict server/client
dict-freedict-pol-nor (2020.12.28-1.1)
Polish-Norwegian dictionary for the dict server/client
dict-freedict-pol-por (2020.12.28-1.1)
Polish-Portuguese dictionary for the dict server/client
dict-freedict-pol-rus (2020.12.28-1.1)
Polish-Russian dictionary for the dict server/client
dict-freedict-pol-spa (2020.12.28-1.1)
Polish-Spanish dictionary for the dict server/client
dict-freedict-pol-swe (2020.12.28-1.1)
Polish-Swedish dictionary for the dict server/client
dict-freedict-por-deu (2021.01.05-3)
Portuguese-German dictionary for the dict server/client
dict-freedict-por-eng (2021.01.05-3)
Portuguese-English dictionary for the dict server/client
dict-freedict-por-fra (2020.12.28-1.1)
Portuguese-French dictionary for the dict server/client
dict-freedict-por-spa (2020.12.28-1.1)
Portuguese-Spanish dictionary for the dict server/client
dict-freedict-san-deu (2021.01.05-3)
Sanskrit-German dictionary for the dict server/client
dict-freedict-slk-eng (2021.01.05-3)
Slovak-English dictionary for the dict server/client
dict-freedict-slv-eng (2021.01.05-3)
Slovenian-English dictionary for the dict server/client
dict-freedict-spa-ast (2021.01.05-3)
Spanish-Asturian dictionary for the dict server/client
dict-freedict-spa-deu (2021.01.05-3)
Spanish-German dictionary for the dict server/client
dict-freedict-spa-eng (2021.01.05-3)
Spanish-English dictionary for the dict server/client
dict-freedict-spa-por (2021.01.05-3)
Spanish-Portuguese dictionary for the dict server/client
dict-freedict-srp-eng (2021.01.05-3)
Serbian-English dictionary for the dict server/client
dict-freedict-swe-bul (2020.12.28-1.1)
Swedish-Bulgarian dictionary for the dict server/client
dict-freedict-swe-deu (2020.12.28-1.1)
Swedish-German dictionary for the dict server/client
dict-freedict-swe-ell (2020.12.28-1.1)
Swedish-Modern Greek dictionary for the dict server/client
dict-freedict-swe-eng (2021.01.05-3)
Swedish-English dictionary for the dict server/client
dict-freedict-swe-fin (2020.12.28-1.1)
Swedish-Finnish dictionary for the dict server/client
dict-freedict-swe-fra (2020.12.28-1.1)
Swedish-French dictionary for the dict server/client
dict-freedict-swe-ita (2020.12.28-1.1)
Swedish-Italian dictionary for the dict server/client
dict-freedict-swe-jpn (2020.12.28-1.1)
Swedish-Japanese dictionary for the dict server/client
dict-freedict-swe-lat (2020.12.28-1.1)
Swedish-Latin dictionary for the dict server/client
dict-freedict-swe-nor (2020.12.28-1.1)
Swedish-Norwegian dictionary for the dict server/client
dict-freedict-swe-pol (2020.12.28-1.1)
Swedish-Polish dictionary for the dict server/client
dict-freedict-swe-por (2020.12.28-1.1)
Swedish-Portuguese dictionary for the dict server/client
dict-freedict-swe-rus (2020.12.28-1.1)
Swedish-Russian dictionary for the dict server/client
dict-freedict-swe-spa (2020.12.28-1.1)
Swedish-Spanish dictionary for the dict server/client
dict-freedict-swe-tur (2020.12.28-1.1)
Swedish-Turkish dictionary for the dict server/client
dict-freedict-swh-eng (2021.01.05-3)
Swahili-English dictionary for the dict server/client
dict-freedict-swh-pol (2021.01.05-3)
Swahili-Polish dictionary for the dict server/client
dict-freedict-tur-deu (2021.01.05-3)
Turkish-German dictionary for the dict server/client
dict-freedict-tur-eng (2021.01.05-3)
Turkish-English dictionary for the dict server/client
dict-freedict-wol-fra (2021.01.05-3)
Wolof-French dictionary for the dict server/client
dict-gcide (0.48.5+nmu1)
Comprehensive English Dictionary
dict-jargon (4.4.7-3.1)
dict package for The Jargon Lexicon
dict-server
本虛擬套件由這些套件填實: dictd, dicod
dict-vera (1:1.24-1)
Dictionary of computer related acronyms -- dict format
dict-web1913
本虛擬套件由這些套件填實: dict-gcide
dict-wn (1:3.0-36)
electronic lexical database of English language for dict
dictconv (0.2-7+b2)
convert a dictionary file type in another dictionary file type
dictd (1.13.0+dfsg-1)
dictionary server
dictd-dictionary
本虛擬套件由這些套件填實: dict-freedict-pol-rus, dict-freedict-fin-bul, dict-freedict-eng-lit, dict-freedict-eng-rom, dict-freedict-srp-eng, dict-freedict-pol-eng, dict-freedict-pol-jpn, dict-freedict-eng-lat, dict-freedict-nld-fin, dict-freedict-hrv-eng, dict-freedict-ita-swe, dict-freedict-eng-ces, dict-foldoc, dict-freedict-deu-ind, mueller7-dict, dict-freedict-fra-swe, dict-freedict-deu-ita, dict-freedict-nld-por, dict-freedict-deu-kur, dict-freedict-kur-tur, dict-gcide, dict-freedict-nld-spa, dict-freedict-swe-deu, dict-freedict-pol-ell, dict-freedict-eng-nld, dict-freedict-gle-pol, dict-freedict-fin-fra, dict-freedict-pol-gle, dict-freedict-fra-tur, dict-freedict-spa-por, dict-freedict-swe-jpn, dict-freedict-epo-eng, dict-freedict-swe-eng, dict-freedict-swe-rus, dict-freedict-fra-bul, dict-freedict-ara-eng, dict-freedict-eng-ara, dict-freedict-gla-deu, dict-freedict-swe-ell, dict-freedict-pol-deu, dict-freedict-ita-bul, dict-freedict-eng-ita, dict-freedict-fin-nor, dict-freedict-fin-swe, dict-freedict-ita-tur, dict-freedict-deu-nld, dict-freedict-nno-nob, dict-freedict-eng-nor, dict-freedict-eng-hun, dict-freedict-eng-swe, dict-freedict-swe-fin, dict-freedict-eng-dan, dict-freedict-fin-ita, dict-freedict-fra-nld, dict-freedict-eng-swh, dict-freedict-kha-deu, dict-freedict-jpn-rus, dict-freedict-deu-tur, dict-freedict-spa-eng, dict-freedict-jpn-eng, dict-freedict-eng-srp, dict-de-en, dict-freedict-swe-por, dict-freedict-fra-lat, dict-freedict-swe-spa, dict-freedict-nld-deu, dict-freedict-deu-bul, dict-freedict-eng-fra, dict-freedict-fra-bre, dict-freedict-fin-nld, dict-freedict-kha-eng, dict-freedict-swe-pol, dict-freedict-spa-deu, dict-freedict-jpn-deu, dict-freedict-fra-ita, dict-freedict-lit-eng, mueller7accent-dict, dict-freedict-deu-swe, dict-freedict-pol-fin, dict-freedict-nld-eng, dict-freedict-gle-eng, dict-freedict-nld-rus, dict-freedict-eng-tur, dict-freedict-pol-spa, dict-freedict-fin-lat, dict-freedict-pol-por, dict-freedict-por-fra, dict-vera, dict-freedict-eng-bul, dict-freedict-eng-cym, dict-freedict-deu-fra, dict-freedict-san-deu, dict-jargon, dict-freedict-fin-ell, dict-freedict-eng-fin, dict-freedict-fra-deu, dict-freedict-eng-afr, dict-freedict-nld-lat, dict-freedict-spa-ast, dict-freedict-kur-eng, dict-freedict-swe-nor, dict-freedict-nld-lit, dict-freedict-deu-pol, dict-freedict-swe-fra, dict-freedict-slv-eng, dict-freedict-eng-por, dict-freedict-eng-spa, dict-freedict-cym-eng, dict-freedict-fin-jpn, dict-freedict-fin-eng, dict-freedict-ita-deu, dict-freedict-lat-deu, dict-freedict-pol-swe, dict-elements, dict-freedict-pol-nor, dict-freedict-deu-fin, dict-freedict-slk-eng, dict-freedict-fin-deu, dict-freedict-ita-rus, dict-freedict-fra-ell, dict-freedict-ita-jpn, dict-freedict-lat-eng, dict-freedict-ita-eng, dict-freedict-eng-hin, dict-freedict-eng-pol, dict-freedict-eng-hrv, dict-freedict-ita-ell, dict-freedict-por-spa, dict-freedict-fra-rus, dict-freedict-swe-bul, dict-freedict-deu-por, dict-freedict-deu-spa, dict-freedict-fra-jpn, dict-freedict-fra-eng, dict-freedict-swe-tur, dict-freedict-nld-ita, dict-freedict-swh-pol, dict-freedict-wol-fra, dict-freedict-kur-deu, dict-freedict-pol-fra, dict-freedict-por-eng, dict-freedict-deu-rus, dict-freedict-deu-eng, dict-freedict-swe-lat, dict-freedict-oci-cat, dict-freedict-fra-spa, dict-freedict-eng-gle, dict-freedict-fra-por, dict-freedict-nld-swe, dict-freedict-ita-fin, dict-freedict-dan-eng, dict-freedict-nld-fra, dict-freedict-fin-pol, dict-freedict-pol-ita, dict-freedict-tur-eng, dict-freedict-eng-deu, dict-freedict-fra-fin, dict-freedict-pol-ind, dict-wn, dict-freedict-hun-eng, dict-freedict-afr-deu, dict-freedict-ita-por, dict-freedict-mkd-bul, dict-freedict-bre-fra, dict-devil, dict-freedict-eng-rus, dict-freedict-eng-jpn, dict-freedict-tur-deu, dict-freedict-ita-pol, dict-freedict-ces-eng, dict-freedict-fin-por, dict-freedict-isl-eng, dict-freedict-jpn-fra, dict-freedict-ckb-kmr, dict-freedict-afr-eng, dict-freedict-por-deu, dict-freedict-swh-eng, dict-freedict-eng-ell, dict-freedict-swe-ita, dict-freedict-fra-pol, dict-freedict-pol-nld
dictem (1.0.4-4.1)
Dict client for emacs
dictfmt (1.13.0+dfsg-1)
utility to format a file for use by a dictd server
diction (1.11-1+b1)
Utilities to help with style and diction (English and German)
dictionaries-common (1.28.4)
spelling dictionaries - common utilities
dictionaries-common-dev (1.28.4)
spelling dictionaries - developer files
dictionary-el (1.10+git20190107-3)
transitional dummy package, dictionary-el to elpa-dictionary
dictionaryreader.app (0+20080616+dfsg-2+b10)
Dict client for GNUstep
dictzip (1.13.0+dfsg-1)
compression utility for dictionary databases
didiwiki (0.5-13+b1)
simple wiki implementation with built-in webserver
dieharder (3.31.1.2-1)
Random-number generator test front-end
dietlibc-dev (0.34~cvs20160606-12)
diet libc - a libc optimized for small size
dietlibc-doc (0.34~cvs20160606-12)
diet libc documentation - a libc optimized for small size
difference (2.0.0-1+b2)
text diffing tool
diffmon (20020222-7)
Tool for reporting changes in system configuration
diffoscope (177)
in-depth visual diff tool for files, archives and directories
diffoscope-minimal (177)
in-depth visual diff tool for files, archives and directories (minimal package)
diffpdf (2.1.3-2)
compare two PDF files textually or visually
diffstat (1.64-1)
produces graph of changes introduced by a diff file
diffuse (0.6.0-2)
graphical tool for merging and comparing text files
diffutils (1:3.7-5)
File comparison utilities
diffutils-doc (1:3.7-5)
Documentation for GNU diffutils in HTML format
digikam (4:7.1.0-2)
digital photo management application for KDE
digikam-data (4:7.1.0-2)
digiKam architecture-independant data
digikam-private-libs (4:7.1.0-2)
private libraries for digiKam plugins
digimend-dkms (10-2)
Collection of graphics tablet drivers by DIGImend project
digitemp (3.7.2-1)
read temperature sensors in a 1-Wire net
digitools (1.03-1.2+b1)
A set of tools to control ASUS Digimatrix embedded hardware
digup (0.6.57-1)
Digest Updating Tool
dillo (3.0.5-7)
Small and fast web browser
dimbl (0.15-2.1+b1)
Distributed Memory Based Learner
dime (0.20111205-2.1)
DXF Import, Manipulation, and Export programs
din (5.2.1-6)
digital audio synthesizer
ding (1.9-2)
Graphical dictionary lookup program for Unix (Tk)
dino-im (0.2.0-3+deb11u1)
modern XMPP client
dino-im-common (0.2.0-3+deb11u1)
modern XMPP client - common files
diod (1.0.24-5)
I/O forwarding server for 9P
diodon (1.11.0-1)
GTK+ Clipboard manager
diodon-dev (1.11.0-1)
GTK+ Clipboard manager (development files)
diploma (1.2.16)
Write scientific papers with Debian
dir2ogg (0.13-1)
audio file converter into ogg-vorbis format
dirb (2.22+dfsg-5)
URL bruteforcing tool
dircproxy (1.0.5-6+b2)
IRC proxy for people who use IRC from different workstations
dirdiff (2.1-7.2+b1 [amd64], 2.1-7.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Display and merge changes between two directory trees
directvnc (0.7.8-1)
VNC client using the framebuffer as display
direnv (2.25.2-2)
Utility to set directory specific environment variables
direvent (5.2-1+b1 [amd64], 5.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
monitors events in the file system directories
direwolf (1.6+dfsg-1+b1)
Soundcard TNC for APRS
dirmngr (2.2.27-2+deb11u2)
GNU privacy guard - network certificate management service
dirtbike (0.3-7)
turn system-installed Python packages into wheels
dirvish (1.2.1-2.1)
Filesystem based backup system using rsync
dis51 (0.5-1.1+b2)
Disassembler for 8051 code in Intel Hex format
disc-cover (1.5.6-4)
produces covers for audio CDs
discosnp (4.4.4-1)
discovering Single Nucleotide Polymorphism from raw set(s) of reads
discount (2.2.6-1)
implementation of the Markdown markup language in C
discover (2.1.2-8)
hardware identification system
discover-data (2.2013.01.11+nmu1)
Data lists for Discover hardware detection system
discus (0.4.0-1)
pretty version of df(1) command
dish (1.19.1-1.1)
diligence/distributed shell for parallel sysadmin
disk-detect (1.147)
Detect disk drives
disk-filltest (0.8.2-1)
Simple Tool to Detect Bad Disks by Filling with Random Data
diskimage-builder-doc (3.2.1-4)
image building tools for Openstack - doc
diskscan (0.20-2)
scan storage media for bad or near failure sectors
disktype (9-11)
detection of content format of a disk or disk image
dislocker (0.7.3-2)
read/write encrypted BitLocker volumes
disorderfs (0.5.11-1)
FUSE filesystem that introduces non-determinism
display-dhammapada (1.0-0.1+b1)
fortune-like collection of Buddhist sayings
dist (1:3.5-236-1)
Tools for developing, maintaining and distributing software
distcc (3.4+really3.3.5-3)
simple distributed compiler client and server
distcc-pump (3.4+really3.3.5-3)
pump mode for distcc a distributed compiler client and server
distccmon-gnome (3.4+really3.3.5-3)
GTK+ monitor for distcc a distributed client and server
distributed-net (2.9112.521+arm64-2) [non-free]
client to donate unused CPU cycles to distributed.net
distro-info (1.0+deb11u1)
provides information about the distributions' releases
distro-info-data (0.51+deb11u5)
information about the distributions' releases (data files)
disulfinder (1.2.11-10)
cysteines disulfide bonding state and connectivity predictor
disulfinder-data (1.2.11-10)
data files for predictor of disulfide bonds in proteins
dita-ot (1.5.3+dfsg-1)
transforms DITA content (maps and topics) into deliverable formats
dita-ot-doc (1.5.3+dfsg-1)
DITA Open Toolkit (documentation)
ditaa (0.10+ds1-1.2)
convert ASCII diagrams into proper bitmap graphics
divxcomp (0.1-9.1)
bitrate calculator for DivX;-) movies written in perl
dizzy (0.3-3.1)
Graphics demo that makes you dizzy using rotating textures
django-sortedm2m-data (2.0.0+dfsg.1-2)
Replacement for Django's many to many field with sorted relations (data files)
djbdns-conf (1:1.05-13+deb11u1)
programs to create service directories
djbdns-utils (1:1.05-13+deb11u1)
dns debugging tools
djinn (2014.9.7-9+b2 [armel], 2014.9.7-9+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
generate Haskell expressions from types
djtools (1.2.8)
tools for HP DeskJet printer
djview (3.5.28-2)
Transition package, djview3 to djview4
djview3 (3.5.28-2)
Transition package, djview3 to djview4
djview4 (4.12-2)
Viewer for the DjVu image format
djvu-viewer
本虛擬套件由這些套件填實: djview4, zathura-djvu, okular-extra-backends, evince, atril, qpdfview-djvu-plugin
djvubind (1.2.1-5)
simple creation of djvu files
djvulibre-bin (3.5.28-2)
Utilities for the DjVu image format
djvulibre-desktop (3.5.28-2)
Desktop support for the DjVu image format
djvuserve (3.5.28-2)
CGI program for unbundling DjVu files on the fly
dkimpy-milter (1.2.2-1)
DomainKeys Identified Mail (DKIM) milter in Python
dkms (2.8.4-3)
Dynamic Kernel Module Support Framework
dkopp (6.5-1.1)
Full and incremental backup to DVD
dl10n (3.00+nmu1)
Debian infrastructure and tools for localization
dlang-libevent (2.0.16.1~really2.0.2-0.2)
D version of the C headers for libevent
dlang-openssl (2.0.0+1.1.0h-0.2)
D version of the C headers for openssl
dleyna-renderer (0.6.0-3)
DBus service to interact with DLNA Digital Media Renderers
dleyna-server (0.6.0-2)
DBus service to interact with DLNA Digital Media Servers
dlint (1.4.0-8)
Checks dns zone information using nameserver lookups
dlm-controld (4.1.0-1)
Distributed Lock Manager control daemon
dlmodelbox (1.1.1-1)
Swiss Army Knife of Deep Learning Models
dlocate (1.07+nmu1)
fast alternative to dpkg -L and dpkg -S
dlt-daemon (2.18.6-1+deb11u1)
Diagnostic Log and Trace logging daemon
dlt-tools (2.18.6-1+deb11u1)
Diagnostic Log and Trace (DLT) (documentation)
dlt-viewer (2.21.2+dfsg-2+deb11u1)
Viewer for GENIVI DLT traces
dlt-viewer-dev (2.21.2+dfsg-2+deb11u1)
Viewer for GENIVI DLT traces - development files
dlume (0.2.4-14+b1)
simple and easy to use addressbook (GTK+)
dlz-ldap-enum (1.1.0-1.1)
Plug-in for bind9 that uses LDAP data to fulfill ENUM requests
dm-writeboost-dkms (2.2.13-1)
log-structured caching for Linux
dma (0.13-1)
lightweight mail transport agent
dmagnetic (0.30-1)
Interpreter to play textadventures from Magnetic Scrolls in glorious ANSI Art
dmarc-cat (0.14.0-1+b5)
decode DMARC reports
dmenu
本虛擬套件由這些套件填實: suckless-tools
dmeventd (2:1.02.175-2.1)
Linux Kernel Device Mapper event daemon
dmg2img (1.6.7-1+b1 [amd64], 1.6.7-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tool for converting compressed dmg files to hfsplus images
dmidecode (3.3-2)
SMBIOS/DMI table decoder
dmidecode-udeb (3.3-2)
SMBIOS/DMI table decoder (udeb)
dmitry (1.3a-1.1)
Deepmagic Information Gathering Tool
dmktools (16.0-1)
tools for manipulating floppy disk images in the dmk format
dmraid (1.0.0.rc16-8+b1 [amd64], 1.0.0.rc16-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Device-Mapper Software RAID support tool
dmraid-udeb (1.0.0.rc16-8+b1 [amd64], 1.0.0.rc16-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Device-Mapper Software RAID support tool (udeb)
dmrconfig (1.1+git20190919.e47491e-6)
Configuration utility for DMR radios
dmsetup (2:1.02.175-2.1)
Linux Kernel Device Mapper userspace library
dmsetup-udeb (2:1.02.175-2.1)
Linux Kernel Device Mapper userspace library
dmtracedump (10.0.0+r36-3)
Generates graphical call-stack diagrams from Android trace logs
dmtx-utils (0.7.6-1.1+b1)
Utilities for reading and writing Data Matrix 2D barcodes
dmucs (0.6.1-3)
distributed compilation system for use with distcc
dmz-cursor-theme (0.4.5)
Style neutral, scalable cursor theme
dnaclust (3-7+b2)
tool for clustering millions of short DNA sequences
dnapi (1.1-3)
adapter prediction for small RNA sequencing - utils
dnet-common (2.65)
Base package for Linux DECnet
dnet-progs (2.65+b2)
DECnet user programs and daemons
dnf (4.5.2-6)
Dandified Yum package manager
dnf-data (4.5.2-6)
Dandified Yum package manager (data files)
dnf-doc (4.5.2-6)
Documentation for the DNF package manager (common documentation)
dns-browse (1.9-8.1)
Front-ends to DNS search
dns-flood-detector (1.20-6)
detect abusive usage levels on high traffic nameservers
dns-root-data (2021011101)
DNS root data including root zone and DNSSEC key
dns2tcp (0.5.2-3)
TCP-over-DNS tunnel server and client
dns323-firmware-tools (0.7.3-1.1)
build and manipulate firmware images for a range of NAS devices
dnscache (1:1.05-13+deb11u1)
DNS cache - tool for finding addresses of Internet hosts
dnscrypt-proxy (2.0.45+ds1-1+b5)
Flexible DNS proxy, with support for encrypted DNS protocols
dnsdbq (2.3.0-1)
DNSDB API Client
dnsdiag (1.7.0-1)
DNS request auditing toolset
dnsdist (1.5.1-3)
DNS loadbalancer
dnsenum (1.3.0-4)
tool to enumerate domain DNS information
dnshistory (1.3-2.1)
Translating and storing of IP addresses from log files
dnsmap (0.35-5)
DNS domain name brute forcing tool
dnsmasq (2.85-1)
Small caching DNS proxy and DHCP/TFTP server
dnsmasq-base (2.85-1)
Small caching DNS proxy and DHCP/TFTP server
dnsmasq-base
本虛擬套件由這些套件填實: dnsmasq-base, dnsmasq-base-lua
dnsmasq-base-lua (2.85-1)
Small caching DNS proxy and DHCP/TFTP server
dnsmasq-utils (2.85-1)
Utilities for manipulating DHCP leases
dnsproxy (1.16-0.1+deb11u1)
proxy for DNS queries
dnsrecon (0.10.0-1)
Powerful DNS enumeration script
dnss (0.0~git20200927.0.6aad832e-2+b3)
Proxy for using DNS over HTTPS
dnssec-trigger (0.17+repack-5)
reconfiguration tool to make DNSSEC work
dnstap-ldns (0.2.0-5)
Utility that can decode dnstap encoded files
dnstop (20120611-2+b4)
console tool to analyze DNS traffic
dnstracer (1.9-5+b1)
trace DNS queries to the source
dnstwist (0~20201228-1)
Domain name permutation engine
dnsutils (1:9.16.48-1) [security]
Transitional package for bind9-dnsutils
dnsutils
本虛擬套件由這些套件填實: bind9-dnsutils
dnsvi (1.3)
edit dynamic DNS zones using vi
dnsviz (0.9.3-1)
Tools for analyzing and visualizing DNS and DNSSEC behavior
dnswalk (2.0.2.dfsg.1-3)
Checks dns zone information using nameserver lookups
doas (6.8.1-2)
minimal replacement for sudo
doc-base (0.11.1)
utilities to manage online documentation
doc-central (1.9.0)
web-based documentation browser
doc-debian (6.5)
Debian Project documentation and other documents
doc-rfc (20201128-1) [non-free]
RFC documents metapackage
doc-rfc-experimental (20201128-1) [non-free]
Experimental RFCs
doc-rfc-fyi-bcp (20201128-1) [non-free]
FYI and BCP RFCs
doc-rfc-informational (20201128-1) [non-free]
Informational RFCs
doc-rfc-misc (20201128-1) [non-free]
Historic and draft RFCs
doc-rfc-old-std (20201128-1) [non-free]
Old Standard RFCs
doc-rfc-others (20201128-1) [non-free]
Old experimental and unclassified RFCs
doc-rfc-std (20201128-1) [non-free]
Standard RFCs
doc-rfc-std-proposed (20201128-1) [non-free]
Proposed Standard RFCs
docbk-xml
本虛擬套件由這些套件填實: docbook-xml
docbook (4.5-6)
standard SGML representation system for technical documents
docbook-book
本虛擬套件由這些套件填實: docbook-defguide
docbook-defguide (2.0.17+svn9912-2)
DocBook: The Definitive Guide - HTML version
docbook-dsssl (1.79-9.2)
modular DocBook DSSSL stylesheets, for print and HTML
docbook-dsssl-doc (1.79-7)
documentation for the DocBook DSSSL stylesheets
docbook-ebnf (1.2~cr1-6)
EBNF module for the XML version of the DocBook DTD
docbook-html-forms (1.1.0-5.1)
Extension to DocBook XML for generating html forms
docbook-mathml (1.1CR1-2.1)
Extension to DocBook XML for using MathML markup
docbook-simple (1.1-5)
simplified DocBook XML Doctype and css stylesheets
docbook-slides (3.4.0-5.1)
XML Slides DTD and XSL Stylesheets
docbook-slides-demo (3.4.0-1.1)
Demo presentation slides for the docbook-slides package
docbook-to-man (1:2.0.0-45)
converter from DocBook SGML into roff man macros
docbook-utils (0.6.14-3.4)
Convert DocBook files to other formats (HTML, RTF, PS, man, PDF)
docbook-website (2.5.0.0-8.1)
XML Website DTD and XSL Stylesheets
docbook-xml (4.5-9)
standard XML documentation system for software and systems
docbook-xsl (1.79.2+dfsg-1)
stylesheets for processing DocBook XML to various output formats
docbook-xsl-doc
本虛擬套件由這些套件填實: docbook-xsl-doc-pdf, docbook-xsl-doc-html, docbook-xsl-doc-text
docbook-xsl-doc-html (1.78.1-1.1)
stylesheets for processing DocBook XML files (HTML documentation)
docbook-xsl-doc-pdf (1.78.1-1.1)
stylesheets for processing DocBook XML files (PDF documentation)
docbook-xsl-doc-text (1.78.1-1.1)
stylesheets for processing DocBook XML files (ASCII documentation)
docbook-xsl-nons
本虛擬套件由這些套件填實: docbook-xsl
docbook-xsl-ns (1.79.2+dfsg-1)
stylesheets for processing DocBook 5 XML to various output formats
docbook-xsl-saxon (1.00.dfsg.1-7)
Java extensions for use with DocBook XML stylesheets (Saxon)
docbook2x (0.8.8-17+b1 [amd64], 0.8.8-17 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Converts DocBook/XML documents into man pages and TeXinfo
docbook5-xml (5.0-3)
standard XML documentation system for software and systems
docdiff (0.6.0-1)
Compares two files word by word / char by char
dochelp (0.1.8)
Utility to browse system documentation
docker (1.5-2)
transitional package
docker-clean (2.0.4-3)
simple Shell script to clean up the Docker Daemon
docker-compose (1.25.0-1)
Punctual, lightweight development environments using Docker
docker-doc (20.10.5+dfsg1-1+deb11u2)
Linux container runtime -- documentation
docker-registry (2.7.1+ds2-7+deb11u1)
Docker toolset to pack, ship, store, and deliver content
docker.io (20.10.5+dfsg1-1+deb11u2)
Linux container runtime
docker.io-doc
本虛擬套件由這些套件填實: docker-doc
docker2aci (0.17.2+dfsg-2.1+b5)
CLI tool to convert Docker images to ACIs
docknot (4.00-2)
Generate package documentation from metadata
doclava-aosp (6.0.1+r55-1.1)
Custom Javadoc doclet by Google
doclifter (2.19-2)
Convert troff to DocBook
doctest (0.16.3-1+b3)
test interactive Haskell examples; executable
doctest-dev (2.4.5+repack0-1)
Light and feature-rich C++ testing framework
doctorj (5.0.0-6)
Tool to analyze Javadoc comments
doctrine
本虛擬套件由這些套件填實: php-doctrine-orm
docutils
本虛擬套件由這些套件填實: python3-docutils
docutils-common (0.16+dfsg-4)
text processing system for reStructuredText - common data
docutils-doc (0.16+dfsg-4)
text processing system for reStructuredText - documentation
docx2txt (1.4-5)
Convert Microsoft OOXML files to plain text
dodgindiamond2 (0.2.2-4)
Little shoot-'em-up arcade game for one or two players
dodgy (0.1.9-3)
searches for dodgy looking lines in Python code
dogtag-pki (10.10.2-3)
Dogtag Public Key Infrastructure (PKI) Suite
dogtag-pki-console-theme (10.10.2-3)
Certificate System - PKI Console User Interface
dogtag-pki-server-theme (10.10.2-3)
Certificate System - PKI Server User Interface
dokujclient (3.9.1-1)
Command line tool to interact with an instance of Dokuwiki
dokuwiki (0.0.20180422.a-2.1)
standards compliant simple to use wiki
dolfin-bin (2019.2.0~git20201207.b495043-5)
Executable scripts for DOLFIN
dolfin-doc (2019.2.0~git20201207.b495043-5)
Documentation and demo programs for DOLFIN
dolfinx-doc (2019.2.0~git20210130.c14cb0a-5)
Documentation and demo programs for DOLFIN
dolphin (4:20.12.2-1)
file manager
dolphin-dev (4:20.12.2-1)
file manager - development files
dolphin-nextcloud (3.1.1-2+deb11u1)
Nextcloud integration for Dolphin
dolphin-plugins (4:20.12.2-1)
plugins for Dolphin
donkey (1.2.0-6)
One Time Password calculator
doodle (0.7.0-10)
Desktop Search Engine (client)
doodle-dbg (0.7.0-10)
Desktop Search Engine (debug)
doodled (0.7.0-10)
Desktop Search Engine (daemon)
doom-engine
本虛擬套件由這些套件填實: prboom-plus, chocolate-doom, doomsday, crispy-doom
doom-node-builder
本虛擬套件由這些套件填實: glbsp, zdbsp
doom-wad
本虛擬套件由這些套件填實: freedoom, freedm, doom-wad-shareware
doom-wad-editor
本虛擬套件由這些套件填實: deutex
doom-wad-shareware (1.9.fixed-2) [non-free]
Shareware game files for the 3D game Doom
doomsday (2.2.2+ds1-1)
enhanced version of the legendary DOOM game
doomsday-common (2.2.2+ds1-1)
enhanced version of the legendary DOOM game - common files
doomsday-data (2.2.2+ds1-1)
enhanced version of the legendary DOOM game - data files
doomsday-server (2.2.2+ds1-1)
enhanced version of the legendary DOOM game - server
doona (1.0+git20190108-1)
Network fuzzer forked from bed
dopewars (1.5.12-19+b1)
drug-dealing game set in streets of New York City
dopewars-data (1.5.12-19)
drug-dealing game set in streets of New York City - data files
doris (5.0.3~beta+dfsg-14) [contrib]
Delft object-oriented radar interferometric software
dos2unix (7.4.1-1)
convert text file line endings between CRLF and LF
dosage (2.15-4)
comic strip downloader and archiver
dosbox (0.74-3-3)
x86 emulator with Tandy/Herc/CGA/EGA/VGA/SVGA graphics, sound and DOS
dosbox-debug (0.74-3-3)
x86 emulator with DOS - debugger
doscan (0.3.3-1.1)
port scanner for discovering services on large networks
doschk (1.1-7+b1 [i386], 1.1-7 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
SYSV and DOS filename conflicts check
dose-builddebcheck (6.0.1-2)
Checks whether build-dependencies can be satisfied
dose-distcheck (6.0.1-2)
Checks whether dependencies of packages can be satisfied
dose-doc (6.0.1-2)
Documentation for dose tools and libraries.
dose-extra (6.0.1-2)
Extra QA tools from the Dose3-library
dosfstools (4.2-1)
utilities for making and checking MS-DOS FAT filesystems
dosfstools-udeb (4.2-1)
utilities for making and checking MS-DOS FAT filesystems (udeb)
dossizola (1.0-12)
Isola board game with nice graphics
dossizola-data (1.0-12)
Data files for Do'SSi Zo'la game
dot-forward (1:0.71-5)
reads sendmail's .forward files under qmail
dot2tex (2.11.3-2)
Graphviz to LaTeX converter
dotenv (0.15.0-2+b2)
`dotenv` implementation for Rust
dothost (0.2-5)
simple DNS lookup network utility, produces output in Graphviz format
dotmcp (0.2.2.1-3+b1)
mcp for s3d
dotter (4.44.1+dfsg-6+b1)
detailed comparison of two genomic sequences
doublecmd
本虛擬套件由這些套件填實: doublecmd-gtk, doublecmd-qt
doublecmd-common (0.9.10-1)
twin-panel (commander-style) file manager
doublecmd-gtk (0.9.10-1)
twin-panel (commander-style) file manager (GTK2)
doublecmd-help
本虛擬套件由這些套件填實: doublecmd-help-uk, doublecmd-help-ru, doublecmd-help-en
doublecmd-help-en (0.9.10-1)
Documentation for Double Commander (English)
doublecmd-help-ru (0.9.10-1)
Documentation for Double Commander (Russian)
doublecmd-help-uk (0.9.10-1)
Documentation for Double Commander (Ukrainian)
doublecmd-plugins (0.9.10-1)
twin-panel (commander-style) file manager (plugins)
doublecmd-qt (0.9.10-1)
twin-panel (commander-style) file manager (Qt5)
dov4l (0.9+repack-1+b1)
program to set and query settings of video4linux devices
dovecot-abi-2.3.abiv13
本虛擬套件由這些套件填實: dovecot-core
dovecot-antispam (2.0+20171229-1+b7)
Dovecot plugins for training spam filters
dovecot-auth-lua (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - Lua authentication plugin
dovecot-common
本虛擬套件由這些套件填實: dovecot-core
dovecot-core (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - core files
dovecot-dev (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - header files
dovecot-fts-xapian (1.4.9a-1+deb11u1)
full-text search for dovecot using xapian
dovecot-gssapi (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - GSSAPI support
dovecot-imapd (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - IMAP daemon
dovecot-ldap (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - LDAP support
dovecot-lmtpd (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - LMTP server
dovecot-lucene (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - Lucene support
dovecot-managesieved (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - ManageSieve server
dovecot-mysql (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - MySQL support
dovecot-pgsql (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - PostgreSQL support
dovecot-pop3d (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - POP3 daemon
dovecot-sieve (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - Sieve filters support
dovecot-solr (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - Solr support
dovecot-sqlite (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - SQLite support
dovecot-submissiond (1:2.3.13+dfsg1-2+deb11u1)
secure POP3/IMAP server - mail submission agent
download-installer (1.55)
Download installer components
downtimed (1.0-2)
monitor of downtime, shutdown, and crashes
doxygen (1.9.1-1)
Documentation system for C, C++, Java, Python and other languages
doxygen-doc (1.9.1-1)
Documentation for doxygen
doxygen-docs
本虛擬套件由這些套件填實: doxygen-doc
doxygen-doxyparse (1.9.1-1)
multi-language source code parser based on Doxygen
doxygen-gui (1.9.1-1)
GUI configuration tool for doxygen
doxygen-latex (1.9.1-1)
Documentation system for C, C++, Java, Python and other languages
doxygen2man (2.0.3-1)
generate man pages from Doxygen XML files
doxypypy
本虛擬套件由這些套件填實: python3-doxypypy
doxyqml (0.3.0-1.1)
QML filter for Doxygen
dozzaqueux (3.51-2.1)
simulator for chemical mixtures
dozzaqueux-data (3.51-2.1)
databases for chemical mixtures
dpatch (2.0.41)
patch maintenance system for Debian source packages
dpdk (20.11.10-1~deb11u1)
Data Plane Development Kit (runtime)
dpdk-dev (20.11.10-1~deb11u1)
Data Plane Development Kit (dev tools)
dpdk-doc (20.11.10-1~deb11u1)
Data Plane Development Kit (documentation)
dpdk-kmods-dkms (0~20201113+git-2)
Data Plane Development Kit (dkms)
dphys-config (20130301~current-6)
Tool to distribute config files by fetching them
dphys-swapfile (20100506-7)
Autogenerate and use a swap file
dpic (2021.01.01-1)
pic-language converter to LaTeX-compatible and other formats
dpkg (1.20.13)
Debian 套件管理系統
dpkg-awk (1.2+nmu2)
Gawk script to parse /var/lib/dpkg/{status,available} and Packages
dpkg-cross (2.6.18+nmu1)
tools for cross compiling Debian packages
dpkg-dev (1.20.13)
Debian package development tools
dpkg-dev-el (37.9)
Transition package, dpkg-dev-el to elpa-dpkg-dev-el
dpkg-repack (1.47)
Debian package archiving tool
dpkg-sig (0.13.1+nmu4)
create and verify signatures on .deb-files
dpkg-source-gitarchive (0.1.3)
dpkg source format for git repositories
dpkg-www (2.61)
Debian package management web interface
dpo-tools (1.3-4)
set of scripts that can aid in the translation of podebconf files
dpt-i2o-raidutils (0.0.6-23)
Adaptec I2O hardware RAID management utilities
dpuser (4.0+dfsg-3)
Interactive language for handling numbers, strings, and matrices
dpuser-doc (4.0+dfsg-3)
Documentation for DPUSER and QFitsView
dput (1.1.0)
Debian package upload tool
dput
本虛擬套件由這些套件填實: dput-ng
dput-ng (1.33)
next generation Debian package upload tool
dput-ng-doc (1.33)
next generation Debian package upload tool (documentation)
dq (20181021-1)
DNS/DNSCurve query tool
dqcache (20181021-1)
DNS/DNSCurve recursive server
draai (20201215-2)
Command-line music player for MPD
drac (1.12-8+b2)
Dynamic Relay Authorization Control (pop-before-smtp)
drac-dev (1.12-8+b2)
Dynamic Relay Authorization Control (development files)
draco (1.4.1+dfsg-3)
Encoder and decoder for 3D geometric meshes and point clouds
dracut (051-1)
Initramfs generator using udev
dracut-config-generic (051-1)
dracut is an event driven initramfs infrastructure
dracut-config-rescue (051-1)
dracut is an event driven initramfs infrastructure
dracut-core (051-1)
dracut is an event driven initramfs infrastructure (core tools)
dracut-live (051-1)
dracut is an event driven initramfs infrastructure (live image modules)
dracut-network (051-1)
dracut is an event driven initramfs infrastructure (network modules)
dracut-squash (051-1)
dracut is an event driven initramfs infrastructure (squashfs modules)
dradio (3.8-2+b3)
danmarks Radio netradio, podcast, and TV player
dragonplayer (4:20.12.0-1)
simple video player
drascula (1.0+ds4-1)
classic 2D point and click adventure game -- English version
drascula-french (1.0+ds4-1)
classic 2D point and click adventure game -- French version
drascula-german (1.0+ds4-1)
classic 2D point and click adventure game -- German version
drascula-italian (1.0+ds4-1)
classic 2D point and click adventure game -- Italian version
drascula-music (1.0+ds4-1)
classic 2D point and click adventure game -- music files
drascula-spanish (1.0+ds4-1)
classic 2D point and click adventure game -- Spanish version
drawing (0.6.4-1)
simple drawing application for the GNOME desktop
drawterm (20170818-1+b1 [mips64el], 20170818-1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
graphical client for Plan 9 CPU servers
drawtiming (0.7.1-7)
tool for documenting hardware designs through timing diagrams
drawxtl (5.5-5)
crystal structure viewer
drbd-doc (8.4~20151102-1.1)
RAID 1 over TCP/IP for Linux (user documentation)
drbd-utils (9.15.0-1)
RAID 1 over TCP/IP for Linux (user utilities)
drbl (2.32.10-2)
diskless remote boot, and a disk cloning utility
drc (3.2.3~dfsg0-1)
digital room correction
dreamchess (0.3.0-1)
3D chess game
dreamchess-data (0.3.0-1)
data files for dreamchess
drgeo-doc (1.5-7.1)
Dr. Geo online user manual
driftnet (1.3.0+dfsg-2+b1)
picks out and displays images from network traffic
driver-injection-disk-detect (1.147)
Detect OEM driver injection disks
driverctl (0.111-1)
Device driver control utility for Linux
drkonqi (5.20.5-1)
Crash handler for Qt applications
drm-info (2.2.0-2)
Small utility to dump info about DRM devices
droidlysis (3.2.1-1)
Property extractor for Android apps
droopy (0.20160830-5)
mini web server to let others upload files to your computer
drop-seq-testdata (2.4.0+dfsg-6)
analyzing Drop-seq data (testdata)
drop-seq-tools (2.4.0+dfsg-6)
analyzing Drop-seq data
dropbear (2020.81-3+deb11u1)
lightweight SSH2 server and client - startup scripts
dropbear-bin (2020.81-3+deb11u1)
lightweight SSH2 server and client - command line tools
dropbear-initramfs (2020.81-3+deb11u1)
lightweight SSH2 server and client - initramfs integration
dropbear-run (2020.81-3+deb11u1)
transitional dummy package for dropbear
drraw (2.2b2-4.1)
simple web based presentation front-end for RRDtool
drslib (0.3.1.p3-2)
Command-line tools for the Data Reference Syntax library
drumgizmo (0.9.19-1)
Audio sampler plugin and stand-alone app that simulates a real drum kit
drumkv1 (0.9.20-1)
old-school drum-kit sampler - standalone
drumkv1-common (0.9.20-1)
old-school drum-kit sampler - common files
drumkv1-lv2 (0.9.20-1)
old-school drum-kit sampler - lv2-plugin
drumstick-tools (1.1.3-1+b2)
Qt5/C++ wrapper for ALSA Sequencer (utilities)
dsdcc (1.9.0-1)
Digital Speech Decoder (DSD)
dsdp (5.8-9.4)
Software for Semidefinite Programming
dsdp-doc (5.8-9.4)
Software for Semidefinite Programming
dselect (1.20.13)
Debian package management front-end
dsh (0.25.10-1.4)
dancer's shell, or distributed shell
dsmidiwifi (2+b2 [amd64], 2+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 2 [armel, armhf])
DSMI over WLAN server
dsniff (2.4b1+debian-30)
Various tools to sniff network traffic for cleartext insecurities
dspdfviewer (1.15.1-1.1)
Dual-Screen PDF Viewer for LaTeX-beamer
dssi-dev (1.1.1~dfsg0-5)
Header file for compiling DSSI plugins and hosts
dssi-example-plugins (1.1.1~dfsg0-5)
Examples of DSSI plugin
dssi-host-jack (1.1.1~dfsg0-5)
Example of DSSI host
dssi-plugin
本虛擬套件由這些套件填實: hexter, amsynth, whysynth, fluidsynth-dssi, xsynth-dssi, wsynth-dssi
dssi-utils (1.1.1~dfsg0-5)
Command-line utilities for sending commands to DSSI plugins
dssp (4.0.0-2)
protein secondary structure assignment based on 3D structure
dstat (0.7.4-6.1)
versatile resource statistics tool
dstat
本虛擬套件由這些套件填實: pcp
dtach (0.9-5+b1 [amd64], 0.9-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
emulates the detach/attach feature of screen
dtdinst (20181222+dfsg2-4)
XML DTD to XML instance format converter
dte (1.9.1-2)
small and easy to use console text editor
dtkcore-bin
本虛擬套件由這些套件填實: libdtkcore5-bin
dtmf2num (0.1.1-1+b1 [amd64], 0.1.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
tool for decoding the DTMF and MF tones from PCM wave files
dtp-i2o-raidutils
本虛擬套件由這些套件填實: dpt-i2o-raidutils
dtrx (8.0.1+git20200717-3)
intelligently extract multiple archive types
dtv-scan-tables (0+git20190925.6d01903-0.1)
Digital Video Broadcasting (DVB) initial scan files
dub (1.22.0-1)
Package and build management system for D
dublin-traceroute (0.4.2-2+b1)
NAT-aware multipath tracerouting tool
duc (1.4.4-1)
high-performance disk usage analyzer
duc-nox (1.4.4-1)
high-performance disk usage analyzer (without X support)
duck (0.13.2)
checks URLs in debian/control and debian/upstream files
ducktype (1.0.2-1)
Command-line tool for Ducktype, a lightweight documentation syntax
due (2.3.0-2)
Dedicated User Environment: manage build environments in Docker containers
duende (2.0.13-1.4+deb11u1)
logging daemonizer
duff (0.5.2-1.1+b2)
Duplicate file finder
duktape (2.5.0-2+deb11u1)
embeddable Javascript engine
duktape-dev (2.5.0-2+deb11u1)
embeddable Javascript engine, development files
duma (2.5.15-2)
library to detect buffer overruns and under-runs in C and C++ programs
dumb-init (1.2.5-1)
wrapper script which proxies signals to a child
dummydroid (1.2-2)
Register a GSF ID for mock-up Android devices
dump (0.4b46-8)
backup and restore for ext2/3/4 filesystems
dump1090-mutability (1.15~20180310.4a16df3+dfsg-8)
ADS-B Ground Station System for RTL-SDR
dumpasn1 (20191022-2)
ASN.1 object dump program
dumpet (2.1-12)
dump information about bootable CDs and other similar formats
dune (2.7.1-2)
composable build system for OCaml projects -- transitional package
dunst (1.5.0-1)
dmenu-ish notification-daemon
duperemove (0.11.2-3)
extent-based deduplicator for file systems
duplicity (0.8.17-1+b1)
encrypted bandwidth-efficient backup
dupload (2.9.6)
Debian package upload tool
duply (2.3.1-1)
easy to use frontend to the duplicity backup system
durep (0.9-3.1)
create disk usage reports
dustmite (0~20170126.e95dff8-3+b2 [amd64], 0~20170126.e95dff8-3+b1 [armel, armhf, i386, s390x], 0~20170126.e95dff8-3 [arm64, mips64el, mipsel])
Tool for minimizing D source code
dustracing2d (2.1.1-1)
tile-based 2D racing game
dustracing2d-data (2.1.1-1)
data files for Dust Racing 2D
dv4l (1.0-5+b2)
Redirect V4L API to access a camcorder from a V4L program
dvb-apps (1.1.1+rev1500-1.4)
Digital Video Broadcasting (DVB) applications
dvb-tools (1.20.0-2)
Collection of command line DVB utilities
dvbackup (1:0.0.4-9+b1)
backup tool using MiniDV camcorders
dvbcut (0.7.3-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.7.3-1 [armel, armhf])
Qt application for cutting parts out of DVB streams
dvblast (3.4-1)
Simple and powerful dvb-streaming application
dvbpsi-utils (1.3.3-1)
utilities and example programs for libdvbpsi
dvbsnoop (1.4.50-5+b1)
DVB / MPEG stream analyzer
dvbstream (0.6+cvs20090621-3)
Broadcast a DVB Transport stream over a LAN
dvbstreamer (2.1.0-5.1)
a console based streamer for DVB/ATSC service(s)
dvbtune (0.5.ds-2)
Simple tuning application for DVB cards
dvd+rw-tools (7.1-14+b1 [amd64], 7.1-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DVD+-RW/R tools
dvd-slideshow (0.8.6.1-1.1)
set of tools to create DVD slideshows with menus
dvdauthor (0.7.2-1+b3 [amd64], 0.7.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
create DVD-Video file system
dvdbackup (0.4.2-4.1)
tool to rip DVD's from the command line
dvdbackup-dbg (0.4.2-4.1)
debug files for dvdbackup
dvdisaster (0.79.5-10)
data loss/scratch/aging protection for CD/DVD media
dvdisaster-doc (0.79.5-10)
data loss/scratch/aging protection for CD/DVD media (documentation)
dvdtape (1.6-2+b1)
Create DVD master filesystems on DLT media
dvgrab (3.5+git20160707.1.e46042e-1+b1)
grab digital video data via IEEE1394 and USB links
dvhtool (1.0.1-5+b2)
Manipulate the volume header on sgi partition layouts
dvi2dvi (2.0alpha-10)
Tweak DVI files
dvi2ps (5.1j-1.4)
TeX DVI-driver for NTT JTeX, MulTeX and ASCII pTeX
dvi2ps-fontdata-a2n (1.0.1-3.1)
Font data to convert pTeX's dvi file to jTeX's dvi file
dvi2ps-fontdata-ja (1.0.1-3.1)
Font data for dvi2ps-j and dvi2dvi
dvi2ps-fontdata-n2a (1.0.1-3.1)
Font data to convert jTeX dvi file to pTeX dvi file
dvi2ps-fontdata-ptexfake (1.0.1-3.1)
Fake pTeX TFM files
dvi2ps-fontdata-rsp (1.0.1-3.1)
Font data of RICOH SP10 printer
dvi2ps-fontdata-tbank (1.0.1-3.1)
Font data of Typebank font
dvi2ps-fontdata-three (1.0.1-3.1)
Font data of Adobe Japanese fonts (futomin, futogo, jun101)
dvi2ps-fontdesc-morisawa5 (0.6)
fontdesc files of dvi2ps for Morisawa Basic-5 type faces
dvidvi (1.0-8.2+b1)
Manipulate .dvi files
dvipdfmx
本虛擬套件由這些套件填實: texlive-base
dvipng (1.15-1.1+b1 [amd64], 1.15-1.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
convert DVI files to PNG graphics
dvisvgm (2.11.1-1)
DVI to SVG converter
dvorak7min (1.6.1+repack-4+b1 [amd64], 1.6.1+repack-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
typing tutor for Dvorak keyboards
dvtm (0.15+40.g311a8c0-1)
Tiling window management for the console
dwarf-fortress (0.47.04+dfsg1-1) [non-free]
Slaves to Armok: God of Blood Chapter II: Dwarf Fortress
dwarf-fortress-data (0.47.04+dfsg1-1) [non-free]
Dwarf Fortress data files
dwarf2sources (0.1.1-3)
utility to list the source files for a given binary
dwarfdump (20201201-1)
utility to dump DWARF debug information from ELF objects
dwarves (1.20-1)
set of advanced DWARF utilities
dwdiff (2.1.4-1)
diff program that operates word by word
dwgsim (0.1.12-4)
short sequencing read simulator
dwm (6.1-5+b1 [amd64], 6.1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dynamic window manager
dwww (1.14)
Read all on-line documentation with a WWW browser
dwz (0.13+20210201-1)
DWARF compression tool
dx (1:4.4.4-13)
OpenDX (IBM Visualization Data Explorer) - main package
dx-dev
本虛擬套件由這些套件填實: libdx4-dev
dx-doc (1:4.4.4-13)
OpenDX (IBM Visualization Data Explorer) - documentation
dxf2gcode (20170925-4)
prepares drawings of parts for automatic machine tools
dxsamples (4.4.0-5)
Sample programs for the OpenDX Data Explorer
dxtool (0.1-4)
DistoX data download utility
dynamips (0.2.14-1) [non-free]
Cisco 7200/3600/3725/3745/2600/1700 Router Emulator
dynamite (0.1.1-2+b2)
PKWARE Data Compression decompressor
dynare (4.6.3-4)
platform for handling a wide class of economic models
dynare-doc (4.6.3-4)
documentation for Dynare
dynare-matlab (4.6.3-4) [contrib]
MATLAB support for Dynare
dyndns-client
本虛擬套件由這些套件填實: ez-ipupdate, inadyn, ddclient
dzen2 (0.9.5~svn271-4+b1)
General-purpose messaging and notification program for X11
e-mem (1.0.1-4)
Efficient computation of Maximal Exact Matches for very large genomes
e-wrapper (0.2-1)
invoke your editor, with optional file:lineno handling
e00compr (1.0.1-6)
Program to read/write Arcinfo compressed E00 files
e2fsck-static (1.46.2-2)
statically-linked version of the ext2/ext3/ext4 filesystem checker
e2fslibs (= 1.46.2-2)
本虛擬套件由這些套件填實: libext2fs2
e2fslibs-dev (= 1.46.2-2)
本虛擬套件由這些套件填實: libext2fs-dev
e2fsprogs (1.46.2-2)
ext2/ext3/ext4 file system utilities
e2fsprogs-l10n (1.46.2-2)
ext2/ext3/ext4 file system utilities - translations
e2fsprogs-udeb (1.46.2-2)
stripped-down versions of e2fsprogs, for debian-installer
e2guardian (5.3.4-1+deb11u1)
Web content filtering (Dansguardian fork)
e2ps (4.34-6)
Convert plain text into PostScript
e2tools (0.1.0-1+deb11u1)
utilities for manipulating files in an ext2/ext3 filesystem
e2wm (1.4-3)
simple window manager for emacs
e3 (1:2.82+dfsg-2)
very small text editor
ea-utils (1.1.2+dfsg-6)
command-line tools for processing biological sequencing data
eag-healpix-java (2017.09.06-2)
Handling of HEALPix sky pixellization
eag-healpix-java-doc (2017.09.06-2)
Handling of HEALPix sky pixellization (API docs)
eancheck (1.0-2)
Check digit validator for EAN/PLU/UPC barcode numbers
eapoltest (2:2.9.0-21)
EAPoL testing utility
earlyoom (1.6.2-1)
Early OOM Daemon
easy-rsa (3.0.8-1)
Simple shell based CA utility
easychem (0.6-9)
Draw high-quality molecules and 2D chemical formulas
easygen (4.1.0-1+b6)
Easy to use universal code/text generator
easygit (0.99-4)
git for mere mortals
easyh10 (1.5-4.1)
Utility to manage the iRiver H10 music player
easyssh (1.7.6-1)
Complete, efficient and easy-to-use SSH manager
easytag (2.4.3-4)
GTK+ editor for audio file tags
easytag-nautilus (2.4.3-4)
Nautilus extension for easytag
eatmydata (105-9)
Library and utilities designed to disable fsync and friends
eatmydata-udeb (105-9)
Disable fsync and friends during installation to speed up the install
eb-doc (4.4.3-13)
C library for accessing electronic books (documents)
eb-utils (4.4.3-13)
C library for accessing electronic books (utilities)
eblook (1:1.6.1-16)
electronic dictionary search command using EB Library
ebnflint (0.0~git20181112.a3060d4-2+b6)
verifies EBNF productions are consistent and grammatically correct
eboard (1.1.3-0.4)
GTK+ chessboard program
ebook-dev-alp (200407-3) [non-free]
Advanced Linux Programming
ebook-speaker (5.5.2-1)
eBook reader that reads aloud in a synthetic voice
ebook-tools-dbg (0.2.2-4+b4)
library and tools to work with the EPUB file format - debug symbols
ebook2cw (0.8.3-2)
convert ebooks to Morse MP3s/OGGs
ebook2cwgui (0.1.2-5)
GUI for ebook2cw
ebook2epub (0.9.6-2)
other E-Book formats to EPUB converter
ebook2odt (0.9.6-2)
E-Book formats to OpenDocument converter
ebtables (2.0.11-4+b1 [amd64], 2.0.11-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Ethernet bridge frame table administration
ebumeter (0.4.2-2)
loudness measurement according to EBU-R128
ebumeter-doc (0.4.2-2)
loudness measurement EBU-R128 - documentation
ebview (0.3.6.2-3)
EPWING dictionary viewer
ecaccess (4.0.1-1.1)
clients to access ECMWF facilities
ecasound (2.9.3-2)
multitrack-capable audio recorder and effect processor
ecasound-doc (2.9.3-2)
documentation files for Ecasound
ecasound-el (2.9.3-2)
multitrack-capable audio recorder and effect processor (emacs)
ecatools (2.9.3-2)
multitrack-capable audio recorder and effect processor (tools)
ecb (2.50+git20170628-1)
code browser for Emacs supporting several languages
ecbuild (3.4.1-1)
Build system for ECMWF software
ecdsautils (0.3.2+git20151018-2+deb11u1)
ECDSA elliptic curve cryptography command line tools
ecflow-client (5.6.0-1+b2)
Client tools for Meteorological workflow
ecflow-server (5.6.0-1+b2)
Meteorological workflow controller - server
ecj (3.16.0-1)
standalone version of the Eclipse Java compiler
ecl (20.4.24+ds-2)
Embeddable Common-Lisp: has an interpreter and can compile to C
eclib-tools (20190909-3+b1)
Programs for modular symbols and elliptic curves over Q
eclipse-debian-helper (1.8)
Helper tools for building Eclipse related packages
eclipse-titan (7.2.0-1.1)
TITAN TTCN-3 toolset from the Eclipse project
eclipse-tracecompass (6.2.0+repack-1)
Tool for viewing and analyzing logs and traces
ecopcr (1.0.1+dfsg-2)
estimate PCR barcode primers quality
ecryptfs-utils (111-5)
ecryptfs cryptographic filesystem (utilities)
ed (1.17-1)
classic UNIX line editor
ed2k-hash (0.4.0+ds-4)
tool for generating ed2k-links
edac-utils (0.18-1+b1)
report kernel-detected PCI and ECC RAM errors
edb-debugger (1.3.0-1)
cross platform x86/x86-64 debugger
edb-debugger-plugins (1.3.0-1)
edb plugins
edbrowse (3.7.7-2)
/bin/ed-alike webbrowser written in C
edenmath.app (1.1.1a-8+b2)
Scientific calculator for GNUstep
edfbrowser (1.81+dfsg-1)
viewer for biosignal storage files such as bdf and edf
edict (2021.02.03-1)
English / Japanese dictionary
edict-el (1.06-11.1)
Emacs interface to Edict
edid-decode (0.1~git20201230.95d81c9-2)
decode the binary EDID information from monitors
editor
本虛擬套件由這些套件填實: fte-xwindow, jed, xvile, emacs-nox, le, emacs-gtk, scite, vim, vile, lpe, mg, fte-console, jupp, vim-tiny, vis, vim-athena, neovim, ne, jove, vim-gtk3, fte-terminal, vim-nox, emacs-lucid, levee, xjed, edbrowse
editorconfig (0.12.1-1.1)
coding style indenter for all editors - commandline tools
editorconfig-doc (0.12.1-1.1)
coding style indenter across editors - documentation
edlib-aligner (1.2.6-1)
edlib sequence alignment tool using edit distance
edtsurf (0.2009-10)
triangulated mesh surfaces for protein structures
education-astronomy (2.11.37)
Debian Edu astronomy related applications
education-chemistry (2.11.37)
Debian Edu chemistry related applications
education-common (2.11.37)
Debian Edu common basic packages
education-desktop-cinnamon (2.11.37)
Debian Edu Cinnamon desktop applications
education-desktop-gnome (2.11.37)
Debian Edu GNOME desktop applications
education-desktop-kde (2.11.37)
Debian Edu KDE desktop applications
education-desktop-lxde (2.11.37)
Debian Edu LXDE desktop applications
education-desktop-lxqt (2.11.37)
Debian Edu LXQt desktop applications
education-desktop-mate (2.11.37)
Debian Edu MATE desktop applications
education-desktop-other (2.11.37)
Debian Edu common desktop applications
education-desktop-xfce (2.11.37)
Debian Edu Xfce desktop applications
education-development (2.11.37)
Debian Edu software development related educational applications
education-electronics (2.11.37)
Debian Edu electronics related applications
education-geography (2.11.37)
Debian Edu applications for geography
education-graphics (2.11.37)
Debian Edu graphics related applications
education-highschool (2.11.37)
Debian Edu applications for high school level
education-language (2.11.37)
Debian Edu language related educational applications
education-laptop (2.11.37)
Debian Edu laptop packages
education-logic-games (2.11.37)
Debian Edu logic games
education-ltsp-server (2.11.37)
Debian Edu LTSP server packages
education-main-server (2.11.37)
Debian Edu main server packages
education-mathematics (2.11.37)
Debian Edu mathematical applications
education-menus (2.11.37)
Debian Edu menu reorganization
education-misc (2.11.37)
Debian Edu miscellaneous applications for education
education-music (2.11.37)
Debian Edu music and sound applications
education-networked (2.11.37)
Debian Edu additional packages for networked systems
education-networked-common (2.11.37)
Debian Edu common packages for networked systems
education-physics (2.11.37)
Debian Edu physics related applications
education-preschool (2.11.37)
Debian Edu applications for pre school level
education-primaryschool (2.11.37)
Debian Edu applications for primary school level
education-roaming-workstation (2.11.37)
Debian Edu roaming workstation packages
education-secondaryschool (2.11.37)
Debian Edu applications for secondary school level
education-standalone (2.11.37)
Debian Edu standalone workstation packages
education-tasks (2.11.37)
Debian Edu tasks for tasksel
education-thin-client (2.11.37)
Debian Edu LTSP thin client packages
education-video (2.11.37)
Debian Edu video applications
education-workstation (2.11.37)
Debian Edu networked workstation packages
eegdev-plugins-free (0.2-5)
Biosignal acquisition device library (free plugins)
eekboek (2.04-1)
Bookkeeping software for small and medium-size businesses
eekboek-db-postgresql (2.04-1)
PostgreSQL database driver for EekBoek
eekboek-gui (2.04-1)
Graphical User Interface for EekBoek
efa
本虛擬套件由這些套件填實: libtravel-routing-de-vrr-perl
efax (1:0.9a-20)
programs to send and receive fax messages
efax-gtk (3.2.8-2.2)
front end in GTK+ for the efax program
efetch
本虛擬套件由這些套件填實: acedb-other
efi-modules
本虛擬套件由這些套件填實: efi-modules-5.10.0-27-686-di, efi-modules-5.10.0-25-686-di, efi-modules-5.10.0-26-amd64-di, efi-modules-5.10.0-27-armmp-di, efi-modules-5.10.0-25-arm64-di, efi-modules-5.10.0-25-armmp-di, efi-modules-5.10.0-25-amd64-di, efi-modules-5.10.0-26-686-pae-di, efi-modules-5.10.0-26-686-di, efi-modules-5.10.0-28-arm64-di, efi-modules-5.10.0-28-686-pae-di, efi-modules-5.10.0-27-686-pae-di, efi-modules-5.10.0-28-686-di, efi-modules-5.10.0-25-686-pae-di, efi-modules-5.10.0-28-armmp-di, efi-modules-5.10.0-26-arm64-di, efi-modules-5.10.0-26-armmp-di, efi-modules-5.10.0-27-amd64-di, efi-modules-5.10.0-28-amd64-di, efi-modules-5.10.0-27-arm64-di
efi-modules-5.10.0-25-686-di (5.10.191-1) [security]
EFI modules
efi-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
EFI modules
efi-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
EFI modules
efi-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
EFI modules
efi-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
EFI modules
efi-modules-5.10.0-26-686-di (5.10.197-1)
EFI modules
efi-modules-5.10.0-26-686-pae-di (5.10.197-1)
EFI modules
efi-modules-5.10.0-26-amd64-di (5.10.197-1)
EFI modules
efi-modules-5.10.0-26-arm64-di (5.10.197-1)
EFI modules
efi-modules-5.10.0-26-armmp-di (5.10.197-1)
EFI modules
efi-modules-5.10.0-27-686-di (5.10.205-2) [security]
EFI modules
efi-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
EFI modules
efi-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
EFI modules
efi-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
EFI modules
efi-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
EFI modules
efi-modules-5.10.0-28-686-di (5.10.209-2)
EFI modules
efi-modules-5.10.0-28-686-pae-di (5.10.209-2)
EFI modules
efi-modules-5.10.0-28-amd64-di (5.10.209-2)
EFI modules
efi-modules-5.10.0-28-arm64-di (5.10.209-2)
EFI modules
efi-modules-5.10.0-28-armmp-di (5.10.209-2)
EFI modules
efi-reader (0.16)
Select default values from EFI configuration.
efibootmgr (17-1)
Interact with the EFI Boot Manager
efingerd (1.6.5+nmu1)
Another finger daemon for unix capable of fine-tuning your output.
efitools (1.9.2-2~deb11u1)
Tools to manipulate EFI secure boot keys and signatures
efivar (37-6)
Tools to manage UEFI variables
efl-doc (1.25.1-1)
Documentation for the Enlightenment Foundation Libraries
eflite (0.4.1-12)
Festival-Lite based emacspeak speech server
efp (1.6-2)
Escape from Pong NES game
efte (1.1-2+b3)
Advanced lightweight configurable editor
egctl (1:0.1-1+b1 [amd64], 1:0.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
control state of EnerGenie Programmable surge protector
eggdrop (1.8.4-1)
Advanced IRC Robot
eggdrop-data (1.8.4-1)
Architecture independent files for eggdrop
egl-icd
本虛擬套件由這些套件填實: nvidia-tesla-450-egl-icd, nvidia-legacy-390xx-egl-icd, nvidia-tesla-470-egl-icd, nvidia-egl-icd, nvidia-tesla-418-egl-icd
eglexternalplatform-dev (1.1-1)
EGL External Platform Interface -- development files
eiciel (0.9.13-1)
graphical editor for POSIX ACLs and extended user attributes
eigensoft (7.2.1+dfsg-2)
reduction of population bias for genetic analyses
einstein (2.0.dfsg.2-10+b1 [amd64], 2.0.dfsg.2-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Puzzle game inspired on Einstein's puzzle
eiskaltdcpp (2.4.2-1)
file sharing program using Direct Connect protocols (metapackage)
eiskaltdcpp-cli (2.4.2-1)
command-line interface for remote control of EiskaltDC++ daemon
eiskaltdcpp-common (2.4.2-1)
common files for EiskaltDC++
eiskaltdcpp-daemon (2.4.2-1)
EiskaltDC++ daemon
eiskaltdcpp-emoticons (2.4.2-1)
emoticons for EiskaltDC++
eiskaltdcpp-gtk (2.4.2-1)
EiskaltDC++ GUI based on GTK+
eiskaltdcpp-gtk-data (2.4.2-1)
data files for EiskaltDC++ Gtk
eiskaltdcpp-gtk3
本虛擬套件由這些套件填實: eiskaltdcpp-gtk
eiskaltdcpp-qt (2.4.2-1)
EiskaltDC++ GUI based on Qt
eiskaltdcpp-qt-data (2.4.2-1)
data files for EiskaltDC++ Qt
eiskaltdcpp-qt-mini
本虛擬套件由這些套件填實: eiskaltdcpp-qt
eiskaltdcpp-scripts (2.4.2-1)
scripts examples for EiskaltDC++
eiskaltdcpp-sounds (2.4.2-1)
sound files for EiskaltDC++
eiskaltdcpp-web (1.0.1-2)
Simple Web UI for eiskaltdcpp-daemon
eja (9.5.20-1+b1)
micro web server for static and dynamic Lua generated content
ejabberd (21.01-2)
extensible realtime platform (XMPP server + MQTT broker + SIP service)
ejabberd-contrib (0.2020.10.21~dfsg0-5)
user-contributed modules for ejabberd (metapackage)
ejabberd-mod-cron (0.2020.10.21~dfsg0-5)
ejabberd module to execute scheduled commands
ejabberd-mod-default-contacts (0.2020.10.21~dfsg0-5)
ejabberd module to add roster contact(s) upon registration
ejabberd-mod-default-rooms (0.2020.10.21~dfsg0-5)
ejabberd module to add MUC bookmark(s) upon registration
ejabberd-mod-deny-omemo (0.2020.10.21~dfsg0-5)
ejabberd module to prevent OMEMO sessions from being established
ejabberd-mod-filter (0.2020.10.21~dfsg0-5)
ejabberd module to allow specifying packet filtering rules using ACL and ACCESS
ejabberd-mod-grafite (0.2020.10.21~dfsg0-5)
ejabberd module to gather statistics and publish them via statsd/grafite
ejabberd-mod-irc (0.2020.10.21~dfsg0-5)
ejabberd module providing an IRC transport
ejabberd-mod-isolation (0.2020.10.21~dfsg0-5)
ejabberd module to block communication of users between different virtual hosts
ejabberd-mod-log-chat (0.2020.10.21~dfsg0-5)
ejabberd module to log chat messages to text or html
ejabberd-mod-logsession (0.2020.10.21~dfsg0-5)
ejabberd module to log session connections to a text file
ejabberd-mod-logxml (0.2020.10.21~dfsg0-5)
ejabberd module to log XMPP packets to an XML file
ejabberd-mod-message-log (0.2020.10.21~dfsg0-5)
ejabberd module to write a line for each message to a log file
ejabberd-mod-muc-log-http (0.2020.10.21~dfsg0-5)
ejabberd module to serve MUC logs on the web
ejabberd-mod-post-log (0.2020.10.21~dfsg0-5)
ejabberd module to log all messages to an HTTP API
ejabberd-mod-pottymouth (0.2020.10.21~dfsg0-5)
ejabberd module to filter messages using blacklists
ejabberd-mod-rest (0.2020.10.21~dfsg0-5)
ejabberd module providing an HTTP REST interface
ejabberd-mod-s2s-log (0.2020.10.21~dfsg0-5)
ejabberd module to log XMPP s2s connections
ejabberd-mod-shcommands (0.2020.10.21~dfsg0-5)
ejabberd module to execute shell commands via XMPP (dangerous!)
ejabberd-mod-spam-filter (0.2020.10.21~dfsg0-5)
ejabberd module to filter spam messages based on JID/content
ejabberd-mod-statsdx (0.2020.10.21~dfsg0-5)
ejabberd module to calculate and gather statistics actively
ejabberd-mod-webpresence (0.2020.10.21~dfsg0-5)
ejabberd module allowing users to publish their presence information
eject (2.36.1-8+deb11u2) [security]
ejects CDs and operates CD-Changers under Linux
eject-udeb (2.36.1-8+deb11u2) [security]
ejects CDs from d-i menu
ekeyd (1.1.5-6.2)
Simtec Electronics UDEKEY01 Entropy Key Daemon
ekeyd-egd-linux (1.1.5-6.2)
Transfers entropy from an EGD to the Linux kernel pool
el-ixir (3.0-2)
two-player board game with randomness
elastalert (0.2.4-1)
easy and flexible alerting with Elasticsearch
elastalert-doc (0.2.4-1)
easy and flexible alerting with Elasticsearch (documentation)
elasticsearch-curator (5.8.1-1)
command-line tool for managing Elasticsearch time-series indices
elastix (4.9.0-2)
toolbox for rigid and nonrigid registration of images
elastix-doc (4.9.0-2)
toolbox for rigid and nonrigid registration of images - docs
eldav (0.8.1-10.1)
interface to the WebDAV servers for Emacs.
electric (9.07+dfsg-6)
electrical CAD system
electric-fence (2.2.5+b1 [i386], 2.2.5 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
A malloc(3) debugger
electronics-all (0.3)
Default selection of tasks for Debian Electronics
electronics-analog (0.3)
Debian packages for analog design
electronics-asic-dev (0.3)
Debian ASIC development packages
electronics-cad-gui (0.3)
Debian packages for CAD tools with GUI
electronics-digital (0.3)
Debian packages for digital design
electronics-doc (0.3)
Debian packages containing documentation for electronics
electronics-dsp-dev (0.3)
Debian packages for filter coefficient calculation etc
electronics-fpga-dev (0.3)
Debian FPGA development packages
electronics-gadgets (0.3)
Debian packages for interacting with gadgets
electronics-measurements (0.3)
Packages for electronic measurements
electronics-microcontrollers (0.3)
Debian packages for dealing with microcontrollers
electronics-pcb (0.3)
Debian packages for PCB development
electronics-radio-dev (0.3)
Packages for design of circuits at radio frequencies
electronics-simulation (0.3)
Debian packages for simulation of electronic circuits
electronics-tasks (0.3)
Debian Electronics tasks for tasksel
electrum (4.0.9-1)
Easy to use Bitcoin client
elektroid (1.3-2)
Sample transfer application
elementary-xfce-icon-theme (0.15.2-1)
elementary icon theme modified for Xfce
elf-binutils
本虛擬套件由這些套件填實: binutils
elfrc (0.7-3)
convert arbitrary files into elf objects
elfutils (0.183-1)
collection of utilities to handle ELF objects
elida (0.4+nmu2)
pbuilder mail interface
elinks (0.13.2-1+b1)
advanced text-mode WWW browser
elinks-data (0.13.2-1)
advanced text-mode WWW browser - data files
elinks-doc (0.13.2-1)
advanced text-mode WWW browser - documentation
eliom (6.12.4-1+b4)
web framework for ocsigenserver (tools)
elisa (20.12.3-1+b1 [amd64], 20.12.3-1 [arm64, armel, armhf, i386, ppc64el, s390x])
Simple music player with a focus on Plasma desktop integration and privacy
elixir (1.10.3.dfsg-1.1)
functional meta-programming aware language
elk (3.99.8-4.2+b1)
scheme interpreter
elk-lapw (6.3.2-2)
All-Electron Density-Functional Electronic Structure Code
elkdoc (3.99.8-4.2)
documentation for the Extension Language Kit
elki (0.7.1-10.1)
Data mining algorithm development framework
elki-dev (0.7.1-10.1)
Data mining algorithm development framework - development files
elks-libc (0.16.17-3.3)
16-bit x86 C library and include files
elogind (246.9.1-1+debian1)
user, seat and session management daemon
elpa-ac-rtags (2.38-3)
auto-complete back-end for RTags
elpa-ace-link (0.5.0-3)
selecting a link to jump to
elpa-ace-popup-menu (0.2.1-3)
replace GUI popup menu with something more efficient
elpa-ace-window (0.10.0-1)
selecting a window to switch to
elpa-adaptive-wrap (0.8-1)
smart line-wrapping with wrap-prefix
elpa-ag (0.48-1)
Emacs frontend to ag
elpa-agda2-mode (2.6.1-1)
dependently typed functional programming language — emacs mode
elpa-aggressive-indent (1.9.0-3)
Emacs minor mode that reindents code after every change
elpa-anzu (0.64-1)
show number of matches in mode-line while searching
elpa-apache-mode (2.2.0-3)
Emacs major mode for editing Apache configuration files
elpa-apiwrap (0.5-4)
api-wrapping macros
elpa-assess (0.6-1)
test support functions for Emacs
elpa-async (1.9.4-2)
simple library for asynchronous processing in Emacs
elpa-atomic-chrome (2.0.0-2)
edit a web-browser text entry area with Emacs
elpa-ats2-mode (0.4.0-1)
ATS version 2 programming language emacs mode
elpa-auto-complete (1.5.1-0.2)
intelligent auto-completion extension for GNU Emacs
elpa-auto-dictionary (1.1+14.gb364e08-1)
automatic dictionary switcher for Emacs spell checking
elpa-avy (0.5.0-2)
jump to things in Emacs tree-style
elpa-avy-menu (0.1.1-3)
library providing avy-powered popup menu
elpa-bar-cursor (2.0-1.1)
switch Emacs block cursor to a bar
elpa-beacon (1.3.3-3)
highlight the cursor whenever the window scrolls
elpa-beginend (2.2.0-1)
redefine M-< and M-> for some modes to get to meaningful locations
elpa-bind-chord (2.4.1-1)
key-chord binding helper for use-package-chords
elpa-bind-key (2.4.1-1)
simple way to manage personal keybindings
elpa-bind-map (1.1.1-5)
bind personal keymaps in multiple locations
elpa-bm (201905-2)
visual bookmarks for GNU Emacs
elpa-bongo (1.1-2)
buffer-oriented media player for GNU Emacs
elpa-boxquote (2.2-1)
quote text in Emacs with a semi-box
elpa-browse-kill-ring (2.0.0-3)
interactively insert items from kill-ring
elpa-bug-hunter (1.3.1+repack-5)
automatically debug and bisect your init.el or .emacs file
elpa-buttercup (1.24-1)
behaviour-driven testing for Emacs Lisp packages
elpa-c-sig (3.8-24)
signature tool for GNU Emacs
elpa-caml (4.06-2)
emacs mode for editing OCaml programs
elpa-char-menu (0.1.1-3)
create your own menu for fast insertion of arbitrary symbols
elpa-cider (0.19.0+dfsg-2.1)
Clojure IDE for Emacs
elpa-circe (2.11-2)
client for IRC in Emacs
elpa-clojure-mode (5.10.0-3)
Emacs major mode for Clojure code
elpa-clojure-mode-extra-font-locking (5.10.0-3)
extra font-locking for clojure-mode
elpa-closql (1.0.4-2)
Store EIEIO objects using EmacSQL
elpa-clues-theme (1.0.1-2.1)
cream/brown/orange color theme for Emacs
elpa-color-theme-modern (0.0.3-1)
deftheme reimplementation of classic Emacs color-themes
elpa-company (0.9.13-2)
Modular in-buffer completion framework for Emacs
elpa-company-lsp (2.1.0-3)
Company completion backend for emacs lsp-mode.
elpa-company-rtags (2.38-3)
company back-end for RTags
elpa-concurrent (0.5.1-4)
higher level library for concurrent tasks
elpa-counsel (0.13.0-1)
collection of Ivy-enhanced versions of common Emacs commands
elpa-csv-mode (1.12-1)
Emacs major mode for editing comma, char, and tab separated values
elpa-ctable (0.1.2-6)
table component for Emacs Lisp
elpa-cycle-quotes (0.1-4)
Emacs command to cycle between quotation marks
elpa-darcsum (1.10+20120116-4)
pcl-cvs like interface for managing darcs patches
elpa-dash (2.17.0+dfsg-1)
modern list manipulation library for Emacs
elpa-dash-functional (1.2.0+dfsg-7)
collection of functional combinators for Emacs Lisp
elpa-db (0.0.6+git20140421.b3a423f-3)
database interface for Emacs Lisp
elpa-debian-el (37.10)
Emacs helpers specific to Debian users
elpa-debpaste (0.1.5-4)
paste.debian.net client for Emacs
elpa-deferred (0.5.1-4)
simple asynchronous functions for Emacs Lisp
elpa-deft (0.8-3)
Emacs mode to browse, filter, and edit plain text notes
elpa-devscripts (40.5)
Emacs wrappers for the commands in devscripts
elpa-dictionary (1.10+git20190107-3)
dictionary client for Emacs
elpa-diff-hl (1.8.8-1)
highlight uncommitted changes using VC
elpa-diffview (1.0-3)
view diffs in side-by-side format
elpa-diminish (0.45-4)
hiding or abbreviation of the mode line displays of minor-modes
elpa-dimmer (0.4.2+repack-2)
visually highlight the selected buffer
elpa-dired-du (0.5.2-2)
dired with recursive directory sizes
elpa-dired-quick-sort (0.1.1-1)
persistent quick sorting of dired buffers in various ways
elpa-dired-rsync (0.6-1)
support for rsync from Emacs dired buffers
elpa-discover-my-major (1.0-4)
discover key bindings and their meaning for the current Emacs major mode
elpa-dockerfile-mode (1.2-2)
Major mode for editing Docker's Dockerfiles
elpa-dpkg-dev-el (37.9)
Emacs helpers specific to Debian development
elpa-dumb-jump (0.5.3-1)
jump to definition for multiple languages without configuration
elpa-ebib (2.15.4-3)
BibTeX database manager for Emacs
elpa-ediprolog (2.1-1)
Emacs Does Interactive Prolog
elpa-editorconfig (0.8.1-3)
coding style indenter for all editors - Emacsen plugin
elpa-el-mock (1.25.1-4)
tiny mock and stub framework for Emacs Lisp
elpa-el-x (0.3.1-4)
Emacs Lisp extensions
elpa-elfeed (3.4.1-1)
Emacs Atom/RSS feed reader
elpa-elfeed-web (3.4.1-1) [contrib]
Emacs Atom/RSS feed reader - web interface
elpa-elisp-refs (1.3-3)
find callers of elisp functions or macros
elpa-elisp-slime-nav (0.9-5)
Emacs extension that provide Emacs Lisp code navigation
elpa-elm-mode (0.20.3-3)
Major Emacs mode for editing Elm source code
elpa-elpher (2.10.2-2)
friendly gopher and gemini client
elpa-emacsql (3.0.0+ds-2)
high level SQL database frontend for Emacs
elpa-emacsql-mysql (3.0.0+ds-2)
high level SQL database frontend for Emacs
elpa-emacsql-psql (3.0.0+ds-2)
high level SQL database frontend for Emacs
elpa-emacsql-sqlite (3.0.0+ds-2)
high level SQL database frontend for Emacs
elpa-emacsql-sqlite3 (1.0.2-1)
Yet another EmacSQL backend for SQLite
elpa-engine-mode (2.1.1-1)
define and query search engines from within Emacs
elpa-epc (0.1.1-6)
RPC stack for Emacs Lisp
elpa-epl (0.9-3)
Emacs Package Library
elpa-eproject (1.5+git20180312.068218d-3)
assign files to Emacs projects, programmatically
elpa-ert-async (0.1.2-5)
asynchronous tests for the Emacs ERT testing framework
elpa-ert-expectations (0.2-4)
very simple unit test framework for Emacs Lisp
elpa-esh-help (1.0.1-2.1)
add some help functions and support for Eshell
elpa-eshell-bookmark (2.0.0-2.1)
integrate bookmarks with Eshell
elpa-eshell-git-prompt (0.1.2-4)
Eshell prompt themes for Git users
elpa-eshell-prompt-extras (1.0-1)
display extra information in your Eshell prompt
elpa-eshell-up (0.0.3-5)
quickly go to a specific parent directory in eshell
elpa-eshell-z (0.4-3)
cd to frequent directory in eshell
elpa-ess (18.10.2-2)
Emacs mode for statistical programming and data analysis
elpa-esup (0.7.1-3)
Emacs StartUp Profiler
elpa-esxml (0.3.5-1)
XML, ESXML and SXML library for Emacs Lisp
elpa-evil (1.14.0-1)
extensible vi layer for Emacs
elpa-evil-paredit (0.0.2-5)
emacs extension, integrating evil and paredit
elpa-exec-path-from-shell (1.12-2)
get environment variables such as $PATH from the shell
elpa-expand-region (0.11.0+36-1)
Increase selected region in Emacs by semantic units
elpa-eyebrowse (0.7.8-2)
simple-minded way of managing window configs in Emacs
elpa-f (0.20.0-3)
modern API for working with files and directories in Emacs Lisp
elpa-faceup (0.0.4-5)
Regression test system for font-lock
elpa-fill-column-indicator (1.90-2.1)
graphically indicate the fill column
elpa-find-file-in-project (6.0.1-1)
quick access to project files in Emacs
elpa-flx (0.6.1-5)
sorting algorithm for fuzzy matching in Emacs
elpa-flx-ido (0.6.1-5)
allows Emacs Ido to use the flx sorting algorithm
elpa-flycheck (32~git.20200527.9c435db3-2)
modern on-the-fly syntax checking for Emacs
elpa-flycheck-package (0.13-1)
flycheck checker for Elisp package authors
elpa-flycheck-rtags (2.38-3)
flycheck integration for RTags
elpa-folding (0+20200825.748-1)
folding-editor minor mode for Emacs
elpa-fountain-mode (2.8.5-1)
Emacs major mode for screenwriting in Fountain markup
elpa-fricas (1.3.6-6)
General purpose computer algebra system: emacs support
elpa-fsm (0.2.1-4)
state machine library
elpa-geiser (0.10-1)
enhanced Scheme interaction mode for Emacs
elpa-ggtags (0.8.13-2)
improved Emacs interface to GNU GLOBAL
elpa-ghub (3.5.1-1)
minuscule client for the Github API
elpa-ghub+ (0.3-6)
thick GitHub API client built on ghub
elpa-git-annex (1.1-4)
Emacs integration for git-annex
elpa-git-auto-commit-mode (4.7.0-2)
Emacs Minor mode to automatically commit and push with git
elpa-git-commit (2.99.0.git0957.ge8c7bd03-1)
Major mode for editing git commit message
elpa-git-messenger (0.18-5)
pop up last commit information of current line
elpa-git-modes (1.2.8-4)
major modes for editing Git configuration files
elpa-git-timemachine (4.11-1)
walk through git revisions of a file
elpa-gitattributes-mode (1.2.8-4)
major mode for editing Git configuration files (gitattributes)
elpa-gitconfig-mode (1.2.8-4)
major mode for editing Git configuration files (gitconfig and gitmodules)
elpa-gitignore-mode (1.2.8-4)
major mode for editing Git configuration files (gitignore)
elpa-gitlab-ci-mode (20190824.12.2-2)
Emacs mode for editing GitLab CI files
elpa-gnuplot-mode (1:0.7.0-2014-12-31-2)
Gnuplot mode for Emacs
elpa-go-mode (3:1.5.0-4)
Emacs mode for editing Go code
elpa-golden-ratio (1.0-6)
automatic resizing of Emacs windows to the golden ratio
elpa-goo (0.155+ds-4)
generic object-orientator (Emacs support)
elpa-goto-chg (1.7.3-1)
navigate the point to the most recent edit in the buffer
elpa-graphql (0.1.1-5)
GraphQL utilities
elpa-graphviz-dot-mode (0.4.2-2)
Emacs mode for the dot-language used by graphviz.
elpa-haskell-mode (17.2-3)
major mode for editing Haskell in Emacs
elpa-haskell-tab-indent (0.3-3)
tab-based indentation for haskell-mode
elpa-helm (3.7.0-2)
Emacs incremental completion and selection narrowing framework
elpa-helm-ag (0.59-1)
Silver Searcher integration with Emacs Helm
elpa-helm-core (3.7.0-2)
Emacs Helm library files
elpa-helm-org (1.0-2)
Emacs Helm for Org-mode headlines and keywords completion
elpa-helm-projectile (0.14.0-6)
Helm integration for Projectile
elpa-helm-rtags (2.38-3)
helm interface for RTags
elpa-helm-virtualenvwrapper (0.2.0-2)
helm-source for virtualenvwrapper.el
elpa-helpful (0.18-1)
better *help* buffer
elpa-highlight-indentation (0.7.0-5)
highlight the indentation level in Emacs buffers
elpa-highlight-numbers (0.2.3-6)
highlight numbers in source code
elpa-hl-todo (3.1.2-1)
highlight TODO and similar keywords in comments and strings
elpa-ht (2.3-1)
hash table library for Emacs
elpa-htmlize (1.55-1)
convert buffer text and decorations to HTML
elpa-hungry-delete (1.1.5-7)
enable hungry deletion in all modes
elpa-hydra (0.15.0-3)
make Emacs bindings that stick around
elpa-ibuffer-projectile (0.3-1)
group buffers in ibuffer list by Projectile project
elpa-ibuffer-vc (0.11-1)
group ibuffer list by VC project and show VC status
elpa-ido-completing-read+ (4.13-2)
completing-read-function using ido
elpa-ido-vertical-mode (0.1.6-5)
make ido-mode display vertically
elpa-iedit (0.9.9.9-5)
edit multiple regions in the same way simultaneously
elpa-imenu-list (0.8-3)
show the current Emacs buffer's imenu entries in a separate window
elpa-initsplit (1.8+3+gc941d43-3)
code to split customizations into different files
elpa-irony (1.4.0+7.g76fd37f-1)
Emacs C/C++ minor mode powered by libclang
elpa-ivy (0.13.0-1)
generic completion mechanism for Emacs
elpa-ivy-hydra (0.13.0-1)
additional key bindings for Emacs Ivy
elpa-ivy-rtags (2.38-3)
ivy back-end for RTags
elpa-jabber (0.8.92+git98dc8e-6)
Jabber client for Emacsen
elpa-jedi (0.2.8-1)
Python auto-completion for Emacs
elpa-jedi-core (0.2.8-1)
common code of jedi.el and company-jedi.el
elpa-jinja2-mode (0.2+git20200624.159558e-1)
Emacs major mode for editing jinja2 code
elpa-js2-mode (0~20201220-1)
Emacs mode for editing Javascript programs
elpa-key-chord (0.6-5)
map pairs of simultaneously pressed keys to commands
elpa-kv (0.0.19+git20140108.7211484-4)
key/value data structure functions for Emacs Lisp
elpa-lbdb (0.49)
Little Brother's DataBase Emacs extensions
elpa-ledger (3.1.2~pre3+g5067e408-2)
command-line double-entry accounting program (emacs interface)
elpa-let-alist (1.0.6-2)
let-bind values of an assoc-list by their names in Emacs Lisp
elpa-linum-relative (0.6-2.1)
display relative line number in Emacs
elpa-load-relative (1.3.1-3)
relative file load (within a multi-file Emacs package)
elpa-loop (1.3-2.1)
friendly imperative loop structures for Emacs Lisp
elpa-lsp-haskell (1.0.20201011-1)
Haskell support for lsp-mode
elpa-lsp-mode (7.0.1-2)
Emacs client/library for the Language Server Protocol
elpa-lsp-ui (7.0.1-1)
UI modules for lsp-mode
elpa-lua-mode (20201010-1)
Emacs major-mode for editing Lua programs
elpa-lv (0.15.0-3)
other echo area
elpa-m-buffer (0.15-2.1)
list-oriented, functional buffer manipulation
elpa-macaulay2 (1.17.1+ds-2)
Software system for algebraic geometry research (Emacs package)
elpa-magit (2.99.0.git0957.ge8c7bd03-1)
Emacs interface for Git
elpa-magit-annex (1.7.1+git20200427.01.ef5dce62-1)
git-annex subcommands for magit
elpa-magit-forge (0.1.0+git20200714.639ce51-3)
Work with Git forges from the comfort of Magit
elpa-magit-popup (2.13.2-1)
Use popup like Magit
elpa-magit-todos (1.5.3-1)
show source file TODOs in Magit
elpa-mailscripts (0.23-1)
Emacs functions for accessing tools in the mailscripts package
elpa-makey (0.3-4)
flexible context menu system
elpa-markdown-mode (2.4-1)
mode for editing Markdown-formatted text files in GNU Emacs
elpa-markdown-toc (0.1.5-1)
Emacs TOC (table of contents) generator for markdown files
elpa-memoize (1.1-2.1)
memoization functions
elpa-meson-mode (0.3-1)
Major mode for the Meson build system files
elpa-message-templ (0.3.20161104-3)
templates for Emacs message-mode
elpa-migemo (1.9.2-3)
Japanese incremental search with Romaji on Emacsen
elpa-minimap (1.4-1)
sidebar showing a "mini-map" of a buffer
elpa-mocker (0.5.0-1)
mocking framework for Emacs
elpa-modus-themes (1.0.2-1)
set of accessible themes conforming with WCAG AAA accessibility standard
elpa-monokai-theme (3.5.3-3)
fruity color theme for Emacs
elpa-move-text (2.0.8-2.1)
move current line or region up and down
elpa-muse (3.20+dfsg-6)
author and publish projects using Wiki-like markup
elpa-mutt-alias (1.5-4)
Emacs package to lookup and insert expanded Mutt mail aliases
elpa-muttrc-mode (1.2.1-3)
Emacs major mode for editing muttrc
elpa-neotree (0.5.2-3)
directory tree sidebar for Emacs that is like NERDTree for Vim
elpa-no-littering (1.2.1-1)
help keeping ~/.emacs.d clean
elpa-noflet (0.0.15-5)
Emacs Lisp noflet macro for dynamic, local advice
elpa-nose (0.1.1-5)
easy Python test running in Emacs
elpa-notmuch (0.31.4-2)
thread-based email index, search and tagging (emacs interface)
elpa-nov (0.3.0-1)
featureful EPUB (ebook) reader mode for Emacs
elpa-nrepl
本虛擬套件由這些套件填實: elpa-cider
elpa-olivetti (1.11.3-1)
Emacs minor mode to more comfortably read and write long-lined prose
elpa-openwith (0.8g-5)
seamlessly open files in external programs with Emacs
elpa-org (9.4.0+dfsg-1+deb11u1)
Keep notes, maintain ToDo lists, and do project planning in emacs
elpa-org-bullets (0.2.4-3.1)
show bullets in Org-mode as UTF-8 characters
elpa-org-drill (2.7.0+20200412+dfsg1-2)
emacs org-mode contrib for self-testing using spaced repetition
elpa-org-plus-contrib
本虛擬套件由這些套件填實: elpa-org
elpa-org-roam (1.2.3-2)
non-hierarchical note-taking with Emacs Org-mode
elpa-orgalist (1.12-2)
Manage Org-like lists in non-Org Emacs buffers
elpa-ox-texinfo+ (2.2.4-2)
Extensions for Org's Texinfo exporter
elpa-package-lint (0.13-1)
linting library for Elisp package authors
elpa-package-lint-flymake (0.13-1)
package-lint Flymake backend
elpa-paredit (24-5)
Emacs minor mode for structurally editing Lisp code
elpa-paredit-everywhere (0.4-4)
cut-down version of paredit for non-lisp buffers
elpa-parent-mode (2.3-5)
get major mode's parent modes
elpa-parsebib (2.3.1-4)
Emacs Lisp library for parsing .bib files
elpa-pcre2el (1.8-4)
Emacs mode to convert between PCRE, Emacs and rx regexp syntax
elpa-pdf-tools (1.0~20200512-2)
Display and interact with pdf in Emacs
elpa-pdf-tools-server (1.0~20200512-2)
server for Emacs's pdf-tools
elpa-persist (0.4+dfsg-2)
persist variables between Emacs Sessions
elpa-perspective (2.2-3)
tagged workspaces in Emacs
elpa-pg (0.13+git.20130731.456516ec-2)
Emacs Lisp interface for PostgreSQL
elpa-php-mode (1.23.0-1)
PHP Mode for GNU Emacs
elpa-pip-requirements (0.5-3)
major mode for editing pip requirements files
elpa-pkg-info (0.6-6)
provide information about Emacs packages
elpa-pod-mode (1.03-3)
Emacs major mode for editing .pod files
elpa-pointback (0.2-4)
restore window points when returning to buffers
elpa-popup (0.5.8-1)
visual popup user interface library for Emacs
elpa-pos-tip (0.4.6+git20191227-2)
Show tooltip at point
elpa-powerline (2.4-4)
Emacs version of the Vim powerline
elpa-project (0.5.2-2)
Emacs library for operations on the current project
elpa-projectile (2.1.0-1)
project interaction library for Emacs
elpa-protobuf-mode (3.12.4-1+deb11u1)
Emacs addon for editing protocol buffers
elpa-ps-ccrypt (1.11-2)
Emacs addon for working with files encrypted with ccrypt
elpa-puppet-mode (0.4-2)
Emacs major mode for Puppet manifests
elpa-py-autopep8 (2016.1-3)
use autopep8 to beautify a Python buffer
elpa-py-isort (2016.1-5)
use isort to sort the imports in a Python buffer
elpa-python-environment (0.0.2-6)
virtualenv API for Emacs Lisp
elpa-pyvenv (1.21+git20201124.37e7cb1-1)
Python virtual environment interface
elpa-qml-mode (0.4-4)
Emacs major mode for editing QT Declarative (QML) code
elpa-queue (0.2-3)
queue data structure for Emacs Lisp
elpa-racket-mode (20201227git0-3)
emacs support for editing and running racket code
elpa-rainbow-delimiters (2.1.3-5)
Emacs mode to colour-code delimiters according to their depth
elpa-rainbow-identifiers (0.2.2-5)
highlight identifiers according to their names
elpa-rainbow-mode (1.0.5-1)
colorize color names in buffers
elpa-recursive-narrow (20140811.1546+git20190306.5e3e206-1)
narrow-to-region that operates recursively
elpa-redtick (00.01.02+git20170220.e6d2e9b+dfsg-4)
tiny pomodoro timer for Emacs
elpa-relint (1.19-1)
Emacs Lisp regexp mistake finder
elpa-restart-emacs (0.1.1-4)
restart emacs from within emacs
elpa-rich-minority (1.0.3-2)
clean-up and beautify the list of minor-modes in Emacs' mode-line
elpa-rtags (2.38-3)
emacs front-end for RTags
elpa-rust-mode (0.4.0-2)
Major Emacs mode for editing Rust source code
elpa-s (1.12.0-4)
string manipulation library for Emacs
elpa-scala-mode (1:1.1.0-2)
Emacs major mode for editing scala source code
elpa-seq (2.22-1)
sequence manipulation functions for Emacs Lisp
elpa-sesman (0.3.4-2)
session manager for Emacs IDEs
elpa-session (2.4b-3)
use variables, registers and buffer places across sessions
elpa-shut-up (0.3.3-1)
Emacs Lisp macros to quieten Emacs
elpa-simple-httpd (1.5.1-4)
pure elisp HTTP server
elpa-smart-mode-line (2.13-2)
powerful and beautiful mode-line for Emacs
elpa-smart-mode-line-powerline-theme (2.13-2)
Smart Mode Line themes that use Emacs Powerline
elpa-smeargle (0.03-5)
highlight region by last updated time
elpa-smex (3.0-6)
M-x interface for Emacs with Ido-style fuzzy matching
elpa-sml-mode (6.10-1)
Emacs major mode for editing Standard ML programs
elpa-solarized-theme (1.3.1-1)
port of Solarized theme to Emacs
elpa-spinner (1.7.3-3)
spinner for the Emacs modeline for operations in progress
elpa-suggest (0.7-3)
discover Emacs Lisp functions based on examples
elpa-super-save (0.3.0-3)
auto-save buffers, based on your activity
elpa-swiper (0.13.0-1)
alternative to Emacs' isearch, with an overview
elpa-sxiv (0.3.3-1)
run the sxiv image viewer
elpa-system-packages (1.0.11-2)
functions to manage system packages
elpa-systemd (1.6-2.1)
major mode for editing systemd units
elpa-tabbar (2.2-4)
Emacs minor mode that displays a tab bar at the top
elpa-tablist (1.0-2)
tablist adds maks and filters to tabulated-list-mode
elpa-transient (0.2.0.30.g4d44d08-2)
Emacs key and popup interface for complex keybindings
elpa-transient-doc (0.2.0.30.g4d44d08-2)
Emacs key and popup interface for complex keybindings
elpa-transmission (0.12.2-1)
Emacs interface to a Transmission session
elpa-treepy (0.1.2-1)
Generic tree traversal tools
elpa-tuareg (1:2.2.0-1)
emacs-mode for OCaml programs
elpa-undercover (0.8.0-1)
test coverage library for Emacs Lisp
elpa-undo-tree (0.7.4-1)
Emacs minor mode for handling undo history as tree
elpa-use-package (2.4.1-1)
configuration macro for simplifying your .emacs
elpa-use-package-chords (2.4.1-1)
key-chord keyword for use-package
elpa-use-package-ensure-system-package (2.4.1-1)
autoinstall system packages
elpa-uuid (0.0.3~git20120910.1519bfe-3)
UUID/GUID library for Emacs Lisp
elpa-vala-mode (0.1-8)
Emacs editor major mode for vala source code
elpa-vc-fossil (2020.09.20-4)
Emacs VC backend for the Fossil Version Control system
elpa-verbiste (0.1.47-1)
French and Italian conjugator - emacs extension
elpa-vimish-fold (0.2.3-5)
fold text in GNU Emacs like in Vim
elpa-virtualenvwrapper (0.2.0-2)
featureful virtualenv tool for Emacs
elpa-visual-fill-column (2.3-1)
Emacs mode that wraps visual-line-mode buffers at fill-column
elpa-visual-regexp (1.1.2-2)
in-buffer visual feedback while using Emacs regexps
elpa-volume (1.0+git.20201002.afb75a5-3)
tweak your sound card volume from Emacs
elpa-wc-mode (1.4-1)
display a word count in the Emacs modeline
elpa-web-mode (17.0.2-1)
major emacs mode for editing web templates
elpa-websocket (1.13-1)
Emacs WebSocket client and server
elpa-weechat (0.5.0-5)
Chat via WeeChat's relay protocol in Emacs.
elpa-wgrep (2.3.2+9.gf0ef9bf-2)
edit multiple Emacs buffers using a master grep pattern buffer
elpa-wgrep-ack (2.3.2+9.gf0ef9bf-2)
edit multiple Emacs buffers using a master ack pattern buffer
elpa-wgrep-ag (2.3.2+9.gf0ef9bf-2)
edit multiple Emacs buffers using a master ag pattern buffer
elpa-wgrep-helm (2.3.2+9.gf0ef9bf-2)
edit multiple Emacs buffers with a helm-grep-mode buffer
elpa-which-key (3.5.1-1)
display available keybindings in popup
elpa-with-editor (3.0.2-1)
call program using Emacs as $EDITOR
elpa-with-simulated-input (2.4+git20200216.29173588-1)
macro to simulate user input non-interactively
elpa-world-time-mode (0.0.6-4)
Emacs mode to compare timezones throughout the day
elpa-writegood-mode (2.0.3-3)
Emacs minor mode that provides hints for common English writing problems
elpa-ws-butler (0.6-4)
unobtrusively remove trailing whitespace in Emacs
elpa-xcite (1.60-7)
exciting cite utility for Emacsen
elpa-xcscope (1.5-1.1)
Interactively examine a C program source in emacs
elpa-xml-rpc (1.6.12-4)
Emacs Lisp XML-RPC client
elpa-xr (1.21-1)
convert string regexp to rx notation
elpa-xref (1.0.2-2)
Library for cross-referencing commands in Emacs
elpa-yaml-mode (0.0.15-1)
Emacs major mode for YAML files
elpa-yasnippet (0.14.0+git20200603.5cbdbf0d-1)
template system for Emacs
elpa-yasnippet-snippets (0.23-1)
Andrea Crotti's official YASnippet snippets
elpa-zenburn-theme (2.6-3)
low contrast color theme for Emacs
elpa-ztree (1.0.5-4)
text mode directory tree
elpa-zzz-to-char (0.1.3-3)
fancy version of `zap-to-char' command
elph (1.0.1-5)
DNA/protein sequence motif finder
elscreen (1.4.6-5.3)
Screen for Emacsen
elvis-tiny (1.4-24+b2)
Tiny vi compatible editor for the base system
elvish (0.15.0-1+b5)
Friendly and expressive shell
emacs (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs editor (metapackage)
emacs
本虛擬套件由這些套件填實: emacs-gtk, emacs-nox, emacs-lucid
emacs-bin-common (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs editor's shared, architecture dependent files
emacs-calfw (1.6+git20180118-1.1)
calendar framework for Emacs
emacs-calfw-howm (1.6+git20180118-1.1)
calendar framework for Emacs (howm add-on)
emacs-common (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs editor's shared, architecture independent infrastructure
emacs-common-non-dfsg (1:27.1+1-2) [non-free]
GNU Emacs common non-DFSG items, including the core documentation
emacs-el (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs LISP (.el) files
emacs-goodies-el (42.3)
Miscellaneous add-ons for Emacs
emacs-goodies-extra-el
本虛擬套件由這些套件填實: emacs-goodies-el
emacs-gtk (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs editor (with GTK+ GUI support)
emacs-intl-fonts (1.2.1-10.1)
fonts to allow multilingual PostScript printing from Emacs
emacs-jabber (0.8.92+git98dc8e-6)
Transition package, emacs-jabber to elpa-jabber
emacs-lucid (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs editor (with Lucid GUI support)
emacs-mozc (2.26.4220.100+dfsg-4)
Mozc for Emacs
emacs-mozc-bin (2.26.4220.100+dfsg-4)
Helper module for emacs-mozc
emacs-nox (1:27.1+1-3.1+deb11u2) [security]
GNU Emacs editor (without GUI support)
emacs-window-layout (1.4-2.1)
window layout manager for emacs
emacsen
本虛擬套件由這些套件填實: emacs-lucid, emacs-nox, xemacs21-nomule, xemacs21-mule, emacs-gtk, xemacs21-mule-canna-wnn
emacsen-common (3.0.4)
Common facilities for all emacsen
emacspeak (53.0+dfsg-1)
speech output interface to Emacs
emacspeak-espeak-server (53.0+dfsg-1)
espeak synthesis server for emacspeak
emacspeak-ss (1.12.1-8)
Emacspeak speech servers for several synthesizers
email-reminder (0.8.1-3)
Send event reminders by email
embassy-domainatrix (0.1.660-4)
Extra EMBOSS commands to handle domain classification file
embassy-domalign (0.1.660-4)
Extra EMBOSS commands for protein domain alignment
embassy-domsearch (1:0.1.660-3)
Extra EMBOSS commands to search for protein domains
emboss (6.6.0+dfsg-9)
European molecular biology open software suite
emboss-data (6.6.0+dfsg-9)
data files for the EMBOSS package
emboss-doc (6.6.0+dfsg-9)
documentation for EMBOSS
emboss-explorer (2.2.0-11)
web-based GUI to EMBOSS
emboss-lib (6.6.0+dfsg-9)
EMBOSS Libraries
emboss-test (6.6.0+dfsg-9)
test files for the EMBOSS package
embree-tools (3.12.1+dfsg-1)
High Performance Ray Tracing Kernels - tools
emd (1.0.1-2+b7)
Enhanced Markdown template processor (program)
emelfm2-svg-icons (20100219-6)
icon SVG files from emelfm2 project
emerald (0.8.18-2)
Decorator for compiz
emerald-themes (0.8.18-1)
Themes for emerald window decorator
emmax (0~beta.20100307-1+b1 [amd64], 0~beta.20100307-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
genetic mapping considering population structure
emms (5.1-1+b1 [amd64], 5.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Emacs MultiMedia System
empathy (3.25.90+really3.12.14-2)
GNOME multi-protocol chat and call client
empathy-common (3.25.90+really3.12.14-2)
GNOME multi-protocol chat and call client (common files)
empathy-doc
本虛擬套件由這些套件填實: empathy-common
empathy-skype (20140930+svn665+dfsg-1+b1) [contrib]
Skype plugin for libpurple messengers (Empathy-specific files)
empire (1.16-1)
war game of the century
empire-hub (1.0.2.2+b1 [amd64], 1.0.2.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Empire protocol multiplexer
empire-lafe (1.1-1+b5)
Fast line-mode Empire client
emscripten (2.0.12~dfsg-2)
LLVM-to-JavaScript Compiler
emscripten-doc (2.0.12~dfsg-2)
LLVM-to-JavaScript Compiler
emu8051 (2.0.1-2)
Emulator and simulator for 8051 microcontrollers
enamdict (2021.02.03-1)
Dictionary of Japanese proper names
enblend (4.2-8)
image blending tool
enca (1.19-1+b1)
Extremely Naive Charset Analyser - binaries
encfs (1.9.5-1+b2)
encrypted virtual filesystem
enchant-2 (2.2.15-1)
Wrapper for various spell checker engines (binary programs)
endless-sky (0.9.8-1.1)
space exploration and combat game
endless-sky-data (0.9.8-1.1)
data files for Endless Sky
endless-sky-high-dpi (0.9.8-1)
space exploration and combat game
endlessh (1.1-5)
SSH tarpit that slowly sends an endless connection banner
enemylines3 (1.25-1)
semi-abstract first person 3d-shooter game
enemylines7 (0.6-5)
first person 3d-shooter game
enfuse (4.2-8)
image exposure blending tool
engauge-digitizer (12.1+ds.1-1)
interactively extracts numbers from bitmap graphs or maps
engauge-digitizer-doc (12.1+ds.1-1)
engauge-digitizer user manual and tutorial
engrampa (1.24.1-1+deb11u1) [security]
archive manager for MATE
engrampa-common (1.24.1-1+deb11u1) [security]
archive manager for MATE (common files)
enigma (1.20-dfsg.1-2.2)
Game where you control a marble with the mouse
enigma-data (1.20-dfsg.1-2.2)
Data files for the game enigma
enigma-doc (1.20-dfsg.1-2.2)
Documentation for the game enigma
enigmail (2:2.2.4-0.3)
Migration assistant from Enigmail to Thunderbird 78
enjarify (1:1.0.3-5)
translate Dalvik bytecode to equivalent Java bytecode
enlightenment (0.24.2-8+deb11u1)
X11 window manager based on EFL
enlightenment-data (0.24.2-8+deb11u1)
X11 window manager based on EFL - run time data files
enlightenment-dev (0.24.2-8+deb11u1)
Enlightenment headers, static libraries and documentation
enscribe (0.1.0-3)
convert images into sounds
enscript (1.6.5.90-3+b1)
converts text to Postscript, HTML or RTF with syntax highlighting
ent (1.2debian-3)
pseudorandom number sequence test program
entagged (0.35-6)
graphical audio file tagger with freedb support
entangle (3.0-1+b1)
Tethered Camera Control & Capture
entr (4.7-1)
Run arbitrary commands when files change
entropybroker (2.9-5)
infrastructure for distributing random numbers (entropy data)
enum (1.1-1+b2)
seq- and jot-like enumerator
env-preseed (1.109)
debconf preseeding via environment variables
env2 (1.1.0-4)
convert environment variables between scripting languages
environment-modules (4.6.1-1)
Modular system for handling environment variables
envstore (2.1-6)
store for environment variables across shell processes
eoconv (1.5-2)
convert text files between various Esperanto encodings
eog (3.38.2-1)
Eye of GNOME graphics viewer program
eog-dev (3.38.2-1)
Development files for the Eye of GNOME
eog-plugin-disable-dark-theme (3.26.5-1)
Disable Dark Theme plugin for GNOME Image Viewer
eog-plugin-exif-display (3.26.5-1)
Exif Display plugin for GNOME Image Viewer
eog-plugin-export-to-folder (3.26.5-1)
Export to Folderplugin for GNOME Image Viewer
eog-plugin-fit-to-width (3.26.5-1)
Fit to Width plugin for GNOME Image Viewer
eog-plugin-fullscreen-background (3.26.5-1)
Fullscreen Background plugin for GNOME Image Viewer
eog-plugin-hide-titlebar (3.26.5-1)
Hide Titlebarplugin for GNOME Image Viewer
eog-plugin-map (3.26.5-1)
Map plugin for GNOME Image Viewer
eog-plugin-maximize-windows (3.26.5-1)
Maximize Windows plugin for GNOME Image Viewer
eog-plugin-picasa (3.26.5-1)
Picasa plugin for GNOME Image Viewer
eog-plugin-python-console (3.26.5-1)
Python Console plugin for GNOME Image Viewer
eog-plugin-send-by-mail (3.26.5-1)
Send by Mail plugin for GNOME Image Viewer
eog-plugin-slideshow-shuffle (3.26.5-1)
Slideshow Shuffle plugin for GNOME Image Viewer
eog-plugins (3.26.5-1)
set of plugins for GNOME Image Viewer
eog-plugins-common (3.26.5-1)
common files for eog-plugins
eom (1.24.1-1)
Eye of MATE graphics viewer program
eom-common (1.24.1-1)
Eye of MATE graphics viewer program (common files)
eom-dev (1.24.1-1)
Eye of MATE graphics viewer program (development files)
eot-utils (1.1-1+b1)
Tools to convert from OTF or TTF to EOT font format
eot2ttf (0.01-5+b1)
utility to convert Embedded OpenType fonts to TrueType
eperl (2.2.14-23+b3)
Embedded Perl 5 Language
ephoto (1.5-3)
Comprehensive Image Viewer Using EFL
epic4 (1:2.10.6-1.1+b1)
epic irc client, version 4
epic4-help (1:2.0+20050315-3)
help files for epic4 IRC client
epic4-script
本虛擬套件由這些套件填實: epic4-script-lice
epic4-script-lice (1:4.2.5i-2)
Very functional script for epic
epic5 (2.0.1-1+b9)
epic irc client, version 5
epic5-script
本虛擬套件由這些套件填實: epic5-script-lice
epic5-script-lice (1:5.3.0-1)
Very functional script for epic
epigrass (3.0.0+dfsg-1)
scientific tool for simulations and scenario analysis in network epidemiology
epigrass-doc (3.0.0+dfsg-1)
Documentation for EpiGrass, a network epidemiology tool
epiphany (0.7.0+0-6)
clone of Boulder Dash game
epiphany-browser (3.38.2-1+deb11u3)
Intuitive GNOME web browser
epiphany-browser-data (3.38.2-1+deb11u3)
Data files for the GNOME web browser
epiphany-data (0.7.0+0-6)
required data files for epiphany game
epix (1.2.19-2)
Create mathematically accurate line figures, plots and movies
epm (4.2-11)
Cross-platform package builder by Easy Software Products
epoptes (21.02-1)
Computer lab management tool
epoptes-client (21.02-1)
Computer lab management tool (client)
epsilon-bin (0.9.2+dfsg-5)
Library for wavelet image compression - tools
epstool (3.09-3)
edit preview images and fix bounding boxes in EPS files
epub-utils (0.2.2-4+b4)
tools to work with the EPUB file format
epubcheck (4.2.4-2)
Validation Tool for EPUB
eq10q (2.2~repack0-4)
LV2 plugins bundle
eql (1.2.ds1-5+b1 [amd64], 1.2.ds1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
load balancing tool for serial network connections
eqonomize (1.5.1-1)
personal accounting software for the small household economy
eqonomize-doc (1.5.1-1)
documentation for the Eqonomize! accounting software
equalx (0.7.1-4.1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.7.1-4.1+b1 [armel, armhf])
graphical editor for LaTeX equations
equivs (2.3.1)
Circumvent Debian package dependencies
ergo (3.8-1)
Quantum chemistry program for large-scale calculations
ergo-data (3.8-1)
Quantum chemistry program for large-scale calculations - data package
eric (21.1+ds1-1)
full featured Python IDE
eric-api-files (21.1+ds1-1)
API description files for use with eric
erlang (1:23.2.6+dfsg-1+deb11u1)
Concurrent, real-time, distributed functional language
erlang-abi (= 17.0)
本虛擬套件由這些套件填實: erlang-base-hipe, erlang-base
erlang-abi-17.0
本虛擬套件由這些套件填實: erlang-base, erlang-base-hipe
erlang-asciideck (0.0+git20170714.48cbfe8b-4+b1 [amd64], 0.0+git20170714.48cbfe8b-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang library for asciidoc
erlang-asn1 (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP modules for ASN.1 support
erlang-base (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP virtual machine and base applications
erlang-base-hipe (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP HiPE enabled virtual machine and base applications
erlang-base64url (1.0.1-5)
standalone URL-safe base64-compatible codec for Erlang
erlang-bbmustache (1.6.1+dfsg-1+b1 [amd64], 1.6.1+dfsg-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Binary pattern match Based Mustache template engine for Erlang/OTP
erlang-bear (0.8.2+dfsg-2+b1 [amd64], 0.8.2+dfsg-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Set of statistics functions for erlang
erlang-cf (0.3.1-1+b1 [amd64], 0.3.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang/OTP library for termial colour printing
erlang-cl (1.2.4-1+b1 [amd64], 1.2.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang OpenCL bindings
erlang-common-test (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP application for automated testing
erlang-cowlib (1.3.0-3+b1 [amd64], 1.3.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang library for manipulating web protocols
erlang-crypto (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP cryptographic modules
erlang-debugger (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP application for debugging and testing
erlang-dev (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP development libraries and headers
erlang-dialyzer (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP discrepancy analyzer application
erlang-diameter (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP implementation of RFC 6733 protocol
erlang-doc (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP HTML/PDF documentation
erlang-doc-html
本虛擬套件由這些套件填實: erlang-doc
erlang-edoc (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP module for generating documentation
erlang-eldap (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP LDAP library
erlang-erl-docgen (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP documentation stylesheets
erlang-erlware-commons (1.3.1+dfsg-2)
Erlware common libraries
erlang-esdl (1.3.1-4.1)
Erlang bindings to the Simple Direct Media Library
erlang-esdl-dev (1.3.1-4.1)
Erlang bindings to the SDL (development files)
erlang-esdl-doc (1.3.1-4.1)
Erlang bindings to the SDL (documentation)
erlang-et (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP event tracer application
erlang-eunit (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP module for unit testing
erlang-examples (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP application examples
erlang-folsom (0.8.2+dfsg-2+b1 [amd64], 0.8.2+dfsg-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang based metrics system inspired by Coda Hale's metrics
erlang-folsom-dev (0.8.2+dfsg-2+b1 [amd64], 0.8.2+dfsg-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang based metrics system inspired by Coda Hale's metrics
erlang-ftp (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP FTP client
erlang-getopt (1.0.2-1)
Erlang library for command-line processing
erlang-goldrush (0.2.0-7)
small Erlang app that provides fast event stream processing
erlang-horse (0+git20161117.0.4dc81d4-1+b1 [amd64], 0+git20161117.0.4dc81d4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Erlang library for integrated performance testing
erlang-idna (6.1.1-3)
pure Erlang IDNA implementation that follows RFC 5891
erlang-inets (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP Internet clients and servers
erlang-jiffy (1.0.8+dfsg-3)
JSON NIFs (Native Implemented Functions) for Erlang
erlang-jinterface (1:23.2.6+dfsg-1+deb11u1)
Java communication tool to Erlang
erlang-jose (1.11.1-3)
JSON Object Signing and Encryption (JOSE) for Erlang
erlang-lager (3.8.1-3)
logging framework for Erlang
erlang-luerl (1:0.4-5)
implementation of Lua in Erlang
erlang-manpages (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP manual pages
erlang-meck (0.8.7-2+b1 [amd64], 0.8.7-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mocking library for Erlang
erlang-megaco (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP implementation of Megaco/H.248 protocol
erlang-metrics (2.5.0-2)
generic interface to different metrics systems in Erlang
erlang-mimerl (1.2.0-2)
Erlang library to handle mimetypes
erlang-mnesia (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP distributed relational/object hybrid database
erlang-mode (1:23.2.6+dfsg-1+deb11u1)
Erlang major editing mode for Emacs
erlang-neotoma (1.7.4+dfsg-2+b1 [amd64], 1.7.4+dfsg-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
parser generator for Erlang
erlang-nox (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP applications that don't require X Window System
erlang-observer (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP application for investigating distributed systems
erlang-odbc (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP interface to SQL databases
erlang-os-mon (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP operating system monitor
erlang-p1-acme (1.0.11-2)
ACME client library for Erlang
erlang-p1-cache-tab (1.0.27-2)
in-memory cache application for Erlang / Elixir apps
erlang-p1-eimp (1.0.19-2)
Erlang application for manipulating graphic images
erlang-p1-iconv (1.0.12-3)
fast encoding conversion library for Erlang / Elixir
erlang-p1-mqtree (1.0.12-2)
index tree for MQTT topic filters
erlang-p1-mysql (1.0.17-3)
pure Erlang MySQL driver
erlang-p1-oauth2 (0.6.8-3)
Erlang library for server side implementation of OAuth2
erlang-p1-pam (1.0.10-3)
pam authentication and accounting management for Erlang
erlang-p1-pgsql (1.1.10-4)
Pure Erlang PostgreSQL driver
erlang-p1-pkix (1.0.7-3)
PKIX certificates management library for Erlang
erlang-p1-sip (1.0.41-2)
SIP library for Erlang
erlang-p1-sqlite3 (1.1.11-2)
SQLite3 wrapper for Erlang applications
erlang-p1-stringprep (1.0.24-3)
erlang interface to stringprep
erlang-p1-stun (1.0.42-2)
STUN library for Erlang
erlang-p1-tls (1.1.11-2)
native TLS / SSL driver for Erlang / Elixir
erlang-p1-utils (1.0.21-3)
set of small Erlang libraries
erlang-p1-xml (1.1.45-3)
XML utilities for Erlang
erlang-p1-xmpp (1.5.2-3)
Erlang/Elixir XMPP parsing and serialization library
erlang-p1-yaml (1.0.30-2)
erlang wrapper for libyaml C library
erlang-p1-yconf (1.0.10-2)
YAML configuration processor
erlang-p1-zlib (1.0.9-3)
erlang interface to zlib
erlang-parsetools (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP parsing tools
erlang-pcre (= 8.44)
本虛擬套件由這些套件填實: erlang-base-hipe, erlang-base
erlang-proper (1.2+git988ea0ed9f+dfsg-2.1)
QuickCheck-inspired property-based testing tool for Erlang
erlang-proper-dev (1.2+git988ea0ed9f+dfsg-2.1)
QuickCheck-inspired property-based testing tool for Erlang - development files
erlang-proper-doc (1.2+git988ea0ed9f+dfsg-2.1)
QuickCheck-inspired property-based testing tool for Erlang - document files
erlang-public-key (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP public key infrastructure
erlang-redis-client (1.2.0-6)
Redis client for Erlang applications
erlang-reltool (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP release management tool
erlang-runtime-tools (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP runtime tracing/debugging tools
erlang-snmp (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP SNMP applications
erlang-src (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP applications sources
erlang-ssh (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP implementation of SSH protocol
erlang-ssl (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP implementation of SSL
erlang-syntax-tools (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP modules for handling abstract Erlang syntax trees
erlang-tftp (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP TFTP client and server
erlang-tools (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP various tools
erlang-unicode-util-compat (0.7.0-3)
unicode_util compatibility library for Erlang <= 20
erlang-uuid (0.4.6-2+b1 [amd64], 0.4.6-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
UUID generator for Erlang
erlang-wx (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP bindings to wxWidgets
erlang-x11 (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP applications that require X Window System
erlang-xmerl (1:23.2.6+dfsg-1+deb11u1)
Erlang/OTP XML tools
erlang-yapp (2.0.8+dfsg-3)
Erlang application for deploying Yaws webserver applications
erlang-yaws (2.0.8+dfsg-3)
Erlang application which implements HTTP webserver
erofs-utils (1.2.1-2)
Utilities for EROFS File System
errbot (6.1.7+ds-1)
Chatbot designed to be simple to extend with plugins written in Python
erubis
本虛擬套件由這些套件填實: ruby-erubis
erubis-doc
本虛擬套件由這些套件填實: ruby-erubis
esbuild (0.8.39-1+b5)
extremely fast JavaScript bundler and minifier (program)
escputil (5.3.3-5)
maintenance utility for Epson Stylus printers
esekeyd (1.2.7-2)
multimedia keyboard daemon for Linux
esix (1-3) [contrib]
PDP-8 Engineering and Scientific Interpreter eXtended
eslint (5.16.0~dfsg+~4.16.8-5)
AST-based pattern checker for JavaScript
esmtp (1.2-17)
user configurable relay-only MTA
esmtp-run (1.2-17)
user configurable relay-only MTA - the regular MTA
esnacc (1.8.1-1)
ASN.1 to C or C++ or IDL compiler
esnacc-doc (1.8.1-1)
ASN.1 to C or C++ or IDL compiler, documentation
eso-midas (19.02pl1.1-6)
European Southern Observatory Munich Image Data Analysis System
eso-midas-testdata (19.02pl1.1-6)
Test data files for ESO-MIDAS
eso-pipelines (1.3)
ESO VLT Instrument pipeline collection
esorex (3.13.3+ds-1)
Execution Tool for European Southern Observatory pipelines
espctag (0.4-1+b1)
ID666 tags editor
espeak (1.48.15+dfsg-2)
Multi-lingual software speech synthesizer
espeak
本虛擬套件由這些套件填實: espeak-ng-espeak
espeak-data (1.48.15+dfsg-2)
Multi-lingual software speech synthesizer: speech data files
espeak-data-udeb (1.48.15+dfsg-2)
Multi-lingual software speech synthesizer: data for d-i
espeak-ng (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer
espeak-ng-data (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer: speech data files
espeak-ng-data-udeb (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer: data for d-i
espeak-ng-espeak (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer
espeakedit (1.48.15-1)
Multi-lingual software speech synthesizer - editor
espeakup (1:0.80-20+deb11u1)
Connector between speakup kernel modules and espeak
espeakup-udeb (1:0.80-20+deb11u1)
Configure the speech synthesizer voice
esptool (2.8+dfsg-1)
create and flash firmware files to ESP8266 and ESP32 chips
ess (18.10.2-2)
Transition Package, ess to elpa-ess
estscan (3.0.3-5)
ORF-independent detector of coding DNA sequences
esys-particle (2.3.5+dfsg2-1+b2)
Software for particle-based numerical modelling (MPI version)
etc1tool (10.0.0+r36-1)
ETC1 conversion tool
etcd (3.3.25+dfsg-6)
Transitional package for etcd-client and etcd-server
etcd-client (3.3.25+dfsg-6+b6)
highly-available key value store -- client
etcd-discovery (2.0.0+git2019.04.19.git.78fb45d3c9-4+b6)
etcd discovery service
etcd-server (3.3.25+dfsg-6+b6)
highly-available key value store -- daemon
etckeeper (1.18.16-1)
store /etc in git, mercurial, brz or darcs
eterm (0.9.6-6.1)
Enlightened Terminal Emulator
eterm-backgrounds
本虛擬套件由這些套件填實: eterm
ethdetect (1.147)
Detect network hardware and load kernel drivers for it
etherape (0.9.19-2)
graphical network monitor
etherape-data (0.9.19-2)
graphical network monitor (data files)
etherdfs-server (0~20180203-2)
Ethernet DOS File System server
ethereal-chess (12.00+ds1-1)
UCI-compliant chess engine
ethernet-card-detection
本虛擬套件由這些套件填實: s390-netdevice, ethdetect
etherpuppet (0.3-4)
create a virtual interface from a remote Ethernet interface
etherwake (1.09-4+b1)
tool to send magic Wake-on-LAN packets
ethflop (0~20191003-2)
Ethernet DOS floppy emulator
ethflux (1.0-3+b26)
InfluxDB data gatherer for ethtool-style network interface information
ethstats (1.2.1-4)
script that quickly measures network device throughput
ethstatus (0.4.9+b1 [amd64], 0.4.9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
console-based ethernet statistics monitor
ethtool (1:5.9-1)
display or change Ethernet device settings
etktab (3.2-13)
ASCII guitar tab editor
etl-dev (1.4.0-1)
Extended Class and Template Library
etm (3.2.30-1.1)
manages events and tasks using simple text files
etm-qt (3.2.30-1.1)
manages events and tasks using simple text files (dummy package)
etoys (5.0.2408-1) [non-free]
media-rich model, simulation construction kit and authoring tool
etoys-doc (5.0.2408-1) [non-free]
documentation for Etoys
etqw (67) [contrib]
science-fiction-themed multiplayer first person shooter
etqw-server (67) [contrib]
dedicated server for Enemy Territory: Quake Wars
etsf-io (1.0.4-5)
Binary tools to check, merge and read ETSF files
ettercap
本虛擬套件由這些套件填實: ettercap-text-only, ettercap-graphical
ettercap-common (1:0.8.3.1-3)
Multipurpose sniffer/interceptor/logger for switched LAN
ettercap-graphical (1:0.8.3.1-3)
Ettercap GUI-enabled executable
ettercap-text-only (1:0.8.3.1-3)
Ettercap console-mode executable
etw (3.6+svn162-6)
arcade-style soccer game
etw-data (3.6+svn162-6)
graphics and audio data for etw
eukleides (1.5.4-4.3)
Euclidean geometry drawing language
euler (1.61.0-12)
interactive mathematical programming environment
euler-doc (1.61.0-12)
documentation for the mathematical programming environment Euler
eureka (1.27b-2)
map editor for the classic DOOM games
eurephia (1.1.0-6.1)
flexible OpenVPN authentication module
euslisp (9.27+dfsg-7)
Lisp based intelligent robots programming system
euslisp-dev (9.27+dfsg-7)
Development files of Lisp based intelligent robots programming system
euslisp-doc (9.27+dfsg-7)
Manuals and Documentations of EusLisp programming system
evdi-dkms (1.9.0+dfsg-1)
Extensible Virtual Display Interface driver kernel module
evemu-tools (2.7.0-3)
Linux Input Event Device Emulation Library - test tools
event-modules
本虛擬套件由這些套件填實: event-modules-5.10.0-26-5kc-malta-di, event-modules-5.10.0-26-arm64-di, event-modules-5.10.0-28-686-pae-di, event-modules-5.10.0-25-powerpc64le-di, event-modules-5.10.0-25-4kc-malta-di, event-modules-5.10.0-25-octeon-di, event-modules-5.10.0-26-marvell-di, event-modules-5.10.0-26-powerpc64le-di, event-modules-5.10.0-28-octeon-di, event-modules-5.10.0-27-686-di, event-modules-5.10.0-26-4kc-malta-di, event-modules-5.10.0-27-686-pae-di, event-modules-5.10.0-28-5kc-malta-di, event-modules-5.10.0-27-loongson-3-di, event-modules-5.10.0-25-marvell-di, event-modules-5.10.0-28-arm64-di, event-modules-5.10.0-25-armmp-di, event-modules-5.10.0-27-armmp-di, event-modules-5.10.0-28-amd64-di, event-modules-5.10.0-27-arm64-di, event-modules-5.10.0-28-loongson-3-di, event-modules-5.10.0-27-amd64-di, event-modules-5.10.0-26-octeon-di, event-modules-5.10.0-28-686-di, event-modules-5.10.0-27-marvell-di, event-modules-5.10.0-28-marvell-di, event-modules-5.10.0-28-powerpc64le-di, event-modules-5.10.0-25-amd64-di, event-modules-5.10.0-26-686-pae-di, event-modules-5.10.0-27-4kc-malta-di, event-modules-5.10.0-27-octeon-di, event-modules-5.10.0-27-5kc-malta-di, event-modules-5.10.0-25-loongson-3-di, event-modules-5.10.0-25-686-pae-di, event-modules-5.10.0-28-4kc-malta-di, event-modules-5.10.0-25-arm64-di, event-modules-5.10.0-27-powerpc64le-di, event-modules-5.10.0-25-5kc-malta-di, event-modules-5.10.0-25-686-di, event-modules-5.10.0-26-amd64-di, event-modules-5.10.0-26-686-di, event-modules-5.10.0-28-armmp-di, event-modules-5.10.0-26-armmp-di, event-modules-5.10.0-26-loongson-3-di
event-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-686-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Event support
event-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Event support
event-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Event support
event-modules-5.10.0-26-686-di (5.10.197-1)
Event support
event-modules-5.10.0-26-686-pae-di (5.10.197-1)
Event support
event-modules-5.10.0-26-amd64-di (5.10.197-1)
Event support
event-modules-5.10.0-26-arm64-di (5.10.197-1)
Event support
event-modules-5.10.0-26-armmp-di (5.10.197-1)
Event support
event-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Event support
event-modules-5.10.0-26-marvell-di (5.10.197-1)
Event support
event-modules-5.10.0-26-octeon-di (5.10.197-1)
Event support
event-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Event support
event-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-686-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Event support
event-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Event support
event-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Event support
event-modules-5.10.0-28-686-di (5.10.209-2)
Event support
event-modules-5.10.0-28-686-pae-di (5.10.209-2)
Event support
event-modules-5.10.0-28-amd64-di (5.10.209-2)
Event support
event-modules-5.10.0-28-arm64-di (5.10.209-2)
Event support
event-modules-5.10.0-28-armmp-di (5.10.209-2)
Event support
event-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Event support
event-modules-5.10.0-28-marvell-di (5.10.209-2)
Event support
event-modules-5.10.0-28-octeon-di (5.10.209-2)
Event support
event-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Event support
eventstat (0.04.11-1)
kernel event states monitoring tool
eviacam (2.1.4-2+b1)
camera based mouse emulator
evilwm (1.1.1-1+b1)
minimalist window manager for X11
evince (3.38.2-1)
Document (PostScript, PDF) viewer
evince-common (3.38.2-1)
Document (PostScript, PDF) viewer - common files
evolution (3.38.3-1+deb11u2)
groupware suite with mail client and organizer
evolution-common (3.38.3-1+deb11u2)
architecture independent files for Evolution
evolution-data-server (3.38.3-1+deb11u2)
evolution database backend server
evolution-data-server-common (3.38.3-1+deb11u2)
architecture independent files for Evolution Data Server
evolution-data-server-dev (3.38.3-1+deb11u2)
Development files for evolution-data-server (metapackage)
evolution-data-server-doc (3.38.3-1+deb11u2)
Documentation files for the Evolution Data Server libraries
evolution-data-server-tests (3.38.3-1+deb11u2)
Installed tests for the evolution database backend server
evolution-dev (3.38.3-1+deb11u2)
development library files for Evolution
evolution-ews (3.38.3-1+deb11u1)
Exchange Web Services integration for Evolution
evolution-plugin-bogofilter (3.38.3-1+deb11u2)
standard plugins for Evolution (bogofilter)
evolution-plugin-pstimport (3.38.3-1+deb11u2)
standard plugins for Evolution (pstimport)
evolution-plugin-spamassassin (3.38.3-1+deb11u2)
standard plugins for Evolution (spamassassin)
evolution-plugins (3.38.3-1+deb11u2)
standard plugins for Evolution
evolution-plugins-experimental (3.38.3-1+deb11u2)
experimental plugins for Evolution
evolution-rss (0.3.96-2+b1)
Evolution RSS Reader Plugin
evolver (2.70+ds-8)
Surface Evolver
evolver
本虛擬套件由這些套件填實: evolver-ogl, evolver-nox
evolver-doc (2.70+ds-8)
Surface Evolver - doc
evolver-nox (2.70+ds-8)
Surface Evolver - with no X support
evolver-ogl (2.70+ds-8)
Surface Evolver - with OpenGL display
evolvotron (0.7.1-4)
Generator of textures through interactive evolution
evtest (1:1.34-1)
utility to monitor Linux input device events
eweouz (0.12+b1)
Emacs interface to Evolution Data Server
ewf-tools (20140807-2+b2)
collection of tools for reading and writing EWF files
ewipe (1.2.0-10)
Yet another presentation tool based on Tcl/Tk
exa (0.9.0-5+b1)
Modern replacement for ls
exabgp (4.2.8-2)
BGP swiss army knife of networking
exactimage (1.0.2-8+b3)
fast image manipulation programs
exadrums (0.6.0-1)
Software drum module (graphical user interface)
examl (3.0.22-1+b4)
Exascale Maximum Likelihood (ExaML) code for phylogenetic inference
excellent-bifurcation (0.0.20071015-8+b1)
abstract vertical shooter with two sides to play on
exe-thumbnailer (1~icoextract-0.1.2-2)
transitional package to icoextract-thumbnailer
exe-thumbnailer
本虛擬套件由這些套件填實: icoextract-thumbnailer
execline (2.7.0.1-2)
small and non-interactive scripting language
execline-doc (2.7.0.1-2)
small and non-interactive scripting language (documentation)
execnet-doc (1.7.1-4)
rapid multi-Python deployment (docs)
exempi (2.5.2-1)
command line tool to manipulate XMP metadata
exfalso (4.3.0-1)
audio tag editor for GTK+
exfat-fuse (1.3.0-2)
read and write exFAT driver for FUSE
exfat-utils (1.3.0-2)
utilities to create, check, label and dump exFAT filesystem
exfatprogs (1.1.0-1)
tools to create, check and label exFAT filesystems
exif (0.6.22-2)
command-line utility to show EXIF information in JPEG files
exifprobe (2.0.1+git20170416.3c2b769-5)
read metadata from digital pictures
exiftags (1.01-7)
utility to read Exif tags from a digital camera JPEG file
exiftool
本虛擬套件由這些套件填實: libimage-exiftool-perl
exiftran (2.10-4)
digital camera JPEG image transformer
exim4 (4.94.2-7+deb11u2)
metapackage to ease Exim MTA (v4) installation
exim4-base (4.94.2-7+deb11u2)
support files for all Exim MTA (v4) packages
exim4-config (4.94.2-7+deb11u2)
configuration for the Exim MTA (v4)
exim4-config-2
本虛擬套件由這些套件填實: exim4-config
exim4-daemon-heavy (4.94.2-7+deb11u2)
Exim MTA (v4) daemon with extended features, including exiscan-acl
exim4-daemon-light (4.94.2-7+deb11u2)
lightweight Exim MTA (v4) daemon
exim4-dev (4.94.2-7+deb11u2)
header files for the Exim MTA (v4) packages
exim4-doc-html (4.94-2)
documentation for the Exim MTA (v4) in html format
exim4-doc-info (4.94-2)
documentation for the Exim MTA (v4) in info format
exim4-localscanapi-4.1
本虛擬套件由這些套件填實: exim4-daemon-light, exim4-daemon-heavy
eximon4 (4.94.2-7+deb11u2)
monitor application for the Exim MTA (v4) (X11 interface)
exiv2 (0.27.3-3+deb11u2)
EXIF/IPTC/XMP metadata manipulation tool
exmh (1:2.9.0-2)
extensible X user interface for MH mail
exo-utils (4.16.0-1+deb11u1)
Utility files for libexo
exonerate (2.4.0-5)
generic tool for pairwise sequence comparison
expand-region-el (0.11.0+36-1)
Transition package, expand-region-el to elpa-expand-region
expand-region-el
本虛擬套件由這些套件填實: elpa-expand-region
expat (2.2.10-2+deb11u5)
XML parsing C library - example application
expect (5.45.4-2+b1)
Automates interactive applications
expect-dev
本虛擬套件由這些套件填實: expect
expeyes (4.8.8+repack-2)
hardware & software framework for developing science experiments
expeyes-clib (4.8.8+repack-2)
hardware & software framework for developing science experiments
expeyes-doc
本虛擬套件由這些套件填實: expeyes-doc-en, expeyes-doc-fr
expeyes-doc-common (4.3-3)
Common files related to the User manual for expeyes library
expeyes-doc-en (4.3-3)
User manual for expeyes library, in English language
expeyes-doc-fr (4.3-3)
User manual for expeyes library, French translation
expeyes-web (4.8.8+repack-2)
Web interface for expEYES-Junior
explain (1.4.D001-11+deb11u1)
utility to explain system call errors
ext2-modules
本虛擬套件由這些套件填實: ext4-modules-5.10.0-28-marvell-di, ext4-modules-5.10.0-26-loongson-3-di, ext4-modules-5.10.0-26-amd64-di, ext4-modules-5.10.0-26-5kc-malta-di, ext4-modules-5.10.0-28-5kc-malta-di, ext4-modules-5.10.0-25-loongson-3-di, ext4-modules-5.10.0-26-powerpc64le-di, ext4-modules-5.10.0-27-686-di, ext4-modules-5.10.0-27-amd64-di, ext4-modules-5.10.0-26-marvell-di, ext4-modules-5.10.0-27-loongson-3-di, ext4-modules-5.10.0-28-686-pae-di, ext4-modules-5.10.0-27-686-pae-di, ext4-modules-5.10.0-27-s390x-di, ext4-modules-5.10.0-25-4kc-malta-di, ext4-modules-5.10.0-27-arm64-di, ext4-modules-5.10.0-27-4kc-malta-di, ext4-modules-5.10.0-28-s390x-di, ext4-modules-5.10.0-28-octeon-di, ext4-modules-5.10.0-27-octeon-di, ext4-modules-5.10.0-28-armmp-di, ext4-modules-5.10.0-26-armmp-di, ext4-modules-5.10.0-28-4kc-malta-di, ext4-modules-5.10.0-26-s390x-di, ext4-modules-5.10.0-28-686-di, ext4-modules-5.10.0-25-s390x-di, ext4-modules-5.10.0-27-armmp-di, ext4-modules-5.10.0-28-amd64-di, ext4-modules-5.10.0-25-686-pae-di, ext4-modules-5.10.0-25-686-di, ext4-modules-5.10.0-26-4kc-malta-di, ext4-modules-5.10.0-26-arm64-di, ext4-modules-5.10.0-25-amd64-di, ext4-modules-5.10.0-25-octeon-di, ext4-modules-5.10.0-25-powerpc64le-di, ext4-modules-5.10.0-25-armmp-di, ext4-modules-5.10.0-25-5kc-malta-di, ext4-modules-5.10.0-26-octeon-di, ext4-modules-5.10.0-28-arm64-di, ext4-modules-5.10.0-25-arm64-di, ext4-modules-5.10.0-28-loongson-3-di, ext4-modules-5.10.0-26-686-pae-di, ext4-modules-5.10.0-27-powerpc64le-di, ext4-modules-5.10.0-27-5kc-malta-di, ext4-modules-5.10.0-28-powerpc64le-di, ext4-modules-5.10.0-25-marvell-di, ext4-modules-5.10.0-27-marvell-di, ext4-modules-5.10.0-26-686-di
ext3-modules
本虛擬套件由這些套件填實: ext4-modules-5.10.0-26-5kc-malta-di, ext4-modules-5.10.0-28-5kc-malta-di, ext4-modules-5.10.0-25-loongson-3-di, ext4-modules-5.10.0-26-powerpc64le-di, ext4-modules-5.10.0-27-686-di, ext4-modules-5.10.0-28-marvell-di, ext4-modules-5.10.0-26-loongson-3-di, ext4-modules-5.10.0-26-amd64-di, ext4-modules-5.10.0-27-arm64-di, ext4-modules-5.10.0-27-4kc-malta-di, ext4-modules-5.10.0-28-s390x-di, ext4-modules-5.10.0-28-octeon-di, ext4-modules-5.10.0-27-octeon-di, ext4-modules-5.10.0-28-armmp-di, ext4-modules-5.10.0-26-armmp-di, ext4-modules-5.10.0-28-4kc-malta-di, ext4-modules-5.10.0-26-s390x-di, ext4-modules-5.10.0-28-686-di, ext4-modules-5.10.0-27-amd64-di, ext4-modules-5.10.0-26-marvell-di, ext4-modules-5.10.0-27-loongson-3-di, ext4-modules-5.10.0-28-686-pae-di, ext4-modules-5.10.0-27-686-pae-di, ext4-modules-5.10.0-27-s390x-di, ext4-modules-5.10.0-25-4kc-malta-di, ext4-modules-5.10.0-25-powerpc64le-di, ext4-modules-5.10.0-25-armmp-di, ext4-modules-5.10.0-25-5kc-malta-di, ext4-modules-5.10.0-26-octeon-di, ext4-modules-5.10.0-28-arm64-di, ext4-modules-5.10.0-25-s390x-di, ext4-modules-5.10.0-27-armmp-di, ext4-modules-5.10.0-28-amd64-di, ext4-modules-5.10.0-25-686-di, ext4-modules-5.10.0-25-686-pae-di, ext4-modules-5.10.0-26-4kc-malta-di, ext4-modules-5.10.0-26-arm64-di, ext4-modules-5.10.0-25-amd64-di, ext4-modules-5.10.0-25-octeon-di, ext4-modules-5.10.0-27-powerpc64le-di, ext4-modules-5.10.0-28-powerpc64le-di, ext4-modules-5.10.0-27-5kc-malta-di, ext4-modules-5.10.0-25-marvell-di, ext4-modules-5.10.0-27-marvell-di, ext4-modules-5.10.0-26-686-di, ext4-modules-5.10.0-25-arm64-di, ext4-modules-5.10.0-28-loongson-3-di, ext4-modules-5.10.0-26-686-pae-di
ext3grep (0.10.2-5)
tool to help recover deleted files on ext3 filesystems
ext4-modules
本虛擬套件由這些套件填實: ext4-modules-5.10.0-27-octeon-di, ext4-modules-5.10.0-28-armmp-di, ext4-modules-5.10.0-26-armmp-di, ext4-modules-5.10.0-28-4kc-malta-di, ext4-modules-5.10.0-26-s390x-di, ext4-modules-5.10.0-28-686-di, ext4-modules-5.10.0-27-arm64-di, ext4-modules-5.10.0-28-s390x-di, ext4-modules-5.10.0-27-4kc-malta-di, ext4-modules-5.10.0-28-octeon-di, ext4-modules-5.10.0-28-686-pae-di, ext4-modules-5.10.0-27-686-pae-di, ext4-modules-5.10.0-25-4kc-malta-di, ext4-modules-5.10.0-27-s390x-di, ext4-modules-5.10.0-27-amd64-di, ext4-modules-5.10.0-26-marvell-di, ext4-modules-5.10.0-27-loongson-3-di, ext4-modules-5.10.0-25-loongson-3-di, ext4-modules-5.10.0-26-powerpc64le-di, ext4-modules-5.10.0-27-686-di, ext4-modules-5.10.0-26-5kc-malta-di, ext4-modules-5.10.0-28-5kc-malta-di, ext4-modules-5.10.0-26-loongson-3-di, ext4-modules-5.10.0-26-amd64-di, ext4-modules-5.10.0-28-marvell-di, ext4-modules-5.10.0-25-marvell-di, ext4-modules-5.10.0-26-686-di, ext4-modules-5.10.0-27-marvell-di, ext4-modules-5.10.0-27-powerpc64le-di, ext4-modules-5.10.0-27-5kc-malta-di, ext4-modules-5.10.0-28-powerpc64le-di, ext4-modules-5.10.0-26-686-pae-di, ext4-modules-5.10.0-25-arm64-di, ext4-modules-5.10.0-28-loongson-3-di, ext4-modules-5.10.0-26-octeon-di, ext4-modules-5.10.0-28-arm64-di, ext4-modules-5.10.0-25-powerpc64le-di, ext4-modules-5.10.0-25-armmp-di, ext4-modules-5.10.0-25-5kc-malta-di, ext4-modules-5.10.0-25-686-pae-di, ext4-modules-5.10.0-26-4kc-malta-di, ext4-modules-5.10.0-25-686-di, ext4-modules-5.10.0-28-amd64-di, ext4-modules-5.10.0-26-arm64-di, ext4-modules-5.10.0-25-amd64-di, ext4-modules-5.10.0-25-octeon-di, ext4-modules-5.10.0-25-s390x-di, ext4-modules-5.10.0-27-armmp-di
ext4-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-686-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-686-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-686-pae-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-amd64-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-arm64-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-armmp-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-loongson-3-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-marvell-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-octeon-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-26-s390x-di (5.10.197-1)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-686-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-686-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-686-pae-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-amd64-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-arm64-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-armmp-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-loongson-3-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-marvell-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-octeon-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4-modules-5.10.0-28-s390x-di (5.10.209-2)
ext2/ext3/ext4 filesystem support
ext4magic (0.3.2-14)
recover deleted files from ext3 or ext4 partitions
extlinux (3:6.04~git20190206.bf6db5b4+dfsg1-3+b1)
collection of bootloaders (Linux ext2/ext3/ext4, btrfs, and xfs bootloader)
extra-cmake-modules (5.78.0-3)
Extra modules and scripts for CMake
extra-cmake-modules-doc (5.78.0-3)
Extra modules and scripts for CMake
extra-xdg-menus (1.0-5)
Extra menu categories for applications under GNOME and KDE
extrace (0.7-2)
trace exec() calls system-wide
extract (1:1.11-2)
displays meta-data from files of arbitrary type
extractpdfmark (1.1.0-1.1)
Extract page mode and named destinations as PDFmark from PDF
extremetuxracer (0.8.0-1)
3D racing game featuring Tux, the Linux penguin
extremetuxracer-data (0.8.0-1)
data files for the game Extreme Tux Racer
extrepo (0.8)
External repository manager
extsmail (2.4-2)
enables the robust sending of e-mail to external commands
extundelete (0.2.4-3)
utility to recover deleted files from ext3/ext4 partition
exuberant-ctags (1:5.9~svn20110310-14+deb11u1)
build tag file indexes of source code definitions
exult (1.6-3) [contrib]
engine for Ultima VII (BG, FOV, SI, SS)
exult-studio (1.6-3) [contrib]
tools for editing and viewing exult games
eye (20.1027.2307~ds-1)
semantic web reasoning engine
eyed3 (0.8.10-4)
Display and manipulate id3-tags on the command-line
eyes17 (4.8.8+repack-2)
hardware & software framework for developing science experiments
ez-ipupdate (3.0.11b8-13.4.1+b2)
client for most dynamic DNS services
ezquake (3.2.3-1)
modern QuakeWorld client
ezstream (1.0.2-1)
easy media streaming client over icecast servers
eztrace (1.1-10-2)
Automatic execution trace generation for HPC - tools
f-irc (1.36-1.1)
user-friendly IRC client for the console/terminal
f2c (20200916-1)
FORTRAN 77 to C/C++ translator
f2fs-modules
本虛擬套件由這些套件填實: f2fs-modules-5.10.0-26-4kc-malta-di, f2fs-modules-5.10.0-25-arm64-di, f2fs-modules-5.10.0-25-loongson-3-di, f2fs-modules-5.10.0-25-octeon-di, f2fs-modules-5.10.0-28-s390x-di, f2fs-modules-5.10.0-28-arm64-di, f2fs-modules-5.10.0-26-686-pae-di, f2fs-modules-5.10.0-28-4kc-malta-di, f2fs-modules-5.10.0-28-686-pae-di, f2fs-modules-5.10.0-27-686-pae-di, f2fs-modules-5.10.0-28-armmp-di, f2fs-modules-5.10.0-27-amd64-di, f2fs-modules-5.10.0-27-octeon-di, f2fs-modules-5.10.0-25-686-pae-di, f2fs-modules-5.10.0-25-s390x-di, f2fs-modules-5.10.0-28-5kc-malta-di, f2fs-modules-5.10.0-26-s390x-di, f2fs-modules-5.10.0-28-686-di, f2fs-modules-5.10.0-28-marvell-di, f2fs-modules-5.10.0-28-loongson-3-di, f2fs-modules-5.10.0-26-loongson-3-di, f2fs-modules-5.10.0-26-marvell-di, f2fs-modules-5.10.0-28-powerpc64le-di, f2fs-modules-5.10.0-28-octeon-di, f2fs-modules-5.10.0-25-amd64-di, f2fs-modules-5.10.0-25-4kc-malta-di, f2fs-modules-5.10.0-27-s390x-di, f2fs-modules-5.10.0-26-powerpc64le-di, f2fs-modules-5.10.0-27-arm64-di, f2fs-modules-5.10.0-27-4kc-malta-di, f2fs-modules-5.10.0-27-686-di, f2fs-modules-5.10.0-27-loongson-3-di, f2fs-modules-5.10.0-27-marvell-di, f2fs-modules-5.10.0-26-686-di, f2fs-modules-5.10.0-26-5kc-malta-di, f2fs-modules-5.10.0-25-powerpc64le-di, f2fs-modules-5.10.0-28-amd64-di, f2fs-modules-5.10.0-26-armmp-di, f2fs-modules-5.10.0-25-armmp-di, f2fs-modules-5.10.0-27-5kc-malta-di, f2fs-modules-5.10.0-26-octeon-di, f2fs-modules-5.10.0-25-marvell-di, f2fs-modules-5.10.0-26-arm64-di, f2fs-modules-5.10.0-25-5kc-malta-di, f2fs-modules-5.10.0-27-powerpc64le-di, f2fs-modules-5.10.0-27-armmp-di, f2fs-modules-5.10.0-26-amd64-di, f2fs-modules-5.10.0-25-686-di
f2fs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-686-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
f2fs filesystem support
f2fs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-686-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-686-pae-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-amd64-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-arm64-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-armmp-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-marvell-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-octeon-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-26-s390x-di (5.10.197-1)
f2fs filesystem support
f2fs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-686-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
f2fs filesystem support
f2fs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-686-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-686-pae-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-amd64-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-arm64-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-armmp-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-marvell-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-octeon-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
f2fs filesystem support
f2fs-modules-5.10.0-28-s390x-di (5.10.209-2)
f2fs filesystem support
f2fs-tools (1.14.0-2)
Tools for Flash-Friendly File System
f2fs-tools-udeb (1.14.0-2)
Tools for Flash-Friendly File System (udeb)
f2j (0.8.1+dfsg-5)
Fortran to Java compiler
f3 (8.0-1)
test real flash memory capacity
faac (1.30-1) [non-free]
AAC audio encoder (frontend)
faad (2.10.0-1)
freeware Advanced Audio Decoder player
faba-icon-theme (4.3-1)
Tango influenced icon theme called Faba
fabio-viewer (0.11.0+dfsg-3)
Viewer for images produced by 2D X-ray detector
fabric (2.5.0-0.3)
Simple Pythonic remote deployment tool
facedetect (0.1-3+b2)
simple face detector for batch processing
fact++ (1.6.5~dfsg-3)
description logic reasoner for the semantic web
facter (3.14.12-1+b2)
collect and display facts about the system
facter-dev (3.14.12-1+b2)
collect and display facts about the system -- development files
fadecut (0.2.1-1.1)
toolset to rip audiostreams, cut, fade in/out and tag the resulting audiofiles
fades (9.0.1-2)
system for automatically handling virtual environments
faenza-icon-theme (1.3.1-1.1)
Faenza icon theme
fai-client (5.10.3)
Fully Automatic Installation client package
fai-doc (5.10.3)
Documentation for FAI
fai-nfsroot (5.10.3)
Fully Automatic Installation nfsroot package
fai-quickstart (5.10.3)
Fully Automatic Installation quickstart package
fai-server (5.10.3)
完全自動化安裝系統伺服器端套件
fai-setup-storage (5.10.3)
automatically prepare storage devices
faifa (0.2~svn82-2)
manage HomePlug 1.0/AV devices via ethernet frames
fail2ban (0.11.2-2)
ban hosts that cause multiple authentication errors
fair (0.5.3-2+b1)
high availability load balancer for TCP connections
fairy-stockfish (11.1-1+b1 [amd64], 11.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
chess variant engine including support for Chess, Shogi and XiangQi variants
fairymax (5.0b-1+b1)
xboard compatible chess and chess-variant engine
fake (1.1.11-3+b1 [amd64], 1.1.11-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
IP address takeover tool
fake-hwclock (0.12)
Save/restore system clock on machines without working RTC hardware
fakechroot (2.19-3.3)
gives a fake chroot environment - utilities
fakemachine (0.0~git20201127.9e6ee78-1+b6)
create and spawn virtual machines for building images with debos.
faker (0.9.3-0.1)
Python program that generates fake data
fakeroot (1.25.3-1.1)
tool for simulating superuser privileges
fakeroot
本虛擬套件由這些套件填實: pseudo
fakeroot-ng (0.18-4.1)
Gives a fake root environment
faketime (0.9.8-9)
Report faked system time to programs (command-line tool)
falkon (3.1.0+dfsg1-11)
lightweight web browser based on Qt WebEngine
falselogin (0.3-4+b2)
false login shell
fam (2.7.0-17.3)
File Alteration Monitor
famfamfam-flag-gif (0.1-3.1)
Free collection of countries' flags
famfamfam-flag-png (0.1-3.1)
Free collection of countries' flags
famfamfam-silk (1.3-1.1)
smooth, free icon set, with over 700 16x16 pixel icons
fancontrol (1:3.6.0-7)
utility to control the fan speed
fancontrol-modules
本虛擬套件由這些套件填實: fancontrol-modules-5.10.0-27-powerpc64le-di, fancontrol-modules-5.10.0-26-powerpc64le-di, fancontrol-modules-5.10.0-28-powerpc64le-di, fancontrol-modules-5.10.0-25-powerpc64le-di
fancontrol-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Apple powermac fancontrol modules
fancontrol-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Apple powermac fancontrol modules
fancontrol-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Apple powermac fancontrol modules
fancontrol-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Apple powermac fancontrol modules
fapg (0.41-1+b2)
Fast Audio Playlist Generator
farbfeld (4-2)
Lossless image format which is easy to parse, pipe and compress
farpd (0.2-11+b4 [mips64el], 0.2-11+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Fake ARP user space daemon
fasd (1.0.1-1.1)
command-line productivity booster
fasm (1.73.27-1)
fast assembler for the x86 and x86-64 architectures
fast5 (0.6.5-4)
utilities for manipulating Oxford Nanopore Fast5 files
fasta3 (36.3.8h.2020-02-11-3+b2)
tools for searching collections of biological sequences
fasta3-doc (36.3.8h.2020-02-11-3)
user guide for FASTA tools
fastahack (1.0.0+dfsg-7)
utility for indexing and sequence extraction from FASTA files
fastaq (3.17.0-3)
FASTA and FASTQ file manipulation tools
fastboot (1:10.0.0+r36-7)
Android fastboot tool
fastd (21-1)
Fast and Secure Tunneling Daemon
fastd-doc (21-1)
Fast and Secure Tunneling Daemon (documentation)
fastdds-tools (2.1.0+ds-9+deb11u1)
eProsima FastDDS Discovery Server and Tools
fastddsgen (2.0.0+dfsg-2)
IDL source code generator for eProsima FastDDS
fastdnaml (1.2.2-15)
Tool for construction of phylogenetic trees of DNA sequences
fastep (0.12-2)
Adjust Python project to use fastentrypoints
fastforward (1:0.51-6)
handles qmail forwarding according to a cdb database
fastjar (2:0.98-7)
Jar creation utility
fastjet-doc (3.0.6+dfsg-3)
Documentation of FastJet
fastjet-examples (3.0.6+dfsg-3)
Example source files of FastJet
fastlink (4.1P-fix100+dfsg-4)
faster version of pedigree programs of Linkage
fastlink-doc (4.1P-fix100+dfsg-4)
Some papers about fastlink
fastml (3.11-3)
maximum likelihood ancestral amino-acid sequence reconstruction
fastp (0.20.1+dfsg-1)
Ultra-fast all-in-one FASTQ preprocessor
fastqc (0.11.9+dfsg-4)
quality control for high throughput sequence data
fastqtl (2.184+dfsg-7+b4)
Quantitative Trait Loci (QTL) mapper in cis for molecular phenotypes
fastqtl-doc (2.184+dfsg-7)
QTL mapper in cis for molecular phenotypes - documentation
fasttext (0.9.2-3+b2)
Efficient learning of word representations and sentence classification library
fasttrack-archive-keyring (2020.12.19)
GnuPG archive key for Debian FastTrack Repository
fasttracker2 (1.41+ds-1) [non-free]
Fasttracker II clone
fasttree (2.1.11-2)
phylogenetic trees from alignments of nucleotide or protein sequences
fat-modules
本虛擬套件由這些套件填實: fat-modules-5.10.0-28-armmp-di, fat-modules-5.10.0-26-armmp-di, fat-modules-5.10.0-25-armmp-di, fat-modules-5.10.0-28-amd64-di, fat-modules-5.10.0-25-powerpc64le-di, fat-modules-5.10.0-28-4kc-malta-di, fat-modules-5.10.0-25-686-pae-di, fat-modules-5.10.0-25-s390x-di, fat-modules-5.10.0-26-686-pae-di, fat-modules-5.10.0-25-loongson-3-di, fat-modules-5.10.0-25-5kc-malta-di, fat-modules-5.10.0-25-octeon-di, fat-modules-5.10.0-27-686-di, fat-modules-5.10.0-26-s390x-di, fat-modules-5.10.0-27-686-pae-di, fat-modules-5.10.0-26-arm64-di, fat-modules-5.10.0-27-marvell-di, fat-modules-5.10.0-28-5kc-malta-di, fat-modules-5.10.0-26-loongson-3-di, fat-modules-5.10.0-28-powerpc64le-di, fat-modules-5.10.0-26-4kc-malta-di, fat-modules-5.10.0-28-686-pae-di, fat-modules-5.10.0-28-octeon-di, fat-modules-5.10.0-27-4kc-malta-di, fat-modules-5.10.0-27-octeon-di, fat-modules-5.10.0-26-686-di, fat-modules-5.10.0-26-5kc-malta-di, fat-modules-5.10.0-26-powerpc64le-di, fat-modules-5.10.0-27-s390x-di, fat-modules-5.10.0-27-5kc-malta-di, fat-modules-5.10.0-25-686-di, fat-modules-5.10.0-25-amd64-di, fat-modules-5.10.0-26-amd64-di, fat-modules-5.10.0-26-octeon-di, fat-modules-5.10.0-28-arm64-di, fat-modules-5.10.0-27-loongson-3-di, fat-modules-5.10.0-28-s390x-di, fat-modules-5.10.0-27-powerpc64le-di, fat-modules-5.10.0-28-loongson-3-di, fat-modules-5.10.0-25-arm64-di, fat-modules-5.10.0-25-4kc-malta-di, fat-modules-5.10.0-28-686-di, fat-modules-5.10.0-27-armmp-di, fat-modules-5.10.0-25-marvell-di, fat-modules-5.10.0-27-amd64-di, fat-modules-5.10.0-27-arm64-di, fat-modules-5.10.0-26-marvell-di, fat-modules-5.10.0-28-marvell-di
fat-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-686-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
FAT filesystem support
fat-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-686-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-686-pae-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-amd64-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-arm64-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-armmp-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-loongson-3-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-marvell-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-octeon-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-26-s390x-di (5.10.197-1)
FAT filesystem support
fat-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-686-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
FAT filesystem support
fat-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-686-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-686-pae-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-amd64-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-arm64-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-armmp-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-loongson-3-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-marvell-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-octeon-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
FAT filesystem support
fat-modules-5.10.0-28-s390x-di (5.10.209-2)
FAT filesystem support
fatattr (1.0.1-14)
Utility to control attributes on a FAT filesystem
fatcat (1.0.5-1+b1)
FAT filesystem explore, extract, repair, and forensic tool
fathom (1.0+git.20190811.97fb5c-1)
Command-line for probing Syzygy tablebases
fatpack
本虛擬套件由這些套件填實: libapp-fatpacker-perl
fatrace (0.16.2-2)
report system wide file access events
fatresize (1.1.0-1)
FAT16/FAT32 filesystem resizer
fatsort (1.6.3.622-0.1)
utility for sorting FAT directory structures
fatsv-data-source
本虛擬套件由這些套件填實: dump1090-mutability
faucc (20180503-1.1)
C compiler generating Intel code for 16bit/32bit CPUs
fauhdlc (20180504-3.1+b1)
experimental VHDL compiler and interpreter
faultstat (0.01.06-1)
page fault monitoring tool
faust (2.30.5~ds0-2)
functional programming language for realtime audio applications
faust-common (2.30.5~ds0-2)
functional programming language for realtime audio applications - common files
faustworks (0.5~repack0-6+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.5~repack0-6 [armel, armhf])
IDE for Faust dsp programming language
fb-modules
本虛擬套件由這些套件填實: fb-modules-5.10.0-26-powerpc64le-di, fb-modules-5.10.0-27-powerpc64le-di, fb-modules-5.10.0-28-686-di, fb-modules-5.10.0-25-armmp-di, fb-modules-5.10.0-26-marvell-di, fb-modules-5.10.0-25-amd64-di, fb-modules-5.10.0-27-arm64-di, fb-modules-5.10.0-25-powerpc64le-di, fb-modules-5.10.0-27-marvell-di, fb-modules-5.10.0-27-686-di, fb-modules-5.10.0-28-4kc-malta-di, fb-modules-5.10.0-25-686-di, fb-modules-5.10.0-26-amd64-di, fb-modules-5.10.0-28-loongson-3-di, fb-modules-5.10.0-28-5kc-malta-di, fb-modules-5.10.0-26-686-di, fb-modules-5.10.0-25-arm64-di, fb-modules-5.10.0-25-686-pae-di, fb-modules-5.10.0-25-loongson-3-di, fb-modules-5.10.0-28-armmp-di, fb-modules-5.10.0-28-arm64-di, fb-modules-5.10.0-27-loongson-3-di, fb-modules-5.10.0-26-4kc-malta-di, fb-modules-5.10.0-26-loongson-3-di, fb-modules-5.10.0-26-686-pae-di, fb-modules-5.10.0-25-5kc-malta-di, fb-modules-5.10.0-25-marvell-di, fb-modules-5.10.0-27-amd64-di, fb-modules-5.10.0-25-4kc-malta-di, fb-modules-5.10.0-27-686-pae-di, fb-modules-5.10.0-28-686-pae-di, fb-modules-5.10.0-26-arm64-di, fb-modules-5.10.0-26-armmp-di, fb-modules-5.10.0-27-4kc-malta-di, fb-modules-5.10.0-28-powerpc64le-di, fb-modules-5.10.0-27-5kc-malta-di, fb-modules-5.10.0-27-armmp-di, fb-modules-5.10.0-28-amd64-di, fb-modules-5.10.0-26-5kc-malta-di, fb-modules-5.10.0-28-marvell-di
fb-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-686-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Frame buffer support
fb-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-686-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-686-pae-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-amd64-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-arm64-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-armmp-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-marvell-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Frame buffer support
fb-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-686-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Frame buffer support
fb-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-686-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-686-pae-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-amd64-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-arm64-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-armmp-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-marvell-di (5.10.209-2)
Frame buffer support
fb-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Frame buffer support
fb-music-high (0.1.2+nmu1)
High quality, large music files for Frozen-Bubble
fbautostart (2.718281828-1+b2)
XDG compliant autostarting app for Fluxbox
fbb (7.010-1)
Packet radio mailbox and utilities
fbcat (0.3-2)
framebuffer grabber
fbgrab
本虛擬套件由這些套件填實: fbcat
fbi (2.10-4)
Linux frame buffer image viewer
fbless (0.2.3-5)
terminal fiction book reader
fbpager (0.1.5~git20090221.1.8e0927e6-2+b1)
a pager application for the Fluxbox window manager
fbpanel (7.0-4.2)
lightweight X11 desktop panel
fbreader (0.12.10dfsg2-4)
e-book reader
fbset (2.1-32)
framebuffer device maintenance program
fbset-udeb (2.1-32)
framebuffer device maintenance program
fbterm (1.7-5)
fast framebuffer based terminal emulator for Linux
fbterm-ucimf (0.2.9-5)
ucimf input method interface for fbterm
fbtv (3.107-1)
television viewer - Linux framebuffer application
fbx-all (2)
FreedomBox package
fbx-tasks (2)
FreedomBox tasks for tasksel
fbxkb (0.6-3)
X11 keyboard indicator and switcher
fbzx (3.1.0-1) [contrib]
ZX Spectrum emulator
fccexam (1.0.7-1.1)
Study tool for USA FCC commercial radio license exams.
fceux (2.3.0+dfsg1-3)
all-in-one NES/Famicom Emulator
fcgiwrap (1.1.0-12)
simple server to run CGI applications over FastCGI
fcheck (2.7.59-24)
IDS filesystem baseline integrity checker
fcitx (1:4.2.9.8-3)
Flexible Input Method Framework
fcitx-anthy (0.2.3-2)
Fcitx wrapper for Anthy IM engine
fcitx-bin (1:4.2.9.8-3)
Flexible Input Method Framework - essential binaries
fcitx-chewing (0.2.3-1)
Fcitx wrapper for Chewing library
fcitx-config-common (0.4.10-3)
graphic Fcitx configuration tool - common files
fcitx-config-gtk (0.4.10-3)
graphic Fcitx configuration tool
fcitx-data (1:4.2.9.8-3)
Flexible Input Method Framework - essential data files
fcitx-dbus-status (2016062301-4)
Addon for Fcitx to set/get/monitor IM statuses via D-Bus
fcitx-frontend-all (1:4.2.9.8-3)
Flexible Input Method Framework - frontends metapackage
fcitx-frontend-fbterm (0.2.0-3+b1 [amd64], 0.2.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Flexible Input Method Framework - FbTerm frontend
fcitx-frontend-gtk2 (1:4.2.9.8-3)
Flexible Input Method Framework - GTK+ 2 IM Module frontend
fcitx-frontend-gtk3 (1:4.2.9.8-3)
Flexible Input Method Framework - GTK+ 3 IM Module frontend
fcitx-frontend-qt5 (1.2.5-1+b2)
Free Chinese Input Toy of X - Qt5 IM Module frontend
fcitx-googlepinyin (0.1.6-4)
Fcitx wrapper for Google Pinyin IM engine
fcitx-hangul (0.3.1-3)
Free Chinese Input Toy of X - hangul module
fcitx-imlist (0.5.1-6)
Command-line utility to switch list of Fcitx IM
fcitx-keyboard
本虛擬套件由這些套件填實: fcitx-modules
fcitx-kkc (0.1.4-2+b1)
Fcitx wrapper for libkkc IM engine
fcitx-kkc-dev (0.1.4-2)
Fcitx wrapper for libkkc - library development files
fcitx-libpinyin (0.5.4-1)
Fcitx wrapper for libpinyin
fcitx-libs (1:4.2.9.8-3)
Flexible Input Method Framework - metapackage for libraries
fcitx-libs-dev (1:4.2.9.8-3)
Flexible Input Method Framework - library development files
fcitx-m17n (0.2.4-2)
Free Chinese Input Toy of X - m17n module
fcitx-module-autoeng-ng (0.1.1~git20150311-2)
Fcitx autoeng module for Sogou pinyin
fcitx-module-cloudpinyin (0.3.7-1)
Flexible Input Method Framework - cloudpinyin module
fcitx-module-dbus (1:4.2.9.8-3)
Flexible Input Method Framework - D-Bus module and IPC frontend
fcitx-module-fullwidthchar-enhance (0.0~git20150311-3)
Fcitx fullwidthchar enhance module for Sogou pinyin
fcitx-module-kimpanel (1:4.2.9.8-3)
Flexible Input Method Framework - KIMPanel protocol module
fcitx-module-lua (1:4.2.9.8-3)
Flexible Input Method Framework - Lua module
fcitx-module-punc-ng (0.1.1~git20161101-2)
Fcitx punc module for Sogou pinyin
fcitx-module-x11 (1:4.2.9.8-3)
Flexible Input Method Framework - X11 module and XIM frontend
fcitx-modules (1:4.2.9.8-3)
Flexible Input Method Framework - core modules
fcitx-mozc (2.26.4220.100+dfsg-4)
Mozc engine for fcitx - Client of the Mozc input method
fcitx-mozc-data (2.26.4220.100+dfsg-4)
Mozc input method - data files for fcitx
fcitx-pinyin (1:4.2.9.8-3)
Flexible Input Method Framework - classic Pinyin engine
fcitx-qw (1:4.2.9.8-3)
Flexible Input Method Framework - QuWei engine
fcitx-rime (0.3.2-9)
Fcitx wrapper for RIME engine
fcitx-sayura (0.1.2-1)
Fcitx wrapper for Sayura IM engine
fcitx-skk (0.1.4-2)
Japanese SKK input engine for Fcitx
fcitx-sunpinyin (0.4.2-2+b1)
fcitx wrapper for Sunpinyin IM engine
fcitx-table (1:4.2.9.8-3)
Flexible Input Method Framework - table engine
fcitx-table-all (1:4.2.9.8-3)
Flexible Input Method Framework - tables metapackage
fcitx-table-amharic (0.2.4-2)
Flexible Input Method Framework - Amharic table
fcitx-table-arabic (0.2.4-2)
Flexible Input Method Framework - Arabic table
fcitx-table-array30 (0.3.8-3)
Flexible Input Method Framework - Array30 table
fcitx-table-array30-big (0.3.8-3)
Flexible Input Method Framework - Array30-Big table
fcitx-table-bingchan (1:4.2.9.8-3)
Flexible Input Method Framework - Bingchan table
fcitx-table-boshiamy (0.3.8-3)
Flexible Input Method Framework - Boshiamy table
fcitx-table-cangjie (1:4.2.9.8-3)
Flexible Input Method Framework - Cangjie table
fcitx-table-cangjie-big (0.3.8-3)
Flexible Input Method Framework - Cangjie-Big table
fcitx-table-cangjie3 (0.3.8-3)
Flexible Input Method Framework - Cangjie3 table
fcitx-table-cangjie5 (0.3.8-3)
Flexible Input Method Framework - Cangjie5 table
fcitx-table-cantonese (0.3.8-3)
Flexible Input Method Framework - Cantonese table
fcitx-table-cantonhk (0.3.8-3)
Flexible Input Method Framework - Cantonhk table
fcitx-table-cns11643 (0.2.4-2)
Flexible Input Method Framework - Cns11643 table
fcitx-table-compose (0.2.4-2)
Flexible Input Method Framework - Compose table
fcitx-table-dianbaoma (1:4.2.9.8-3)
Flexible Input Method Framework - Dianbaoma table
fcitx-table-easy-big (0.3.8-3)
Flexible Input Method Framework - Easy-Big table
fcitx-table-emoji (0.2.4-2)
Flexible Input Method Framework - Emoji table
fcitx-table-erbi (1:4.2.9.8-3)
Flexible Input Method Framework - Erbi table
fcitx-table-ipa-x-sampa (0.2.4-2)
Flexible Input Method Framework - IPA-X-SAMPA table
fcitx-table-jyutping (0.3.8-3)
Flexible Input Method Framework - Jyutping table
fcitx-table-latex (0.2.4-2)
Flexible Input Method Framework - LaTeX table
fcitx-table-malayalam-phonetic (0.2.4-2)
Flexible Input Method Framework - Malayalam phonetic table
fcitx-table-quick-classic (0.3.8-3)
Flexible Input Method Framework - Quick-Classic table
fcitx-table-quick3 (0.3.8-3)
Flexible Input Method Framework - Quick3 table
fcitx-table-quick5 (0.3.8-3)
Flexible Input Method Framework - Quick5 table
fcitx-table-rustrad (0.2.4-2)
Flexible Input Method Framework - Rustrad table
fcitx-table-scj6 (0.3.8-3)
Flexible Input Method Framework - Scj6 table
fcitx-table-stroke5 (0.3.8-3)
Flexible Input Method Framework - Stroke5 table
fcitx-table-t9 (0.3.8-3)
Flexible Input Method Framework - T9 table
fcitx-table-tamil-remington (0.2.4-2)
Flexible Input Method Framework - Tamil Remington table
fcitx-table-thai (0.2.4-2)
Flexible Input Method Framework - Thai table
fcitx-table-translit (0.2.4-2)
Flexible Input Method Framework - Translit table
fcitx-table-translit-ua (0.2.4-2)
Flexible Input Method Framework - Ukrainian Translit table
fcitx-table-viqr (0.2.4-2)
Flexible Input Method Framework - Viqr table
fcitx-table-wanfeng (1:4.2.9.8-3)
Flexible Input Method Framework - Wanfeng table
fcitx-table-wbpy (1:4.2.9.8-3)
Flexible Input Method Framework - WubiPinyin table
fcitx-table-wu (0.3.8-3)
Flexible Input Method Framework - Wu table
fcitx-table-wubi (1:4.2.9.8-3)
Flexible Input Method Framework - Wubi table
fcitx-table-wubi-large (0.3.8-3)
Flexible Input Method Framework - Wubi-Large table
fcitx-table-yawerty (0.2.4-2)
Flexible Input Method Framework - Yawerty table
fcitx-table-zhengma (0.3.8-3)
Flexible Input Method Framework - Zhengma table
fcitx-table-zhengma-large (0.3.8-3)
Flexible Input Method Framework - Zhengma-Large table
fcitx-table-ziranma (1:4.2.9.8-3)
Flexible Input Method Framework - Ziranma table
fcitx-tools (1:4.2.9.8-3)
Flexible Input Method Framework - various tools
fcitx-ui-classic (1:4.2.9.8-3)
Flexible Input Method Framework - Classic user interface
fcitx-ui-kimpanel
本虛擬套件由這些套件填實: fcitx-module-kimpanel
fcitx-ui-light (0.1.3-3+b1 [amd64], 0.1.3-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
light weight xlibs and xft based UI for Fcitx
fcitx-ui-qimpanel (2.1.3-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1.3-2 [armel, armhf])
Flexible Input Method Framework - Qt IMPanel user interface
fcitx-unikey (0.2.7-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.2.7-1 [armel, armhf])
Fcitx wrapper for Unikey engine
fcitx5 (5.0.5-1)
Next generation of Fcitx Input Method Framework
fcitx5-chewing (5.0.3-1)
Chewing input method support for fcitx5
fcitx5-chinese-addons (5.0.4-1+deb11u1)
Chinese-related addon for fcitx5 (metapackage)
fcitx5-chinese-addons-bin (5.0.4-1+deb11u1)
Chinese-related addon for fcitx5 (binary tools)
fcitx5-chinese-addons-data (5.0.4-1+deb11u1)
Chinese-related addon for fcitx5 (shared data files)
fcitx5-config-qt (5.0.3-3)
configuration tool for Fcitx5 (Qt version)
fcitx5-data (5.0.5-1)
Fcitx Input Method Framework v5 (common data files)
fcitx5-frontend-gtk2 (5.0.3-1)
GTK2 IM Module for fcitx5
fcitx5-frontend-gtk3 (5.0.3-1)
GTK3 IM Module for fcitx5
fcitx5-frontend-qt5 (5.0.3-1)
IM module for fcitx5
fcitx5-hangul (5.0.2-1)
Hangul input method wrapper for fcitx5
fcitx5-material-color (0.2.1-1)
UI theme for fcitx5 following Material Design
fcitx5-module-chttrans (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (chttrans module)
fcitx5-module-cloudpinyin (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (cloudpinyin module)
fcitx5-module-cloudpinyin-dev (5.0.4-1+deb11u1)
Development files for fcitx5 cloudpinyin module
fcitx5-module-dbus
本虛擬套件由這些套件填實: fcitx5-modules
fcitx5-module-emoji (5.0.5-1)
Fcitx Input Method Framework v5 (emoji module)
fcitx5-module-fullwidth (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (fullwidth module)
fcitx5-module-ibus
本虛擬套件由這些套件填實: fcitx5-modules
fcitx5-module-kimpanel
本虛擬套件由這些套件填實: fcitx5-modules
fcitx5-module-lua (5.0.3-1)
Lua support for fcitx5
fcitx5-module-lua-common (5.0.3-1)
Lua support for fcitx5 (common files)
fcitx5-module-lua-dev (5.0.3-1)
Lua support for fcitx5 (development files)
fcitx5-module-pinyinhelper (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (pinyinhelper module)
fcitx5-module-pinyinhelper-dev (5.0.4-1+deb11u1)
Development files for fcitx5 pinyinhelper module
fcitx5-module-punctuation (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (punctuation module)
fcitx5-module-punctuation-dev (5.0.4-1+deb11u1)
Development files for fcitx5 punctuation module
fcitx5-module-quickphrase (5.0.5-1)
Fcitx Input Method Framework v5 (quickphrase module)
fcitx5-module-quickphrase-editor (1.2.5-1+b2)
Flexible Input Method Framework - Quick Phrase editor module
fcitx5-module-wayland (5.0.5-1)
Fcitx Input Method Framework v5 (wayland modules)
fcitx5-module-xorg (5.0.5-1)
Fcitx Input Method Framework v5 (xorg modules)
fcitx5-modules (5.0.5-1)
Fcitx Input Method Framework v5 (core modules)
fcitx5-modules-dev (5.0.5-1)
Fcitx Input Method Framework v5 (input modules dev files)
fcitx5-mozc (2.26.4220.100+dfsg-4)
Mozc engine for fcitx5 - Client of the Mozc input method
fcitx5-pinyin (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (builtin pinyin support)
fcitx5-rime (5.0.4-1)
Rime input method support for fcitx5
fcitx5-skk (5.0.4-1)
Japanese SKK input engine for Fcitx5
fcitx5-table (5.0.4-1+deb11u1)
Fcitx Input Method Framework v5 (builtin table support)
fcm (2019.09.0-2)
Flexible Configuration Manager
fcml (1.2.2-2)
single-line assembler and disassembler
fcode-utils (1.0.2-8)
OpenBIOS FCode utilities
fcoe-utils (1.0.33+git20200925.848bcc6-1)
Fibre Channel over Ethernet utilities
fcrackzip (1.0-11)
password cracker for zip archives
fd-find (8.2.1-1+b1)
Simple, fast and user-friendly alternative to find
fdb5 (5.7.0-5)
Utilities for the FDB Fields Database
fdclone (3.01j-1)
console-base lightweight file manager
fdflush (1.0.1.3+b2 [i386], 1.0.1.3 [amd64])
Flush out-of-date disk buffers
fdisk (2.36.1-8+deb11u2) [security]
collection of partitioning utilities
fdisk-udeb (2.36.1-8+deb11u2) [security]
Manually partition a hard drive (fdisk)
fdkaac (1.0.0-1) [contrib]
command line encoder frontend for libfdk-aac
fdm (1.9+git20181219-1+b1 [amd64], 1.9+git20181219-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
fetching, filtering and delivering emails
fdm-materials (4.8-1)
3D printing material definitions for Cura
fdpowermon (1.19)
simple battery power monitor for laptops with ACPI
fdpowermon-icons (1.19)
icons for fdpowermon
fdroidcl (0.5.0-3+b3)
F-Droid desktop client
fdroidserver (2.0.3-1)
F-Droid build server and repository tools for Android
fdupes (1:2.1.2-1)
identifies duplicate files within given directories
fdutils (5.6-2)
Linux floppy utilities
feathernotes (0.8.0-1)
Hierarchical notes-manager (binaries)
feathernotes-l10n (0.8.0-1)
Hierarchical notes-manager (localization)
featherpad (0.17.1-1)
Lightweight Qt5 plain-text editor
featherpad-l10n (0.17.1-1)
Language package for featherpad
feature-check (0.2.2-7)
tool to query a program for supported features
feed2exec (0.17.1)
programmable feed reader
feed2exec-doc (0.17.1)
programmable feed reader - documentation files
feed2imap (1.2.7-1)
feed aggregator (RSS/Atom) which puts items on a IMAP mail server
feed2toot (0.16-1)
automatically parses rss feeds, and posts entries to the fediverse
feedbackd (0.0.0+git20210125-1)
DBus service for haptic/visual/audio feedback
feedbackd-common (0.0.0+git20210125-1)
Shared files for feedbackd
feedgnuplot (1.57-1)
Pipe-oriented frontend to Gnuplot
feedreader (2.10.0-1.1)
simple client for online RSS services like tt-rss and others
feersum (1.410-1)
PSGI engine for Perl based on EV/libev
feh (3.6.3-1)
imlib2 based image viewer
felix-latin (2.0-12)
Félix Gaffiot's Latin-French dictionary - viewer
felix-latin-data (2.0-12)
Félix Gaffiot's Latin-French dictionary - data
felix-main (5.0.0-5)
Execution environment for OSGi Felix Framework
fence-agents (4.7.1-1)
Fence Agents for Red Hat Cluster
fence-virt (1.0.0-1)
Pluggable fencing framework for virtual machines - agent
fence-virtd (1.0.0-1)
Pluggable fencing framework for virtual machines - daemon
fenics (1:2019.2.0.5)
Automated Solution of Differential Equations
fenicsx (1:2019.2.0.5)
Automated Solution of Differential Equations
fenicsx-performance-tests (0.0~git20210119.80e82ac-1)
Performance test codes for FEniCS/DOLFIN-X (binaries)
fenicsx-performance-tests-source (0.0~git20210119.80e82ac-1)
Performance test codes for FEniCS/DOLFIN-X (source)
fenix (0.92a.dfsg1-12.1)
development environment for making 2D games
fenix-dev (0.92a.dfsg1-12.1)
development environment for making 2D games - header files
fenix-plugin-mpeg (0.0.20070803-8)
mpeg plugin for the Fenix Game Development System
fenix-plugins (0.0.20070803-8)
plugins for the Fenix Game Development System
fenix-plugins-system (0.0.20070803-8)
system plugins for the Fenix Game Development System
fenrir (1.9.5-2)
Userland console (TTY) screen reader written in python
ferm (2.5.1-1)
maintain and setup complicated firewall rules
fernet-go (0.0~git20180830.9eac43b-2+b6)
tool for generates/verifies HMAC-based authentication tokens
ferret (0.7-2)
CASE tool for data model editing
ferret-datasets (7.6.0-2)
Datasets for use with Ferret Visualisation and analysis suite
ferret-vis (7.6.0-2)
Interactive data visualization and analysis environment
festival (1:2.5.0-5)
General multi-lingual speech synthesis system
festival-ca (3.0.6-1.1)
Catalan support for Festival speech synthesis system
festival-catalan-voice
本虛擬套件由這些套件填實: festvox-ca-ona-hts
festival-czech (0.3-5)
Czech support for Festival speech synthesis system
festival-dev (1:2.5.0-5)
Development kit for the Festival speech synthesis system
festival-doc (1:2.5.0-5)
Documentation for Festival
festival-freebsoft-utils (0.10-8)
Festival extensions and utilities
festival-hi (0.1-10)
festival text to speech synthesizer for Hindi language
festival-mr (0.1-10)
festival text to speech synthesizer for Marathi language
festival-te (0.3.3-5)
festival text to speech synthesizer for Telugu (te) language
festival-voice
本虛擬套件由這些套件填實: festvox-kdlpc8k, festvox-us2, festvox-suopuhe-lj, festvox-don, festvox-us3, festvox-rablpc16k, festvox-ru, festvox-hi-nsk, festvox-rablpc8k, festvox-en1, festvox-kallpc8k, festvox-kdlpc16k, festvox-te-nsk, festvox-suopuhe-mv, festvox-italp16k, festvox-mr-nsk, festvox-itapc16k, festvox-us1, festvox-kallpc16k, festvox-us-slt-hts
festlex-cmu (2.4-2)
CMU dictionary for Festival
festlex-ifd (2.0+debian0-6)
Italian support for Festival
festlex-oald (2.4-3) [non-free]
Festival lexicon from Oxford Advanced Learners' Dictionary
festlex-poslex (2.4-1)
Part of speech lexicons and ngram from English
festvox-16k
本虛擬套件由這些套件填實: festvox-rablpc16k
festvox-8k
本虛擬套件由這些套件填實: festvox-rablpc8k
festvox-ca-ona-hts (1.3-3)
Catalan female speaker for festival, 16kHz HTS
festvox-czech-dita (1.0.0-5)
Czech adult female speaker "dita" for Festival
festvox-czech-krb (1.0.0-5)
Czech child male speaker "krb" for Festival
festvox-czech-machac (1.0.0-5)
Czech adult male speaker "machac" for Festival
festvox-czech-ph (0.1-6)
Czech male speaker for Festival
festvox-don (1.4.0-5) [contrib]
minimal British English male speaker for festival
festvox-ellpc11k (1.95-1) [non-free]
Castilian Spanish male speaker for Festival
festvox-en1 (1.95-4) [contrib]
mbrola-en1 voice support for festival
festvox-hi-nsk (0.1-10)
Hindi male speaker for festival
festvox-italp16k (2.0+debian0-6)
Italian female speaker for Festival
festvox-itapc16k (2.0+debian0-6)
Italian male speaker for Festival
festvox-kallpc16k (2.4-1)
American English male speaker for festival, 16khz sample rate
festvox-kallpc8k (1.4.0-5)
American English male speaker for festival, 8khz sample rate
festvox-kdlpc16k (1.4.0-6)
American English male speaker for festival, 16khz sample rate
festvox-kdlpc8k (1.4.0-6)
American English male speaker for festival, 8khz sample rate
festvox-mr-nsk (0.1-10)
Marathi male speaker for festival
festvox-rablpc16k (2.4-1) [contrib]
British English male speaker for festival, 16khz sample rate
festvox-rablpc8k (1.4.0-3) [contrib]
British English male speaker for festival, 8khz sample rate
festvox-ru (0.5+dfsg-5)
Russian male speaker for Festival
festvox-suopuhe-common (1.0g-20051204-5)
Common files for Festival Finnish speakers
festvox-suopuhe-lj (1.0g-20051204-5)
Finnish female speaker for Festival
festvox-suopuhe-mv (20041119-3)
Finnish male speaker for festival
festvox-te-nsk (0.3.3-5)
Telugu (te) male speaker for festival
festvox-us-slt-hts (0.2010.10.25-3)
: US English voice for Festival. 32kHz sample rate, HTS
festvox-us1 (1.95-4) [contrib]
mbrola-us1 voice support for festival
festvox-us2 (1.95-4) [contrib]
mbrola-us2 voice support for festival
festvox-us3 (1.95-4) [contrib]
mbrola-us3 voice support for festival
fet (5.48.1-1)
timetable generator
fet-data (5.48.1-1)
timetable generator - documentation and examples
fetch-crl (3.0.20-1)
Downloads Certificate Revocation Lists
fetchmail (6.4.16-4+deb11u1)
SSL enabled POP3, APOP, IMAP mail gatherer/forwarder
fetchmail-ssl
本虛擬套件由這些套件填實: fetchmail
fetchyahoo (2.14.7-1.1)
Retrieve mail from Yahoo!'s webmail service
fever (1.0.16-1+b4)
fast, extensible, versatile event router for Suricata's EVE-JSON format
fex (20160919-2) [non-free]
web service for transferring very large files
fex-utils (20160919-2) [non-free]
web service for transferring very large files (utils)
feynmf (1.08-12)
set of LaTeX macros for creating Feynman diagrams
ffado-dbus-server (2.4.4-1)
FFADO D-Bus server
ffado-mixer-qt4 (2.4.4-1)
FFADO D-Bus mixer applets
ffado-tools (2.4.4-1)
FFADO debugging and firmware tools
ffcvt (1.6.1-1+b5)
ffmpeg convert wrapper tool
ffdiaporama (2.1+dfsg-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1+dfsg-1+b2 [armel, armhf])
Movie creator from photos and video clips
ffdiaporama-data (2.1+dfsg-1)
Data files for ffDiaporama
ffe (0.3.9-1+b1 [amd64], 0.3.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tool for parsing flat and CSV files and converting them to different formats
ffindex (0.9.9.9-4)
simple index/database for huge amounts of small files
ffjson (0.0~git20181028.e517b90-1.1)
faster JSON serialization for Go
fflas-ffpack (2.4.3-2)
Finite field linear algebra subroutines/package
fflas-ffpack-common (2.4.3-2)
Finite field linear algebra subroutines/package - common files
fflas-ffpack-dev-doc (2.4.3-2)
FFLAS-FFPACK Developer Documentation
fflas-ffpack-user-doc (2.4.3-2)
FFLAS-FFPACK User Documentation
ffmpeg (7:4.3.6-0+deb11u1)
Tools for transcoding, streaming and playing of multimedia files
ffmpeg-doc (7:4.3.6-0+deb11u1)
Documentation of the FFmpeg multimedia framework
ffmpeg2theora (0.30-2)
Theora video encoder using ffmpeg
ffmpegfs (2.2-1)
Fuse Multi Media Filesystem
ffmpegthumbnailer (2.1.1-0.2+b1)
fast and lightweight video thumbnailer
ffmpegthumbnailer-dbg (2.1.1-0.2+b1)
debugging information for ffmpegthumbnailer
ffmpegthumbs (4:20.12.0-1)
video thumbnail generator using ffmpeg
ffmsindex (2.23-4)
Command line tool to create ffms2 index files
ffproxy (1.6-12+b2)
Light and customizable http(s) proxy server with ipv6 support
fftw-dev (2.1.5-4.2+b2 [mips64el], 2.1.5-4.2+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
library for computing Fast Fourier Transforms
fftw-docs (2.1.5-4.2)
documentation for fftw
fftw-double-dev
本虛擬套件由這些套件填實: fftw-dev
fftw-single-dev
本虛擬套件由這些套件填實: sfftw-dev
fftw2 (2.1.5-4.2+b2 [mips64el], 2.1.5-4.2+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
library for computing Fast Fourier Transforms
fftw2-double
本虛擬套件由這些套件填實: fftw2
fftw2-single
本虛擬套件由這些套件填實: sfftw2
fftw3
本虛擬套件由這些套件填實: libfftw3-3
fftw3-dev
本虛擬套件由這些套件填實: libfftw3-dev
fftw3-doc
本虛擬套件由這些套件填實: libfftw3-doc
ffuf (1.1.0-1+b6)
Fast web fuzzer written in Go (program)
fgallery (1.8.2-2.1)
static HTML+JavaScript photo album generator
fgetty (0.7-7)
very small, efficient, console-only getty and login
fh2odg (0.9.6-2)
Freehand to OpenDocument converter
fheroes2
本虛擬套件由這些套件填實: fheroes2-pkg
fheroes2-pkg (0+svn20150122r3274-2-2) [contrib]
fheroes2 package downloader and builder
fhist (1.18-2.1)
File history, comparison and merge utilities
fiche (0.9.1-1+b1 [amd64], 0.9.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Receiver for command line output pastebin
fido2-tools (1.6.0-2)
command-line tools to configure and use a FIDO 2 token
fierce (1.4.0-2)
Domain DNS scanner
fig2dev (1:3.2.8-3+deb11u1)
Utilities for converting XFig figure files
fig2ps (1.5-7)
Converts xfig files into ps, eps or pdf files using LaTeX for processing text
fig2sxd (0.20-1+b2)
convert XFig files to OpenOffice.org format
figlet (2.2.5-3+b1 [amd64], 2.2.5-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Make large character ASCII banners out of ordinary text
figtoipe (1:7.2.20-1+b3)
convert FIG files to XML files for ipe
figtree (1.4.4-5)
graphical phylogenetic tree viewer
fil-plugins (0.3.0-6+b1 [amd64], 0.3.0-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
parametric equalizer LADSPA plugin
file (1:5.39-3+deb11u1)
Recognize the type of data in a file using "magic" numbers
file-kanji (1.1-20)
kanji code checker
file-preseed (1.109)
load debconf preseed file
file-roller (3.38.1-1)
archive manager for GNOME
filelight (4:20.12.0-1)
show where your diskspace is being used
filetea (0.1.18-1)
Web-based file sharing system
filetraq (0.3+nmu1)
Small utility to keep track of changes in config files
filezilla (3.52.2-3+deb11u1)
Full-featured graphical FTP/FTPS/SFTP client
filezilla-common (3.52.2-3+deb11u1)
Architecture independent files for filezilla
filler (1.02-6.3)
simple game where two players try to capture half the board
fillets-ng (1.0.1-4+b1)
puzzle game about witty fish saving the world sokoban-style
fillets-ng-data (1.0.1-1.1)
docs, graphics, music and international sounds for fillets-ng
fillets-ng-data-cs (1.0.1-1.1)
add-on sounds for Czech language spoken dialogs for fillets-ng
fillets-ng-data-nl (1.0.1-1.1)
add-on sounds for Dutch language spoken dialogs for fillets-ng
filo
本虛擬套件由這些套件填實: bedtools
filter (2.6.3+ds1-3+b1)
program that filters local email via forward/pipe
filtergen (0.12.8-1+b1)
packet filter generator for various firewall systems
filters (2.55-3+b1 [mips64el], 2.55-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
collected filters, including B1FF and the Swedish Chef
filtlong (0.2.0-2)
quality filtering tool for long reads of genome sequences
filtlong-data (0.2.0-2)
quality filtering tool for long reads of genome sequences (testdata)
fim (0.5.3-4)
scriptable frame buffer, X.org and ascii art image viewer
finch (2.14.1-1)
text-based multi-protocol instant messaging client
finch-dev (2.14.1-1)
text-based multi-protocol instant messaging client - development
findbugs (3.1.0~preview2-3)
Static java code analyzer to find bugs
findent (3.1.7-1)
indents/converts Fortran sources
findimagedupes (2.18-7+b2)
Finds visually similar or duplicate images
findutils (4.8.0-1)
utilities for finding files--find, xargs
finger (0.17-17)
查詢使用者資訊的程式
finger-server
本虛擬套件由這些套件填實: cfingerd
fingerd (0.17-17)
remote user information server
finish-install (2.104)
Finish the installation and reboot
fio (3.25-2)
flexible I/O tester
fiona (1.8.18-1+b1)
Command line tool for reading/writing vector geospatial data
fiona-doc (1.8.18-1)
Python API for reading/writing vector geospatial data (docs)
firebird-dev (3.0.7.33374.ds4-2)
Development files for Firebird
firebird-server
本虛擬套件由這些套件填實: firebird3.0-server
firebird-utils
本虛擬套件由這些套件填實: firebird3.0-utils
firebird3.0-common (3.0.7.33374.ds4-2)
common files for firebird 3.0 server, client and utilities
firebird3.0-common-doc (3.0.7.33374.ds4-2)
copyright, licensing and changelogs of firebird3.0
firebird3.0-doc (3.0.7.33374.ds4-2)
Documentation files for firebird database version 3.0
firebird3.0-examples (3.0.7.33374.ds4-2)
Examples for Firebird database
firebird3.0-server (3.0.7.33374.ds4-2)
Firebird Server - an RDBMS based on InterBase 6.0 code
firebird3.0-server-core (3.0.7.33374.ds4-2)
Firebird engine core
firebird3.0-utils (3.0.7.33374.ds4-2)
Firebird command line utilities
firefox-esr (115.10.0esr-1~deb11u1 [amd64, arm64, armhf, i386, ppc64el, s390x], 91.13.0esr-1~deb11u1 [mips64el], 78.15.0esr-1~deb11u1 [mipsel]) [security]
Mozilla Firefox web browser - Extended Support Release (ESR)
firefox-esr-l10n-ach (115.10.0esr-1~deb11u1) [security]
Acoli language package for Firefox ESR
firefox-esr-l10n-af (115.10.0esr-1~deb11u1) [security]
Afrikaans language package for Firefox ESR
firefox-esr-l10n-all (115.10.0esr-1~deb11u1) [security]
All language packages for Firefox ESR (meta)
firefox-esr-l10n-an (115.10.0esr-1~deb11u1) [security]
Aragonese language package for Firefox ESR
firefox-esr-l10n-ar (115.10.0esr-1~deb11u1) [security]
Arabic language package for Firefox ESR
firefox-esr-l10n-ast (115.10.0esr-1~deb11u1) [security]
Asturian language package for Firefox ESR
firefox-esr-l10n-az (115.10.0esr-1~deb11u1) [security]
Azerbaijani language package for Firefox ESR
firefox-esr-l10n-be (115.10.0esr-1~deb11u1) [security]
Belarusian language package for Firefox ESR
firefox-esr-l10n-bg (115.10.0esr-1~deb11u1) [security]
Bulgarian language package for Firefox ESR
firefox-esr-l10n-bn (115.10.0esr-1~deb11u1) [security]
Bengali language package for Firefox ESR
firefox-esr-l10n-bn-bd
本虛擬套件由這些套件填實: firefox-esr-l10n-bn
firefox-esr-l10n-bn-in
本虛擬套件由這些套件填實: firefox-esr-l10n-bn
firefox-esr-l10n-br (115.10.0esr-1~deb11u1) [security]
Breton language package for Firefox ESR
firefox-esr-l10n-bs (115.10.0esr-1~deb11u1) [security]
Bosnian language package for Firefox ESR
firefox-esr-l10n-ca (115.10.0esr-1~deb11u1) [security]
Catalan language package for Firefox ESR
firefox-esr-l10n-ca-valencia (115.10.0esr-1~deb11u1) [security]
Catalan (Valencia) language package for Firefox ESR
firefox-esr-l10n-cak (115.10.0esr-1~deb11u1) [security]
Kaqchikel language package for Firefox ESR
firefox-esr-l10n-cs (115.10.0esr-1~deb11u1) [security]
Czech language package for Firefox ESR
firefox-esr-l10n-cy (115.10.0esr-1~deb11u1) [security]
Welsh language package for Firefox ESR
firefox-esr-l10n-da (115.10.0esr-1~deb11u1) [security]
Danish language package for Firefox ESR
firefox-esr-l10n-de (115.10.0esr-1~deb11u1) [security]
German language package for Firefox ESR
firefox-esr-l10n-dsb (115.10.0esr-1~deb11u1) [security]
Lower Sorbian language package for Firefox ESR
firefox-esr-l10n-el (115.10.0esr-1~deb11u1) [security]
Modern Greek language package for Firefox ESR
firefox-esr-l10n-en-ca (115.10.0esr-1~deb11u1) [security]
English (Canada) language package for Firefox ESR
firefox-esr-l10n-en-gb (115.10.0esr-1~deb11u1) [security]
English (United Kingdom) language package for Firefox ESR
firefox-esr-l10n-eo (115.10.0esr-1~deb11u1) [security]
Esperanto language package for Firefox ESR
firefox-esr-l10n-es-ar (115.10.0esr-1~deb11u1) [security]
Spanish (Argentina) language package for Firefox ESR
firefox-esr-l10n-es-cl (115.10.0esr-1~deb11u1) [security]
Spanish (Chile) language package for Firefox ESR
firefox-esr-l10n-es-es (115.10.0esr-1~deb11u1) [security]
Spanish (Spain) language package for Firefox ESR
firefox-esr-l10n-es-mx (115.10.0esr-1~deb11u1) [security]
Spanish (Mexico) language package for Firefox ESR
firefox-esr-l10n-et (115.10.0esr-1~deb11u1) [security]
Estonian language package for Firefox ESR
firefox-esr-l10n-eu (115.10.0esr-1~deb11u1) [security]
Basque language package for Firefox ESR
firefox-esr-l10n-fa (115.10.0esr-1~deb11u1) [security]
Persian language package for Firefox ESR
firefox-esr-l10n-ff (115.10.0esr-1~deb11u1) [security]
Fulah language package for Firefox ESR
firefox-esr-l10n-fi (115.10.0esr-1~deb11u1) [security]
Finnish language package for Firefox ESR
firefox-esr-l10n-fr (115.10.0esr-1~deb11u1) [security]
French language package for Firefox ESR
firefox-esr-l10n-fur (115.10.0esr-1~deb11u1) [security]
Friulian language package for Firefox ESR
firefox-esr-l10n-fy-nl (115.10.0esr-1~deb11u1) [security]
Western Frisian (Netherlands) language package for Firefox ESR
firefox-esr-l10n-ga-ie (115.10.0esr-1~deb11u1) [security]
Irish (Ireland) language package for Firefox ESR
firefox-esr-l10n-gd (115.10.0esr-1~deb11u1) [security]
Scottish Gaelic language package for Firefox ESR
firefox-esr-l10n-gl (115.10.0esr-1~deb11u1) [security]
Galician language package for Firefox ESR
firefox-esr-l10n-gn (115.10.0esr-1~deb11u1) [security]
Guarani language package for Firefox ESR
firefox-esr-l10n-gu-in (115.10.0esr-1~deb11u1) [security]
Gujarati (India) language package for Firefox ESR
firefox-esr-l10n-he (115.10.0esr-1~deb11u1) [security]
Hebrew language package for Firefox ESR
firefox-esr-l10n-hi-in (115.10.0esr-1~deb11u1) [security]
Hindi (India) language package for Firefox ESR
firefox-esr-l10n-hr (115.10.0esr-1~deb11u1) [security]
Croatian language package for Firefox ESR
firefox-esr-l10n-hsb (115.10.0esr-1~deb11u1) [security]
Upper Sorbian language package for Firefox ESR
firefox-esr-l10n-hu (115.10.0esr-1~deb11u1) [security]
Hungarian language package for Firefox ESR
firefox-esr-l10n-hy-am (115.10.0esr-1~deb11u1) [security]
Armenian (Armenia) language package for Firefox ESR
firefox-esr-l10n-ia (115.10.0esr-1~deb11u1) [security]
Interlingua language package for Firefox ESR
firefox-esr-l10n-id (115.10.0esr-1~deb11u1) [security]
Indonesian language package for Firefox ESR
firefox-esr-l10n-is (115.10.0esr-1~deb11u1) [security]
Icelandic language package for Firefox ESR
firefox-esr-l10n-it (115.10.0esr-1~deb11u1) [security]
Italian language package for Firefox ESR
firefox-esr-l10n-ja (115.10.0esr-1~deb11u1) [security]
Japanese language package for Firefox ESR
firefox-esr-l10n-ka (115.10.0esr-1~deb11u1) [security]
Georgian language package for Firefox ESR
firefox-esr-l10n-kab (115.10.0esr-1~deb11u1) [security]
Kabyle language package for Firefox ESR
firefox-esr-l10n-kk (115.10.0esr-1~deb11u1) [security]
Kazakh language package for Firefox ESR
firefox-esr-l10n-km (115.10.0esr-1~deb11u1) [security]
Central Khmer language package for Firefox ESR
firefox-esr-l10n-kn (115.10.0esr-1~deb11u1) [security]
Kannada language package for Firefox ESR
firefox-esr-l10n-ko (115.10.0esr-1~deb11u1) [security]
Korean language package for Firefox ESR
firefox-esr-l10n-lij (115.10.0esr-1~deb11u1) [security]
Ligurian language package for Firefox ESR
firefox-esr-l10n-lt (115.10.0esr-1~deb11u1) [security]
Lithuanian language package for Firefox ESR
firefox-esr-l10n-lv (115.10.0esr-1~deb11u1) [security]
Latvian language package for Firefox ESR
firefox-esr-l10n-mk (115.10.0esr-1~deb11u1) [security]
Macedonian language package for Firefox ESR
firefox-esr-l10n-mr (115.10.0esr-1~deb11u1) [security]
Marathi language package for Firefox ESR
firefox-esr-l10n-ms (115.10.0esr-1~deb11u1) [security]
Malay language package for Firefox ESR
firefox-esr-l10n-my (115.10.0esr-1~deb11u1) [security]
Burmese language package for Firefox ESR
firefox-esr-l10n-nb-no (115.10.0esr-1~deb11u1) [security]
Norwegian Bokmål (Norway) language package for Firefox ESR
firefox-esr-l10n-ne-np (115.10.0esr-1~deb11u1) [security]
Nepali (Nepal) language package for Firefox ESR
firefox-esr-l10n-nl (115.10.0esr-1~deb11u1) [security]
Dutch language package for Firefox ESR
firefox-esr-l10n-nn-no (115.10.0esr-1~deb11u1) [security]
Norwegian Nynorsk (Norway) language package for Firefox ESR
firefox-esr-l10n-oc (115.10.0esr-1~deb11u1) [security]
Occitan language package for Firefox ESR
firefox-esr-l10n-pa-in (115.10.0esr-1~deb11u1) [security]
Panjabi (India) language package for Firefox ESR
firefox-esr-l10n-pl (115.10.0esr-1~deb11u1) [security]
Polish language package for Firefox ESR
firefox-esr-l10n-pt-br (115.10.0esr-1~deb11u1) [security]
Portuguese (Brazil) language package for Firefox ESR
firefox-esr-l10n-pt-pt (115.10.0esr-1~deb11u1) [security]
Portuguese (Portugal) language package for Firefox ESR
firefox-esr-l10n-rm (115.10.0esr-1~deb11u1) [security]
Romansh language package for Firefox ESR
firefox-esr-l10n-ro (115.10.0esr-1~deb11u1) [security]
Romanian language package for Firefox ESR
firefox-esr-l10n-ru (115.10.0esr-1~deb11u1) [security]
Russian language package for Firefox ESR
firefox-esr-l10n-sc (115.10.0esr-1~deb11u1) [security]
Sardinian language package for Firefox ESR
firefox-esr-l10n-sco (115.10.0esr-1~deb11u1) [security]
Scots language package for Firefox ESR
firefox-esr-l10n-si (115.10.0esr-1~deb11u1) [security]
Sinhala language package for Firefox ESR
firefox-esr-l10n-sk (115.10.0esr-1~deb11u1) [security]
Slovak language package for Firefox ESR
firefox-esr-l10n-sl (115.10.0esr-1~deb11u1) [security]
Slovenian language package for Firefox ESR
firefox-esr-l10n-son (115.10.0esr-1~deb11u1) [security]
Songhai languages language package for Firefox ESR
firefox-esr-l10n-sq (115.10.0esr-1~deb11u1) [security]
Albanian language package for Firefox ESR
firefox-esr-l10n-sr (115.10.0esr-1~deb11u1) [security]
Serbian language package for Firefox ESR
firefox-esr-l10n-sv-se (115.10.0esr-1~deb11u1) [security]
Swedish (Sweden) language package for Firefox ESR
firefox-esr-l10n-szl (115.10.0esr-1~deb11u1) [security]
Silesian language package for Firefox ESR
firefox-esr-l10n-ta (115.10.0esr-1~deb11u1) [security]
Tamil language package for Firefox ESR
firefox-esr-l10n-te (115.10.0esr-1~deb11u1) [security]
Telugu language package for Firefox ESR
firefox-esr-l10n-tg (115.10.0esr-1~deb11u1) [security]
Tajik language package for Firefox ESR
firefox-esr-l10n-th (115.10.0esr-1~deb11u1) [security]
Thai language package for Firefox ESR
firefox-esr-l10n-tl (115.10.0esr-1~deb11u1) [security]
Tagalog language package for Firefox ESR
firefox-esr-l10n-tr (115.10.0esr-1~deb11u1) [security]
Turkish language package for Firefox ESR
firefox-esr-l10n-trs (115.10.0esr-1~deb11u1) [security]
Chicahuaxtla Triqui language package for Firefox ESR
firefox-esr-l10n-uk (115.10.0esr-1~deb11u1) [security]
Ukrainian language package for Firefox ESR
firefox-esr-l10n-ur (115.10.0esr-1~deb11u1) [security]
Urdu language package for Firefox ESR
firefox-esr-l10n-uz (115.10.0esr-1~deb11u1) [security]
Uzbek language package for Firefox ESR
firefox-esr-l10n-vi (115.10.0esr-1~deb11u1) [security]
Vietnamese language package for Firefox ESR
firefox-esr-l10n-xh (115.10.0esr-1~deb11u1) [security]
Xhosa language package for Firefox ESR
firefox-esr-l10n-zh-cn (115.10.0esr-1~deb11u1) [security]
Chinese (China) language package for Firefox ESR
firefox-esr-l10n-zh-tw (115.10.0esr-1~deb11u1) [security]
Chinese (Taiwan) language package for Firefox ESR
firefox-esr-tree-style-tab (= 3.5.20-1)
本虛擬套件由這些套件填實: webext-treestyletab
firefox-form-history-control
本虛擬套件由這些套件填實: webext-form-history-control
firefox-lightbeam
本虛擬套件由這些套件填實: webext-lightbeam
firefox-tree-style-tab (= 3.5.20-1)
本虛擬套件由這些套件填實: webext-treestyletab
firehol (3.1.7+ds-2)
easy to use but powerful iptables stateful firewall (program)
firehol-common (3.1.7+ds-2)
easy to use but powerful traffic suite (common library)
firehol-doc (3.1.7+ds-2)
easy to use but powerful iptables stateful firewall (docs)
firehol-tools (3.1.7+ds-2)
easy to use but powerful traffic suite (extra tools)
firehol-tools-doc (3.1.7+ds-2)
easy to use but powerful traffic suite (extra tools docs)
firejail (0.9.64.4-2+deb11u1)
sandbox to restrict the application environment
firejail-profiles (0.9.64.4-2+deb11u1)
profiles for the firejail application sandbox
fireqos (3.1.7+ds-2)
easy to use but powerful traffic shaping tool (program)
fireqos-doc (3.1.7+ds-2)
easy to use but powerful traffic shaping tool (docs)
firetools (0.9.64-1)
Qt frontend for the Firejail application sandbox
firewall-applet (0.9.3-2)
panel applet providing status information of firewalld
firewall-config (0.9.3-2)
graphical configuration tool to change the firewall settings
firewalld (0.9.3-2)
dynamically managed firewall with support for network zones
firewire-core-modules
本虛擬套件由這些套件填實: firewire-core-modules-5.10.0-27-loongson-3-di, firewire-core-modules-5.10.0-25-powerpc64le-di, firewire-core-modules-5.10.0-28-686-di, firewire-core-modules-5.10.0-26-686-pae-di, firewire-core-modules-5.10.0-25-686-di, firewire-core-modules-5.10.0-26-686-di, firewire-core-modules-5.10.0-28-powerpc64le-di, firewire-core-modules-5.10.0-27-686-di, firewire-core-modules-5.10.0-26-powerpc64le-di, firewire-core-modules-5.10.0-25-loongson-3-di, firewire-core-modules-5.10.0-28-loongson-3-di, firewire-core-modules-5.10.0-25-686-pae-di, firewire-core-modules-5.10.0-26-loongson-3-di, firewire-core-modules-5.10.0-27-powerpc64le-di, firewire-core-modules-5.10.0-28-686-pae-di, firewire-core-modules-5.10.0-28-amd64-di, firewire-core-modules-5.10.0-27-686-pae-di, firewire-core-modules-5.10.0-25-amd64-di, firewire-core-modules-5.10.0-26-amd64-di, firewire-core-modules-5.10.0-27-amd64-di
firewire-core-modules-5.10.0-25-686-di (5.10.191-1) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-26-686-di (5.10.197-1)
Core FireWire drivers
firewire-core-modules-5.10.0-26-686-pae-di (5.10.197-1)
Core FireWire drivers
firewire-core-modules-5.10.0-26-amd64-di (5.10.197-1)
Core FireWire drivers
firewire-core-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Core FireWire drivers
firewire-core-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Core FireWire drivers
firewire-core-modules-5.10.0-27-686-di (5.10.205-2) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Core FireWire drivers
firewire-core-modules-5.10.0-28-686-di (5.10.209-2)
Core FireWire drivers
firewire-core-modules-5.10.0-28-686-pae-di (5.10.209-2)
Core FireWire drivers
firewire-core-modules-5.10.0-28-amd64-di (5.10.209-2)
Core FireWire drivers
firewire-core-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Core FireWire drivers
firewire-core-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Core FireWire drivers
firm-phoenix-ware (4.7.5+repack-1)
firmware necessary for boxes issued by project PHOENIX
firmware-adi
本虛擬套件由這些套件填實: firmware-misc-nonfree
firmware-amd-graphics (20210315-3) [non-free]
Binary firmware for AMD/ATI graphics chips
firmware-ath9k-htc (1.4.0-106-gc583009+dfsg1-1)
firmware for AR7010 and AR9271 USB wireless adapters
firmware-atheros (20210315-3) [non-free]
Binary firmware for Qualcomm Atheros wireless cards
firmware-b43-installer (1:019-7) [contrib]
firmware installer for the b43 driver
firmware-b43legacy-installer (1:019-7) [contrib]
firmware installer for the b43legacy driver
firmware-bnx2 (20210315-3) [non-free]
Binary firmware for Broadcom NetXtremeII
firmware-bnx2x (20210315-3) [non-free]
Binary firmware for Broadcom NetXtreme II 10Gb
firmware-brcm80211 (20210315-3) [non-free]
Binary firmware for Broadcom/Cypress 802.11 wireless cards
firmware-cavium (20210315-3) [non-free]
Binary firmware for Cavium Ethernet adapters
firmware-intel-sound (20210315-3) [non-free]
Binary firmware for Intel sound DSPs
firmware-intelwimax (20210315-3) [non-free]
Binary firmware for Intel WiMAX Connection
firmware-ipw2x00 (20210315-3) [non-free]
Binary firmware for Intel Pro Wireless 2100, 2200 and 2915
firmware-ivtv (20210315-3) [non-free]
Binary firmware for iTVC15-family MPEG codecs (ivtv and pvrusb2 drivers)
firmware-iwlwifi (20210315-3) [non-free]
Binary firmware for Intel Wireless cards
firmware-libertas (20210315-3) [non-free]
Binary firmware for Marvell wireless cards
firmware-linux (20210315-3) [non-free]
Binary firmware for various drivers in the Linux kernel (metapackage)
firmware-linux-free (20200122-1)
Binary firmware for various drivers in the Linux kernel
firmware-linux-nonfree (20210315-3) [non-free]
Binary firmware for various drivers in the Linux kernel (metapackage)
firmware-microbit-micropython (1.0.1-2)
MicroPython runtime for the BBC micro:bit
firmware-microbit-micropython-dl (1.2.4+dfsg-8) [contrib]
micro:bit MicroPython runtime downloader
firmware-microbit-micropython-doc (1.0.1-2)
MicroPython runtime for the BBC micro:bit (documentation)
firmware-misc-nonfree (20210315-3) [non-free]
Binary firmware for various drivers in the Linux kernel
firmware-myricom (20210315-3) [non-free]
Binary firmware for Myri-10G Ethernet adapters
firmware-netronome (20210315-3) [non-free]
Binary firmware for Netronome network adapters
firmware-netxen (20210315-3) [non-free]
Binary firmware for QLogic Intelligent Ethernet (3000 and 3100 Series)
firmware-nvidia-gsp (= 470.223.02)
本虛擬套件由這些套件填實: nvidia-kernel-support
firmware-nvidia-gsp-470.223.02
本虛擬套件由這些套件填實: nvidia-kernel-support, nvidia-tesla-470-kernel-support
firmware-nvidia-tesla-470-gsp (= 470.223.02)
本虛擬套件由這些套件填實: nvidia-tesla-470-kernel-support
firmware-qcom-media (20210315-3) [non-free]
Binary firmware for Qualcomm graphics/video (dummy package)
firmware-qcom-soc (20210315-3) [non-free]
Binary firmware for Qualcomm SoCs
firmware-qlogic (20210315-3) [non-free]
Binary firmware for QLogic HBAs
firmware-ralink
本虛擬套件由這些套件填實: firmware-misc-nonfree
firmware-realtek (20210315-3) [non-free]
Binary firmware for Realtek wired/wifi/BT adapters
firmware-samsung (20210315-3) [non-free]
Binary firmware for Samsung MFC video codecs
firmware-siano (20210315-3) [non-free]
Binary firmware for Siano MDTV receivers
firmware-sof-signed (1.7-1) [non-free]
Intel SOF firmware - signed
firmware-ti-connectivity (20210315-3) [non-free]
Binary firmware for TI Connectivity wifi and BT/FM/GPS adapters
firmware-tomu (2.0~rc7-2)
Bootloader for the EFM32HG Tomu Board
firmware-zd1211 (1:1.5-7) [non-free]
binary firmware for the zd1211rw wireless driver
fis-gtm (6.3-014-3)
metapackage for the latest version of FIS-GT.M database
fis-gtm-6.3-014 (6.3-014-3)
package for FIS-GT.M database
fische (3.2.2-4+b1)
stand-alone sound visualisation for Linux
fish (3.1.2-3+deb11u1)
friendly interactive shell
fish-common (3.1.2-3+deb11u1)
friendly interactive shell (architecture-independent files)
fitgcp (0.0.20150429-4)
fitting genome coverage distributions with mixture models
fitscut (1.4.4-5+b1)
Extract cutouts from FITS image format files
fitsh (0.9.4-1)
Software package for astronomical image processing
fitspng (1.4-1+b2)
FITS to PNG converter
fitsverify (4.20-3)
FITS File Format-Verification Tool
fityk (1.3.1-6)
general-purpose nonlinear curve fitting and data analysis
fiu-utils (1.00-7+b3)
userspace fault injection framework (utilities)
five-or-more (1:3.32.2-1)
make color lines of five or more length
fizmo
本虛擬套件由這些套件填實: fizmo-ncursesw, fizmo-sdl2, fizmo-console
fizmo-console (0.7.13-2+b1 [amd64], 0.7.13-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Console-based Z-machine interpreter for Infocom/Inform games
fizmo-ncursesw (0.7.14-2+b1)
Ncurses-based Z-machine interpreter for Infocom/Inform games
fizmo-sdl2 (0.8.5-2+b1 [amd64], 0.8.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
SDL2-based Z-machine interpreter for Infocom/Inform games
fizsh (1.0.9-1)
Friendly Interactive ZSHell
fl-cow (0.6-4.2+b1)
copy-on-write utility
flac (1.3.3-2+deb11u2)
Free Lossless Audio Codec - command line tools
flactag (2.0.4-5.1)
Tagger for whole-album FLAC files using data from MusicBrainz
flake (0.11-4)
Alternative encoder for the Free Lossless Audio Codec
flake8 (3.8.4-1)
code checker using pycodestyle and pyflakes
flam3 (3.1.1-4)
render and animate FLAM3s and manipulate their genomes
flamerobin (0.9.3.6-2)
graphical database administration tool for Firebird DBMS
flameshot (0.9.0+ds1-2+deb11u2)
Powerful yet simple-to-use screenshot software
flamethrower (0.1.8-6)
Multicast file distribution utility
flamp (2.2.05-1)
ham radio Amateur Multicast Protocol application
flang-16 (1:16.0.6-15~deb11u2)
Fortran compiler
flann-doc (1.9.1+dfsg-9)
Fast Library for Approximate Nearest Neighbors - documentation
flare (0.19-1.1)
Meta package to deal with package name changes in Flare 0.19
flare-data (0.19-1.1)
Meta package to deal with package name changes in Flare 0.19
flare-engine (1.09.01-1)
game engine for single-player 2D action role-playing games
flare-game (1.09.01-1)
fantasy single-player 2D action role-playing game
flash (1.2.11-2)
Fast Length Adjustment of SHort reads
flash-kernel (3.104)
utility to make certain embedded devices bootable
flash-kernel-installer (3.104)
Make the system bootable
flashbench (62-1+b1)
identify flash storage properties
flashrom (1.2-5)
Identify, read, write, erase, and verify BIOS/ROM/flash chips
flatbuffers-compiler (1.12.1~git20200711.33e2d80+dfsg1-0.6)
efficient cross platform serialization library - compiler
flatbuffers-compiler-dev (1.12.1~git20200711.33e2d80+dfsg1-0.6)
efficient cross platform serialization library - cmake files
flatlatex (0.8-1.1)
Python3 LaTeX math converter to unicode text - binaries
flatpak (1.10.8-0+deb11u2) [security]
Application deployment framework for desktop apps
flatpak-builder (1.0.12-1+deb11u1)
Flatpak application building helper
flatpak-builder-tests (1.0.12-1+deb11u1)
Application deployment framework for desktop apps (tests)
flatpak-tests (1.10.8-0+deb11u2) [security]
Application deployment framework for desktop apps (tests)
flatpak-xdg-utils (1.0.4-1)
xdg-open and xdg-email reimplementation for containerized apps
flatpak-xdg-utils-tests (1.0.4-1)
as-installed tests for flatpak-xdg-utils
flatzinc (6.2.0-5)
constraint problem modelling language
flawfinder (2.0.10-0.1)
examines source code and looks for security weaknesses
fldiff (1.1+0-6)
graphical diff program
fldigi (4.1.18-1)
digital modem program for hamradio operators
flent (2.0.0-3)
FLExible Network Tester for bufferbloat testing and more
flex (2.6.4-8)
fast lexical analyzer generator
flex-doc (2.6.4-8)
Documentation for flex (a fast lexical analyzer generator)
flex-old (2.5.4a-10.1)
Old version of the fast lexical analyzer generator
flex-old-doc (2.5.4a-10.1)
Documentation for an old flex (a fast lexical analyzer generator)
flexbackup (1.2.1-6.5)
Flexible backup tool for small to medium sized installations
flexbar (1:3.5.0-3)
flexible barcode and adapter removal for sequencing platforms
flexc++ (2.08.01-1)
Flex-style scanner generator for C++
flexloader (0.03-3+b1)
utility to configure SRAM based ALTERA devices
flexmem
本虛擬套件由這些套件填實: obexftp
flexml (1.9.6-5+b1)
Fast validating XML processors and applications generator
flexpart (9.02-23)
Particle Dispersion model for tracing air transport phenomena
flextra (5.0-14)
Trajectory model for tracing air transport phenomena
flickcurl-doc (1.26-5)
utilities to call the Flickr API from command line - documentation
flickcurl-utils (1.26-5)
utilities to call the Flickr API from command line
flight-of-the-amazon-queen (1.0.0-9)
classic 2D point and click fantasy adventure game
flightcrew (0.9.3+dfsg-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.3+dfsg-1+b2 [armel, armhf])
C++ epub validator
flightgear (1:2020.3.6+dfsg-1)
Flight Gear Flight Simulator
flightgear-data-ai (1:2020.3.6+dfsg-1)
FlightGear Flight Simulator -- standard AI data
flightgear-data-all (1:2020.3.6+dfsg-1)
FlightGear Flight Simulator - virtual package
flightgear-data-base (1:2020.3.6+dfsg-1)
FlightGear Flight Simulator -- base files
flightgear-data-models (1:2020.3.6+dfsg-1)
FlightGear Flight Simulator -- standard models
flightgear-phi (2018.1.1+dfsg1-1.1)
FlightGear Flight Simulator -- Phi webfrontend
flim (1:1.14.9+0.20201117-2)
library about internet message for emacsen
fling (1.1-3)
Transfer data from stdin over network to destination quickly
flintqs (1:1.0-3+b1 [amd64], 1:1.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Program using quadratic sieve to factor integers
flip (1.20-4)
convert text file line endings between Unix and DOS formats
flit (3.0.0-1)
simple way to put Python packages and modules on PyPI (PEP 517)
flite (2.2-2)
Small run-time speech synthesis engine
flite-dev
本虛擬套件由這些套件填實: flite1-dev
flite1-dev (2.2-2)
Small run-time speech synthesis engine - development files
flmsg (4.0.17-1)
amateur radio forms management editor
floatbg (1.0-28+b2)
slowly modify the color of the X root window
flobopuyo (0.20-5+b2)
Clone of the PuyoPuyo game
flog (1.8+orig-2)
dump STDIN to file and reopen on SIGHUP
floppyd (4.0.26-1)
Daemon for remote access to floppy drives
flowblade (2.6-3)
non-linear video editor
flowgrind (0.8.2-2)
Distributed network performance measurement tool
flowgrind-dbg (0.8.2-2)
Debugging version of flowgrind
flpsed (0.7.3-8)
WYSIWYG pseudo PostScript editor
flpsed-data (0.7.3-8)
WYSIWYG pseudo PostScript editor - data files
flrig (1.3.53-1)
ham radio transceiver control program
fltk1.1-doc (1.1.10-29)
Fast Light Toolkit - documentation
fltk1.1-games (1.1.10-29)
Fast Light Toolkit - example games: checkers, sudoku
fltk1.3-doc (1.3.5-3)
Fast Light Toolkit - documentation
fltk1.3-games (1.3.5-3)
Fast Light Toolkit - example games: checkers, sudoku
fluid (1.3.5-3)
Fast Light Toolkit - user interface designer
fluid-soundfont-gm (3.1-5.2)
Fluid (R3) General MIDI SoundFont (GM)
fluid-soundfont-gs (3.1-5.2)
Fluid (R3) General MIDI SoundFont (GS)
fluidr3mono-gm-soundfont (2.315-7)
Fluid (R3) Mono GM SoundFont from MuseScore 2.1 (lossy)
fluidsynth (2.1.7-1.1)
Real-time MIDI software synthesizer
fluidsynth-dssi (1.0.0-8)
DSSI wrapper for the FluidSynth SoundFont-playing synthesizer
fluxbox (1.3.5-2+b2)
Highly configurable and low resource X11 Window manager
flvmeta (1.2.1-1+b1)
Metadata injector for FLV video files
flvstreamer (2.1c1-1+b2)
command-line RTMP client
flwm (1.02+git2015.10.03+7dbb30-7)
Fast Light Window Manager
flwrap (1.3.5-1)
amateur radio file encapsulation/compression utility
flycheck-doc (32~git.20200527.9c435db3-2)
modern on-the-fly syntax checking for Emacs - documentation
flydraw (1:4.17b+svn13454~dfsg1-6)
command-line drawing tool
fmit (1.2.6-0.2+b1)
Free Music Instrument Tuner
fml-asm (0.1+git20190320.b499514-1+b1)
tool for assembling Illumina short reads in small regions
fmtools (2.0.8)
FM radio tuner
fnfx-client (0.3-16)
Client for customize fnfxd hot-keys
fnfxd (0.3-16)
ACPI and hotkey daemon for Toshiba laptops
fnotifystat (0.02.07-1)
file activity monitoring tool
fntsample (5.3-1+b2)
program for making font samples
focalinux-html (2010-09-3.1)
A full GNU/Linux Portuguese guide (html format)
focalinux-text (2010-09-3.1)
A full GNU/Linux Portuguese guide (text format)
focuswriter (1.7.6-2)
Fullscreen distraction-free writing program
foiltex (2.1.4b-4) [non-free]
collection of LaTeX files for making foils and slides
folks-common (0.14.0-1)
library to aggregates people into metacontacts (common files)
folks-tools (0.14.0-1+b1)
Telepathy backend for libfolks - database and import tools
foma (1:0.9.18+r243-8)
Tools for constructing various finite-state automata
foma-bin (1:0.9.18+r243-8)
Transitional package for foma
fomp (1.2.2-1)
collection of LV2 audio plugins
fondu (0.0.20060102-5)
convert between Mac and UNIX font formats
font-hosny-amiri
本虛擬套件由這些套件填實: fonts-hosny-amiri
font-manager (0.8.4-1)
font management application for the GNOME desktop
font-manager-common (0.8.4-1)
Common files for font-viewer and font-manager
font-viewer (0.8.4-1)
Full-featured font preview application for GTK Environments
fontconfig (2.13.1-4.2)
generic font configuration library - support binaries
fontconfig-config (2.13.1-4.2)
generic font configuration library - configuration
fontconfig-udeb (2.13.1-4.2)
generic font configuration library - minimal runtime
fontcustom (2.0.0+ds4-5.1)
custom icon webfonts from the comfort of the command line
fontforge (1:20201107~dfsg-4+deb11u1) [security]
font editor
fontforge-common (1:20201107~dfsg-4+deb11u1) [security]
font editor (common files)
fontforge-doc (1:20201107~dfsg-4+deb11u1) [security]
documentation for fontforge
fontforge-extras (1:20201107~dfsg-4+deb11u1) [security]
font editor - extra programs
fontforge-nox (1:20201107~dfsg-4+deb11u1) [security]
font editor - non-X version
fontforge-nox
本虛擬套件由這些套件填實: fontforge
fontmake (2.3.0-2)
Compile fonts from UFO or Glyphs to OTF/TTF
fontmanager.app (0.1-3)
Font manager for GNUstep
fontmatrix (0.9.99-2)
featureful personal font manager
fonts-3270 (2.2.1-1)
monospaced font based on IBM 3270 terminals
fonts-adf-accanthis (0.20190904-2)
Accanthis font of the Arkandis Digital Foundry
fonts-adf-baskervald (0.20190904-2)
Baskervald font of the Arkandis Digital Foundry
fonts-adf-berenis (0.20190904-2)
Berenis font of the Arkandis Digital Foundry
fonts-adf-gillius (0.20190904-2)
Gillius font of the Arkandis Digital Foundry
fonts-adf-ikarius (0.20190904-2)
Ikarius font of the Arkandis Digital Foundry
fonts-adf-irianis (0.20190904-2)
Irianis font of the Arkandis Digital Foundry
fonts-adf-libris (0.20190904-2)
Libris font of the Arkandis Digital Foundry
fonts-adf-mekanus (0.20190904-2)
Mekanus font of the Arkandis Digital Foundry
fonts-adf-oldania (0.20190904-2)
Oldania font of the Arkandis Digital Foundry
fonts-adf-romande (0.20190904-2)
Romande font of the Arkandis Digital Foundry
fonts-adf-solothurn (0.20190904-2)
Solothurn font of the Arkandis Digital Foundry
fonts-adf-switzera (0.20190904-2)
Switzera font of the Arkandis Digital Foundry
fonts-adf-tribun (0.20190904-2)
Tribun font of the Arkandis Digital Foundry
fonts-adf-universalis (0.20190904-2)
Universalis font of the Arkandis Digital Foundry
fonts-adf-verana (0.20190904-2)
Verana font of the Arkandis Digital Foundry
fonts-aenigma (0.0.20080511+dfsg-4)
465 free TrueType fonts by Brian Kent
fonts-agave (37-1)
monospaces programming font
fonts-aksharyogini2 (1.0-2)
aksharyogini2 devanagari normal style font
fonts-alee (13.3)
free Hangul TrueType fonts
fonts-allerta (2.01+dfsg1-4)
Signage font
fonts-ancient-scripts (2.60-1.1)
Unicode Fonts for Ancient Scripts
fonts-android-udeb (1:6.0.1r16-1.1)
sans-serif font family released with Android Ice Cream Sandwich
fonts-anonymous-pro (1.003-4)
fixed width font designed for coders
fonts-aoyagi-kouzan-t (20160404-6)
Brush-style Japanese font
fonts-aoyagi-soseki (20070207-17)
Brush-style Japanese font, Aoyagi-Soseki
fonts-apropal (1.0-2)
Sans-serif font for decorative signs, one of the Warsaw Types
fonts-arabeyes (2.1-7)
Arabeyes GPL TrueType Arabic fonts
fonts-arapey (0+git20200408-2)
contemporary modern typeface
fonts-arkpandora (2.04-1.1)
Replacement fonts for Microsoft's Arial, Times, and Verdana fonts
fonts-arphic-bkai00mp (2.10-21)
"AR PL KaitiM Big5" Chinese TrueType font by Arphic Technology
fonts-arphic-bsmi00lp (2.10-18)
"AR PL Mingti2L Big5" Chinese TrueType font by Arphic Technology
fonts-arphic-gbsn00lp (2.11-16)
"AR PL SungtiL GB" Chinese TrueType font by Arphic Technology
fonts-arphic-gkai00mp (2.11-16)
"AR PL KaitiM GB" Chinese TrueType font by Arphic Technology
fonts-arphic-ukai (0.2.20080216.2-5)
"AR PL UKai" Chinese Unicode TrueType font collection Kaiti style
fonts-arphic-uming (0.2.20080216.2-11)
"AR PL UMing" Chinese Unicode TrueType font collection Mingti style
fonts-arundina (0.3.2-1)
Thai DejaVu-compatible fonts
fonts-atarismall (2.2-5)
Very small 4 x 8 font
fonts-averia-gwf (1.00-3.1)
Avería GWF font family
fonts-averia-sans-gwf (1.00-2.1)
Avería Sans GWF font family
fonts-averia-serif-gwf (1.00-2.1)
Avería Serif GWF font family
fonts-b612 (1.008-3)
legible font designed to be used on aircraft cockpit screens
fonts-babelstone-han (12.1.5-1)
BabelStone Fonts for Han
fonts-babelstone-modern (6.002-1.1)
BabelStone latin modern font
fonts-baekmuk (2.2-13)
Baekmuk series Korean fonts
fonts-bajaderka (1.0-3)
Warsaw's sign painters styled font
fonts-bebas-neue (3.0-2)
Flat, rounded style font designed by Ryoichi Tsunekawa
fonts-beng (2:1.3)
Metapackage to install Bengali and Assamese fonts
fonts-beng-extra (1.0-7)
TrueType fonts for Bengali language
fonts-beteckna (0.5-3)
geometric Futura-like sans-serif TrueType font
fonts-blankenburg (0.1-3)
Modern blackletter font
fonts-bpg-georgian (2012-5)
BPG Georgian fonts
fonts-breip (1:0.5.1-3)
informal handwriting font
fonts-bwht (0.1-3)
Six handwritten fonts created for the Because We Had To project
fonts-cabin (1.5-3)
humanist sans serif font
fonts-cabinsketch (1.02-3)
playful sister of the Cabin font family
fonts-campania (2.009-2)
font for Roman numeral analysis (music theory)
fonts-cantarell (0.111-3)
sans serif font family designed for on-screen readability
fonts-cardo (1.04-3)
font for scholarly use in classical and medieval languages
fonts-cascadia-code (2102.03-1)
monospaced font designed to enhance appearance of Windows Terminal
fonts-cegui (0.8.7-9)
Crazy Eddie's GUI (fonts)
fonts-century-catalogue (001.001-7)
century-style font revival
fonts-cherrybomb (3.00+dfsg-2)
Bubbly title font
fonts-circos-symbols (0.69.9+dfsg-2)
plotter for visualizing data - standard fonts
fonts-clear-sans (1.00-2)
sharp on-screen sans-serif font
fonts-cmu (0.7.0-4)
sets the computer modern unicode fonts
fonts-cns11643-kai (103.1+20181001-1)
Chinese TrueType font, TW-Kai
fonts-cns11643-pixmaps (103.1+20181001-1)
Chinese TrueType font, PNG 24x24 pixmaps
fonts-cns11643-sung (103.1+20181001-1)
Chinese TrueType font, TW-Sung
fonts-comfortaa (3.001-3)
stylish, modern true type font
fonts-comic-neue (2.51-2)
less horrible remake of Comic Sans
fonts-compagnon (0.2-2)
typeface family composed of five distinctive styles
fonts-courier-prime (0+git20190115-3)
redesign of the Courier font
fonts-croscore (20201225-1)
width-compatible fonts for improved on-screen readability
fonts-crosextra-caladea (20130214-2.1)
Serif font metric-compatible with the Cambria font
fonts-crosextra-carlito (20130920-1.1)
Sans-serif font metric-compatible with Calibri font
fonts-cwtex-docs (1.0-4)
TrueType Font from cwTeX - example documents
fonts-cwtex-fs (1.0-4)
TrueType Font from cwTeX - FangSong
fonts-cwtex-heib (1.0-4)
TrueType Font from cwTeX - HeiBold
fonts-cwtex-kai (1.0-4)
TrueType Font from cwTeX - Kai
fonts-cwtex-ming (1.0-4)
TrueType Font from cwTeX - Ming
fonts-cwtex-yen (1.0-4)
TrueType Font from cwTeX - Yen
fonts-dancingscript (1.2-2)
lively casual script with bouncing letters and size changes
fonts-dclfonts (7.4.1-1)
GFD-DENNOU Club Library (DCL) - font files
fonts-ddc-uchen (1.0-1.2)
ddc Dzongkha Development Commission free OpenType Tibetan font
fonts-dejavu (2.37-2)
metapackage to pull in fonts-dejavu-core and fonts-dejavu-extra
fonts-dejavu-core (2.37-2)
Vera font family derivate with additional characters
fonts-dejavu-extra (2.37-2)
Vera font family derivate with additional characters (extra variants)
fonts-dejavu-mono-udeb (2.37-2)
Monospace Vera font family derivate with additional characters
fonts-dejavu-udeb (2.37-2)
Vera font family derivate with additional characters
fonts-dejima-mincho (227-16)
antique-looking Japanese TrueType Mincho font
fonts-denemo (2.5.0-1)
music notation symbol fonts for Denemo
fonts-deva (2:1.3)
Meta package to install all Devanagari fonts
fonts-deva-extra (3.0-5)
Free fonts for Devanagari script
fonts-dkg-handwriting (0.16-2)
font that imitates Daniel Kahn Gillmor's handwriting
fonts-dosis (1.7-3)
very simple, rounded, sans serif font family
fonts-dotgothic16 (1.000-20210120-2)
TrueType font based on the old 16x16 Gothic bitmap
fonts-droid-fallback (1:6.0.1r16-1.1)
handheld device font with extensive style and language support (fallback)
fonts-dseg (0.46-1)
Original 7-segment and 14-segment fonts
fonts-dustin (20030517-14)
various TrueType fonts from dustismo.com
fonts-dzongkha (0.3-9)
TrueType fonts for Dzongkha language
fonts-ebgaramond (0.016-4)
EB Garamond OpenType fonts
fonts-ebgaramond-extra (0.016-4)
EB Garamond Initials and TrueType fonts
fonts-ecolier-court (1.00-6)
cursive roman font with small descenders
fonts-ecolier-lignes-court (1.00-7)
cursive roman font (with réglure Seyès and small descenders)
fonts-eeyek (1.0-5)
Eeyek TrueType font for Meetei Mayek script
fonts-elstob (1.014+ds-1)
font for medievalists
fonts-elusive-icons (2.0.0-4)
iconic font and CSS framework
fonts-engadget (1.001-1-5)
Modern font from John Stracke
fonts-entypo (3.0.0-3)
icon fonts of dingbat style by Daniel Bruce
fonts-essays1743 (2.100-4)
Essays 1743 TrueType font
fonts-eurofurence (4.0-2)
family of geometric rounded sans serif fonts
fonts-evertype-conakry (0.002+source-4)
smart Graphite font for N'Ko
fonts-f500 (1.0-10)
Wipeout 3 Font
fonts-fantasque-sans (1.7.2~alpha.3~dfsg-2)
monospaced font variant for programmers
fonts-fanwood (1.1-7)
font similar to the Fairfield font
fonts-farsiweb (0.4.dfsg-13)
free TrueType fonts for Persian language
fonts-farsiweb-udeb (0.4.dfsg-13)
Farsiweb TrueType fonts for the graphical installer
fonts-femkeklaver (1.0-3)
simple handwriting font
fonts-ferrite-core (2.0-1)
geometric shaped font lending it a futurist look
fonts-firacode (5.2+dfsg1-2)
Monospaced font with programming ligatures
fonts-font-awesome (5.0.10+really4.7.0~dfsg-4.1)
iconic font designed for use with Twitter Bootstrap
fonts-fork-awesome (1.1.5+ds1-2)
fork of the iconic font and CSS toolkit
fonts-freefarsi (1.0.0~beta1-8)
FreeFarsi TrueType Persian fonts
fonts-freefont-otf (20120503-10)
Freefont Serif, Sans and Mono OpenType fonts
fonts-freefont-ttf (20120503-10)
Freefont Serif, Sans and Mono Truetype fonts
fonts-freefont-udeb (20120503-10)
Freefont Sans fonts for the graphical installer
fonts-gamaliel (0.1-2)
black letter face
fonts-gargi (2.0-5)
OpenType Devanagari font
fonts-gemunu-libre (1.001-3)
new interpretation to FM Gamunu font
fonts-georgewilliams (20031023-3)
Free unicode TrueType fonts by George Williams
fonts-gfs-artemisia (1.1-6)
Greek font (Times Greek-like)
fonts-gfs-baskerville (1.1-6)
ancient Greek font revival
fonts-gfs-bodoni-classic (1.1-6)
smart greek typeface revival
fonts-gfs-complutum (1.1-7)
ancient Greek font revival from the University of Alcalá, Spain
fonts-gfs-didot (1.1-7)
greek font family (Didot revival)
fonts-gfs-didot-classic (1.1-6)
greek font family (Classic Didot revival)
fonts-gfs-gazis (1.1-6)
ancient Greek font (Byzantine cursive hand style)
fonts-gfs-neohellenic (1.1-7)
modern Greek font family with matching Latin
fonts-gfs-olga (1.1-6)
ancient Greek oblique font revival (companion to GFS Didot)
fonts-gfs-porson (1.1-7)
Greek font (Porson revival)
fonts-gfs-solomos (1.1-6)
ancient Greek oblique font
fonts-gfs-theokritos (1.1-6)
decorative Greek font
fonts-glasstty (0-2)
VT220 terminal font
fonts-glyphicons-halflings (1.009~3.4.1+dfsg-2)
icons made for smaller graphic
fonts-gnutypewriter (1.0-2)
Multilingual font imitating a real typewriter
fonts-go (0~20170330-1)
high-quality WGL4 TrueType fonts for Go project
fonts-gotico-antiqua (0+git20190704-4)
15th century types between gothic and roman
fonts-goudybookletter (2010.07.03-6)
Old style roman font
fonts-gubbi (1.3-5)
Gubbi free font for Kannada script
fonts-gujr (2:1.4)
Meta package to install all Gujarati fonts
fonts-gujr-extra (1.0.1-1)
Free fonts for Gujarati script
fonts-guru (2:1.3)
Meta package to install all Punjabi fonts
fonts-guru-extra (2.0-5)
Free fonts for Punjabi language
fonts-hack (3.003-3)
Typeface designed for source code
fonts-hack-otf (3.003-3)
Hack OpenType fonts (transitional package for fonts-hack)
fonts-hack-ttf (3.003-3)
Hack TrueType fonts (transitional package for fonts-hack)
fonts-hack-web (3.003-3)
Hack web fonts (transitional package for fonts-hack)
fonts-hanazono (20170904-2.1)
Japanese TrueType mincho font by KAGE system and FontForge
fonts-havana (1.0-3)
Old communism style script font from Poland
fonts-hermit (1.1.1+git20200723-2.1)
Monospace Hermit Font for programming
fonts-horai-umefont (670-5)
Japanese TrueType font, Ume-font
fonts-hosny-amiri (0.113-1)
Arabic Naskh style typographically oriented font
fonts-hosny-thabit (0.02-2.1)
fixed width OpenType Arabic fonts
fonts-humor-sans (1.0-4)
Font that looks like handwritten text
fonts-ibm-plex (5.1.3-1) [contrib]
extensive typeface family designed by IBM
fonts-inconsolata (001.010-6)
monospace font for pretty code listings and for the terminal
fonts-indic (2:1.4)
Meta package to install all Indian language fonts
fonts-installer
本虛擬套件由這些套件填實: fonts-khmeros-udeb
fonts-ipaexfont (00401-3)
Japanese OpenType font, all IPAex Fonts
fonts-ipaexfont-gothic (00401-3)
Japanese OpenType font, IPAex Gothic Font
fonts-ipaexfont-mincho (00401-3)
Japanese OpenType font, IPAex Mincho Font
fonts-ipafont (00303-21)
Japanese OpenType font set, all IPA Fonts
fonts-ipafont-gothic (00303-21)
Japanese OpenType font set, IPA Gothic and IPA P Gothic Fonts
fonts-ipafont-mincho (00303-21)
Japanese OpenType font set, IPA Mincho and IPA P Mincho Fonts
fonts-ipafont-nonfree-jisx0208 (1:00103-7) [non-free]
Japanese TrueType font, IPAfont (JISX0208)
fonts-ipafont-nonfree-uigothic (00203-26) [non-free]
Japanese TrueType font, IPAfont UI Gothic
fonts-ipamj-mincho (005.01-2.1)
Japanese OpenType font, IPAmj Mincho Font
fonts-isabella (1.202-4)
Isabella free TrueType font
fonts-japanese-gothic
本虛擬套件由這些套件填實: fonts-ipafont-gothic, fonts-takao-gothic, fonts-mona, fonts-ipaexfont-gothic, fonts-vlgothic, fonts-umeplus-cl, fonts-ipafont-nonfree-jisx0208
fonts-japanese-mincho
本虛擬套件由這些套件填實: fonts-ipafont-mincho, fonts-umeplus-cl, fonts-ipafont-nonfree-jisx0208, fonts-hanazono, fonts-takao-mincho, fonts-ipaexfont-mincho
fonts-jetbrains-mono (2.225+ds-1)
free and open-source typeface for developers
fonts-johnsmith-induni (20101012-7.1)
OTF fonts with exhaustive set of Roman characters
fonts-joscelyn (1.012+ds-2)
authentic secretary hand font
fonts-jsmath (0.090709+0-4)
TeX fonts to display jsMath pages
fonts-junction (20110525.18de841-1.1)
humanist sans-serif typeface
fonts-junicode (1.002-2)
Unicode font for medievalists (Latin, IPA and Runic)
fonts-jura (5.103-3)
typewrite like sans-serif font
fonts-kacst (2.01+mry-15)
KACST free TrueType Arabic fonts
fonts-kacst-one (5.0+svn11846-10)
TrueType font designed for Arabic language
fonts-kalapi (1.0-4)
Kalapi Gujarati Unicode font
fonts-kanjistrokeorders (4.003~dfsg-1)
font to view stroke order diagrams for Kanji, Kana and etc
fonts-karla (20160111-1)
Grotesque Sans Serif Latin typeface
fonts-karmilla (016+git20201117+85100cc-2)
Grotesque Sans Serif Latin typeface, extended
fonts-katex (0.10.2+dfsg-8)
Fast math typesetting for the web (fonts)
fonts-kaushanscript (1.02-2.1)
script font that feels like writing quickly with an inked brush
fonts-khmeros (5.0-9)
KhmerOS Unicode fonts for the Khmer language of Cambodia
fonts-khmeros-udeb (5.0-9)
Debian-Installer font for the Khmer language of Cambodia
fonts-kiloji (1:2.1.0-25)
Japanese Truetype font like longhand made by "kilo"
fonts-klaudia-berenika (2011-09-03-2)
font family based on Celtic inscriptions
fonts-klee (1.000-20210121-2)
script font handwritten by pencil or pen
fonts-knda (2:1.3)
Meta package for Kannada fonts
fonts-knda-udeb (1.3-5)
Free fonts for Kannada language (udeb)
fonts-komatuna (20101113-15)
modified Konatu and M+ fonts, Komatuna
fonts-konatu (20121218-11)
TrueType Japanese Font originally for BeOS
fonts-kouzan-mouhitsu (20170411-3)
Brush-style Japanese font, Kouzan-Mouhitsu
fonts-kristi (20100000-2.1)
casual cursive script typeface with a "felt-tip pen" feel
fonts-lao (0.0.20060226-10)
TrueType font for Lao language
fonts-lao-udeb (0.0.20060226-10)
TrueType font for Lao language
fonts-larabie-deco (1:20011216-5) [non-free]
Decorative fonts from www.larabiefonts.com
fonts-larabie-straight (1:20011216-5) [non-free]
Straight fonts from www.larabiefonts.com
fonts-larabie-uncommon (1:20011216-5) [non-free]
Special decorative fonts from www.larabiefonts.com
fonts-lato (2.0-2.1)
sans-serif typeface family font
fonts-ldco (1.0.0.part3-1.1)
set of Hebrew fonts by Louis Davis & Co.
fonts-le-murmure (0.2-2)
titling font with many variated glyphs
fonts-league-mono (2.220+ds-2)
monospace typeface inspired by other mono fonts
fonts-league-spartan (2.210-1)
Bold, modern, geometric sans-serif font
fonts-leckerli-one (20110000-1.1)
Leckerli One font
fonts-lemonada (4.004+git20190612-1)
modern Arabic and Latin typeface family
fonts-levien-museum (001.002-5)
metal Centaur fonts revival family
fonts-levien-typoscript (000.001-5)
classic ATF Typo Script revival font
fonts-lexi-gulim (20090423-3)
Lexi Gulim Korean font
fonts-lexi-saebom (20100129-3.1)
Lexi Saebom Korean font
fonts-lg-aboriginal (1.0-6.1)
unicode fonts for North-American Aboriginal languages
fonts-liberation (1:1.07.4-11)
Fonts with the same metrics as Times, Arial and Courier
fonts-liberation2 (2.1.3-1)
Fonts with the same metrics as Times, Arial and Courier (v2)
fonts-lindenhill (1.2-6)
Regular and italic serif font
fonts-linex (2.2-8)
Fonts suitable for education and institutional use
fonts-linuxlibertine (5.3.0-6)
Linux Libertine family of fonts
fonts-lklug-sinhala (0.6-3.1)
Unicode Sinhala font by Lanka Linux User Group
fonts-lklug-sinhala-udeb (0.6-3.1)
Unicode Sinhala font by Lanka Linux User Group
fonts-lmodern (2.004.5-6.1)
OpenType fonts based on Computer Modern
fonts-lobster (2.0-2.1)
bold condensed script with many ligatures and alternates
fonts-lobstertwo (2.0-2.1)
updated and improved family version of the Lobster font
fonts-lohit-beng-assamese (2.91.5-2)
Lohit TrueType font for Assamese Language
fonts-lohit-beng-bengali (2.91.5-2)
Lohit TrueType font for Bengali Language
fonts-lohit-deva (2.95.4-4)
Lohit TrueType font for Devanagari script
fonts-lohit-deva-marathi (2.94.2-3)
Lohit TrueType font for Marathi
fonts-lohit-deva-nepali (2.94.2-3)
Lohit TrueType font for Nepali
fonts-lohit-gujr (2.92.4-4)
Lohit TrueType font for Gujarati Language
fonts-lohit-guru (2.91.2-2)
Lohit TrueType font for Punjabi Language
fonts-lohit-guru-udeb (2.91.2-2)
Lohit TrueType font for Punjabi Language (udeb)
fonts-lohit-knda (2.5.4-3)
Lohit TrueType font for Kannada Language
fonts-lohit-mlym (2.92.2-2)
Lohit TrueType font for Malayalam Language
fonts-lohit-orya (2.91.2-2)
Lohit TrueType font for Oriya Language
fonts-lohit-taml (2.91.3-2)
Lohit TrueType font for Tamil Language
fonts-lohit-taml-classical (2.5.4-2)
Lohit Tamil TrueType fonts for Tamil script
fonts-lohit-telu (2.5.5-2)
Lohit TrueType font for Telugu Language
fonts-lyx (2.3.6-1)
TrueType versions of some TeX fonts used by LyX
fonts-manchufont (2.007.svn0068-5.1)
Smart OpenType font for Manchu script
fonts-material-design-icons-iconfont (6.1.0+dfsg-1)
Material Design icons DX
fonts-materialdesignicons-webfont (1.6.50-3)
font containing Material Design Icons
fonts-mathjax (2.7.9+dfsg-1)
JavaScript display engine for LaTeX and MathML (fonts)
fonts-mathjax-extras (2.7.9+dfsg-1)
JavaScript display engine for LaTeX and MathML (extra fonts)
fonts-meera-inimai (2.0-2)
free font for Tamil script
fonts-meera-taml (2.0-2)
Transitional dummy package for fonts-meera-inimai
fonts-migmix (20200307-1)
High quality Japanese font based on M+ fonts and IPA fonts
fonts-mikachan (9.1-11) [non-free]
handwritten Japanese Truetype font
fonts-millimetre (0.2-2)
geometric, constructed sans serif font
fonts-misaki (20191019-2)
Japanese Gothic and Mincho font from "Misaki" bitmap font
fonts-mlym (2:1.3)
Meta package to install all Malayalam fonts
fonts-mlym-udeb (7.0.2-1)
Free fonts for Malayalam language (udeb)
fonts-mmcedar (20101113a-5.1)
MMCedar - combined Motoya L Cedar and M+fonts
fonts-moe-standard-kai (20120530-2) [non-free]
Chinese TrueType font, standard Kaiti (non-free)
fonts-moe-standard-song (20120530-2) [non-free]
Chinese TrueType font, standard Song (non-free)
fonts-mona (1:2.90-1.1)
Japanese TrueType font for 2ch ASCII art
fonts-monapo (20170722-3)
Japanese TrueType font, Monapo
fonts-monlam (1.0-2)
Monlam truetype Tibetan fonts
fonts-monofur (1.0-2)
terminal font with rounded shapes
fonts-monoid (0.61-3)
open source coding font with bitmap-like sharpness (normal tracking)
fonts-monoid-halfloose (0.61-3)
open source coding font with bitmap-like sharpness (half loose tracking)
fonts-monoid-halftight (0.61-3)
open source coding font with bitmap-like sharpness (half tight tracking)
fonts-monoid-loose (0.61-3)
open source coding font with bitmap-like sharpness (loose tracking)
fonts-monoid-tight (0.61-3)
open source coding font with bitmap-like sharpness (tight tracking)
fonts-mononoki (1.2+dfsg2-2)
font for programming and code review
fonts-motoya-l-cedar (1.01-5)
Japanese Truetype font, Motoya L Cedar
fonts-motoya-l-maruberi (1.01-5)
Japanese Truetype font, Motoya L Maruberi
fonts-mph-2b-damase (001.000.dfsg.2+ds1-7)
font with character ranges from Unicode 4.1
fonts-mplus (063-3)
M+ sans serif fonts with different weights
fonts-nafees (1.2-5.1)
nafees free OpenType Urdu fonts
fonts-nakula (1.0-4)
Free Unicode compliant Devanagari font
fonts-nanum (20200506-1)
Nanum Korean fonts
fonts-nanum-coding (2.5-3)
transitional package
fonts-nanum-eco (1.000-7)
Nanum Eco Korean font
fonts-nanum-extra (20200506-1)
Additional Nanum Korean fonts
fonts-naver-d2coding (1.3.2-2)
D2Coding fixed-width Korean font
fonts-navilu (1.2-3)
Handwriting font for Kannada
fonts-noto (20201225-1)
metapackage to pull in all Noto fonts
fonts-noto-cjk (1:20201206-cjk+repack1-1)
"No Tofu" font families with large Unicode coverage (CJK regular and bold)
fonts-noto-cjk-extra (1:20201206-cjk+repack1-1)
"No Tofu" font families with large Unicode coverage (CJK all weight)
fonts-noto-color-emoji (0~20200916-1)
color emoji font from Google
fonts-noto-core (20201225-1)
"No Tofu" font families with large Unicode coverage (core)
fonts-noto-extra (20201225-1)
"No Tofu" font families with large Unicode coverage (extra)
fonts-noto-hinted (20201225-1)
obsolete metapackage to pull in a subset of Noto fonts
fonts-noto-hinted-udeb (20201225-1)
"No Tofu" font families with large Unicode coverage (d-i default)
fonts-noto-mono (20201225-1)
"No Tofu" monospaced font family with large Unicode coverage
fonts-noto-ui-core (20201225-1)
"No Tofu" font families with large Unicode coverage (UI core)
fonts-noto-ui-extra (20201225-1)
"No Tofu" font families with large Unicode coverage (UI extra)
fonts-noto-unhinted (20201225-1)
"No Tofu" font families with large Unicode coverage (unhinted)
fonts-noto-unhinted-udeb (20201225-1)
"No Tofu" font families with large Unicode coverage (d-i optional)
fonts-ocr-a (1.0-10)
ANSI font readable by the computers of the 1960s
fonts-ocr-b (0.2~dfsg1-5.1)
machine-readable typeface as used in passports
fonts-oflb-asana-math (000.907-7)
extended smart Unicode Math font
fonts-oflb-euterpe (1.1-6)
unicode musical font
fonts-okolaks (0.6.0-2)
decorative, sans serif font
fonts-oldstandard (2.2really-4)
smart font with wide range of Latin, Greek and Cyrillic characters
fonts-open-sans (1.11-1.1)
humanist sans serif typeface by Steve Matteson
fonts-opendin (1.0-4)
Open DIN font
fonts-opendyslexic (20160623-4)
font designed to increase readability for readers with dyslexia
fonts-opensymbol (2:102.11+LibO7.0.4-4+deb11u8)
OpenSymbol TrueType font
fonts-oradano-mincho-gsrr (0.2018-3)
Retro style (Meiji period) Japanese font
fonts-orya (2:1.3)
Meta package to install all Odia fonts
fonts-orya-extra (2.0-6)
Free fonts for Odia script
fonts-osifont (0+git20190626-2)
ISO 3098-compliant TrueType font for CAD projects
fonts-oxygen (4:5.4.3-4)
Oxygen font family
fonts-pagul (1.0-8)
Free TrueType font for the Sourashtra language
fonts-paktype (0.0svn20121225-3)
PakType free OpenType Urdu fonts
fonts-paratype (20181108-3)
ParaType font collection for the languages of Russia
fonts-pc (1.0-2)
TrueType conversions of PC ROM fonts
fonts-pc-extra (1.0-2)
TrueType conversions of PC ROM fonts (less popular variants)
fonts-play (1.002+20150307.1-0.1)
minimalistic sans serif typeface
fonts-povray (1:3.7.0.8-5)
Persistence of vision raytracer (3D renderer) fonts
fonts-powerline (2.8.1-4)
prompt and statusline utility (symbols font)
fonts-prociono (2.3-6)
font similar to the one used for the Debian logo
fonts-proggy (1.1.1+git20200723-2.1)
Monospaced bitmap programming font
fonts-quattrocento (1.1-2.1)
classic, elegant, sober and strong Roman typeface
fonts-quicksand (0.2016-2.1)
sans-serif font with round attributes
fonts-radisnoir (0.9b-3.2)
font inspired by Bayer Universal and Bauhaus
fonts-rampart (1.000-20210120-2)
unique outline shadow font made in the image of 3-D blocks
fonts-recommended (1)
set of recommended fonts
fonts-reggae (1.000-20210120-2)
display font often used in Japanese boys' magazines and digital content
fonts-ricty-diminished (4.1.1-3)
font based on Inconsolata and Circle M+ 1m for programming
fonts-rit-sundar (2.0.0+20170909-2)
ornamental font for Malayalam script
fonts-roadgeek (3.1-3)
Set of fonts replicating various road sign typefaces
fonts-roboto (2:0~20170802-3)
metapackage to pull in Roboto fonts
fonts-roboto-fontface (0.5.0-3)
largely geometric, friendly and open curves font
fonts-roboto-hinted (2:0~20170802-3)
Google's signature family of fonts (transitional package)
fonts-roboto-slab (1.100263+20170512-2)
Google's signature family of fonts (slab)
fonts-roboto-unhinted (2:0~20170802-3)
Google's signature family of fonts (unhinted)
fonts-rocknroll (1.000-20210120-2)
pop-style font
fonts-rufscript (010-6)
handwriting-based font for Latin characters
fonts-sahadeva (1.0-5)
Free Unicode compliant Devanagari font
fonts-sambhota-tsugring (1.0-1.1)
sambhota tsugring free OpenType Tibetan font
fonts-sambhota-yigchung (1.2-2.1)
sambhota yigchung free OpenType Tibetan font
fonts-samyak (1.2.2-5)
Meta package to install all Samyak TrueType fonts
fonts-samyak-deva (1.2.2-5)
Samyak TrueType font for Devanagari script
fonts-samyak-gujr (1.2.2-5)
Samyak TrueType font for Gujarati language
fonts-samyak-mlym (1.2.2-5)
Samyak TrueType font for Malayalam language
fonts-samyak-orya (1.2.2-5)
Samyak TrueType font for Odia language
fonts-samyak-taml (1.2.2-5)
Samyak TrueType font for Tamil language
fonts-sarai (1.0-3)
truetype font for devanagari script
fonts-sawarabi-gothic (20161015-4)
gothic font for Japanese
fonts-sawarabi-mincho (20210415-1)
Japanese mincho font - Sawarabi Mincho font
fonts-senamirmir-washra (4.1-10)
collection of unicode fonts for the Ethiopic script
fonts-seto (6.20-8)
handwriting Japanese font including JIS X 0213 kanji
fonts-sil-abyssinica (2.000-1)
Unicode font for the Ethiopic script
fonts-sil-abyssinica-udeb (2.000-1)
Debian-Installer font for Ethiopian and Erythrean scripts (Amharic et al.)
fonts-sil-akatab (1.000-2)
Akatab is a Tifinagh font
fonts-sil-alkalami (1.200-1)
Font for Arabic-based writing systems in the Kano region
fonts-sil-andika (5.000-3.1)
extended smart Unicode Latin/Greek font family for literacy
fonts-sil-andika-compact (5.000-3)
Smart Unicode Latin/Greek fonts for literacy (tight spacing version)
fonts-sil-andikanewbasic (5.500-3.1)
smart Unicode Latin font family for literacy (more faces, limited charset)
fonts-sil-annapurna (1.204-2)
smart font for languages using Devanagari script
fonts-sil-awami-nastaliq (2.000-1)
Smart Unicode font for the Nastaliq script
fonts-sil-charis (5.000-3.1)
smart Unicode font family for Roman or Cyrillic-based writing systems
fonts-sil-charis-compact (5.000-2)
smart Unicode fonts for Latin and Cyrillic scripts (tight spacing version)
fonts-sil-dai-banna (2.200-2)
smart Unicode font for Dai Banna (Xishuangbanna Dai)
fonts-sil-doulos (5.000-4.1)
smart Unicode font for Latin and Cyrillic scripts
fonts-sil-doulos-compact (5.000-3)
smart Unicode font for Latin and Cyrillic scripts (tight spacing version)
fonts-sil-ezra (2.51-9)
smart Unicode font for Hebrew
fonts-sil-galatia (2.1-6)
font family for Latin-1 and Greek (polytonic support)
fonts-sil-gentium (20081126:1.03-4)
extended Unicode Latin font ("a typeface for the nations")
fonts-sil-gentium-basic (1.102-1.1)
smart Unicode font families (Basic and Book Basic) based on Gentium
fonts-sil-gentiumplus (5.000-2.1)
extended smart font family for Latin, Greek and Cyrillic
fonts-sil-gentiumplus-compact (5.000-4)
extended smart font family for Latin, Greek and Cyrillic
fonts-sil-harmattan (2.000-1)
Arabic script font designed for use by languages in West Africa
fonts-sil-lateef (1.200-1)
OpenType Arabic font for Sindhi and other languages of South Asia
fonts-sil-mingzat (1.000-3)
Unicode font for the Lepcha script
fonts-sil-mondulkiri (7.100-3)
Mondulkiri OpenType font family for Khmer script
fonts-sil-mondulkiri-extra (5.300-2)
Mondulkiri OpenType font family for Khmer script - additional fonts
fonts-sil-nuosusil (2.200-1)
Unicode font for Yi (a script used in southwestern China)
fonts-sil-padauk (4.000-1)
Burmese Unicode TrueType font with OpenType and Graphite support
fonts-sil-padauk-udeb (4.000-1)
Debian-Installer font for the Burmese language
fonts-sil-scheherazade (2.100-2.1)
smart Unicode font for Arabic
fonts-sil-scheherazade-udeb (2.100-2.1)
Scheherazade font for the graphical installer
fonts-sil-shimenkan (1.000-3)
Miao (Pollard) fonts
fonts-sil-shimenkan-gsm (1.000-3)
Miao (Pollard) fonts for Gepo / Bai Yi [ygp]
fonts-sil-shimenkan-guifan (1.000-3)
Miao (Pollard) fonts for Large Flowery Miao, normalised orthography [hmdd]
fonts-sil-shimenkan-mas (1.000-3)
Miao (Pollard) fonts for Small Flowery Miao / Xiaohua Miao [sfm]
fonts-sil-shimenkan-mgs (1.000-3)
Miao (Pollard) fonts for Sinicized Miao / Waishu Miao / Hmong Shua [hmz]
fonts-sil-shimenkan-salaowu (1.000-3)
Miao (Pollard) fonts for Wuding-Luquan Yi / Hei Yi / Nasu [ywqa]
fonts-sil-shimenkan-sapushan (1.000-3)
Miao (Pollard) fonts for Large Flowery Miao / Dahua Miao / A-Hmao [hmd]
fonts-sil-shimenkan-taogu (1.000-3)
Miao (Pollard) fonts for Lipo / Dong Lisu [lpo]
fonts-sil-shimenkan-zonghe (1.000-3)
Miao (Pollard) fonts for Aluo / Gan Yi [yna]
fonts-sil-sophia-nubian (1.000-8)
smart Unicode font family for Nubian languages using Coptic
fonts-sil-tagmukay (2.000-2.1)
Tifinagh Unicode TrueType font with OT and Graphite support
fonts-sil-taiheritagepro (2.600-2)
typeface reflecting the traditional hand-written style of the Tai Viet script
fonts-sil-zaghawa-beria (1.001-1)
font for Zaghawa Beria (script used in western Sudan and eastern Chad)
fonts-sipa-arundina (0.3.2-1)
transitional package
fonts-sjfonts (2.1-2)
Some Juicy Fonts handwriting fonts
fonts-smc (1:7.2)
Metapackage for various TrueType fonts for Malayalam Language
fonts-smc-anjalioldlipi (7.1.2-2)
AnjaliOldLipi malayalam font
fonts-smc-chilanka (1.530-1)
Chilanka malayalam font
fonts-smc-dyuthi (3.0.2-2)
Dyuthi malayalam font
fonts-smc-gayathri (1.100-2)
Gayathri Malayalam font
fonts-smc-karumbi (1.1.2-2)
Karumbi malayalam font
fonts-smc-keraleeyam (3.0.2-2)
Keraleeyam malayalam font
fonts-smc-manjari (1.920-1)
Manjari malayalam font
fonts-smc-meera (7.0.3-1)
Meera malayalam font
fonts-smc-rachana (7.0.2-1)
Rachana malayalam font
fonts-smc-raghumalayalamsans (2.2.1-1)
RaghuMalayalamSans malayalam font
fonts-smc-suruma (3.2.3-1)
Suruma malayalam font
fonts-smc-uroob (2.0.2-1)
Uroob malayalam font
fonts-solide-mirage (0.2-2)
libre experimental didone style typeface
fonts-spleen (1.8.2-1)
monospaced font for consoles and terminals
fonts-staypuft (0.04-10)
Free TrueType font
fonts-stick (1.000-20210120-2)
font designed with straight lines, wide versatility for use
fonts-stix (1.1.1-4.1)
Scientific and Technical Information eXchange fonts
fonts-summersby (1.007-12)
Free TrueType typeface font
fonts-symbola (2.60-1.1)
symbolic font providing emoji characters from Unicode 9.0
fonts-takao (00303.01-3)
Japanese TrueType font set, Takao Fonts
fonts-takao-gothic (00303.01-3)
Japanese TrueType font set, Takao Gothic Fonts
fonts-takao-mincho (00303.01-3)
Japanese TrueType font set, Takao Mincho Fonts
fonts-taml (2:1.4)
Meta package to install all Tamil fonts
fonts-taml-tamu (1.0-4)
TAMu family of non Unicode fonts for Tamil script
fonts-taml-tscu (1.0-3)
TSCu family of non Unicode fonts for Tamil script
fonts-taml-udeb (1.2.2-5)
Free TrueType fonts for Tamil language (udeb)
fonts-telu (2:1.3)
Meta package to install all Telugu fonts
fonts-telu-extra (2.0-5)
Free fonts for Telugu script
fonts-telu-udeb (2.5.5-2)
Free fonts for Telugu script (udeb)
fonts-teluguvijayam (2.1-1)
TrueType fonts for Telugu script (te)
fonts-terminus (1.1.1+git20200723-2.1)
Terminus monospace font
fonts-terminus-otb (4.48-3)
Terminus - fast reading fixed-width OpenType bitmap fonts
fonts-texgyre (20180621-3.1)
OpenType fonts based on URW Fonts
fonts-thai-tlwg (1:0.7.2-1)
Thai fonts maintained by TLWG (metapackage)
fonts-thai-tlwg-otf (1:0.7.2-1)
Thai OpenType fonts maintained by TLWG (metapackage)
fonts-thai-tlwg-ttf (1:0.7.2-1)
Thai TrueType fonts maintained by TLWG (metapackage)
fonts-thai-tlwg-udeb (1:0.7.2-1)
Thai fonts in TrueType format for D-I use
fonts-thai-tlwg-web (1:0.7.2-1)
Thai web fonts maintained by TLWG
fonts-tibetan-machine (1.901b-5.1)
font for Tibetan, Dzongkha and Ladakhi (OpenType Unicode)
fonts-tibetan-machine-udeb (1.901b-5.1)
font for Tibetan, Dzongkha and Ladakhi (OpenType Unicode)
fonts-tiresias (0.1-6)
Fonts for the visually impaired
fonts-tlwg-garuda (1:0.7.2-1)
Thai Garuda font (dependency package)
fonts-tlwg-garuda-otf (1:0.7.2-1)
Thai Garuda OpenType font
fonts-tlwg-garuda-ttf (1:0.7.2-1)
Thai Garuda TrueType font
fonts-tlwg-kinnari (1:0.7.2-1)
Thai Kinnari font (dependency package)
fonts-tlwg-kinnari-otf (1:0.7.2-1)
Thai Kinnari OpenType font
fonts-tlwg-kinnari-ttf (1:0.7.2-1)
Thai Kinnari TrueType font
fonts-tlwg-laksaman (1:0.7.2-1)
Thai Laksaman font (dependency package)
fonts-tlwg-laksaman-otf (1:0.7.2-1)
Thai Laksaman OpenType font
fonts-tlwg-laksaman-ttf (1:0.7.2-1)
Thai Laksaman TrueType font
fonts-tlwg-loma (1:0.7.2-1)
Thai Loma font (dependency package)
fonts-tlwg-loma-otf (1:0.7.2-1)
Thai Loma OpenType font
fonts-tlwg-loma-ttf (1:0.7.2-1)
Thai Loma TrueType font
fonts-tlwg-mono (1:0.7.2-1)
Thai TlwgMono font (dependency package)
fonts-tlwg-mono-otf (1:0.7.2-1)
Thai TlwgMono OpenType font
fonts-tlwg-mono-ttf (1:0.7.2-1)
Thai TlwgMono TrueType font
fonts-tlwg-norasi (1:0.7.2-1)
Thai Norasi font (dependency package)
fonts-tlwg-norasi-otf (1:0.7.2-1)
Thai Norasi OpenType font
fonts-tlwg-norasi-ttf (1:0.7.2-1)
Thai Norasi TrueType font
fonts-tlwg-purisa (1:0.7.2-1)
Thai Purisa font (dependency package)
fonts-tlwg-purisa-otf (1:0.7.2-1)
Thai Purisa OpenType font
fonts-tlwg-purisa-ttf (1:0.7.2-1)
Thai Purisa TrueType font
fonts-tlwg-sawasdee (1:0.7.2-1)
Thai Sawasdee font (dependency package)
fonts-tlwg-sawasdee-otf (1:0.7.2-1)
Thai Sawasdee OpenType font
fonts-tlwg-sawasdee-ttf (1:0.7.2-1)
Thai Sawasdee TrueType font
fonts-tlwg-typewriter (1:0.7.2-1)
Thai TlwgTypewriter font (dependency package)
fonts-tlwg-typewriter-otf (1:0.7.2-1)
Thai TlwgTypewriter OpenType font
fonts-tlwg-typewriter-ttf (1:0.7.2-1)
Thai TlwgTypewriter TrueType font
fonts-tlwg-typist (1:0.7.2-1)
Thai TlwgTypist font (dependency package)
fonts-tlwg-typist-otf (1:0.7.2-1)
Thai TlwgTypist OpenType font
fonts-tlwg-typist-ttf (1:0.7.2-1)
Thai TlwgTypist TrueType font
fonts-tlwg-typo (1:0.7.2-1)
Thai TlwgTypo font (dependency package)
fonts-tlwg-typo-otf (1:0.7.2-1)
Thai TlwgTypo OpenType font
fonts-tlwg-typo-ttf (1:0.7.2-1)
Thai TlwgTypo TrueType font
fonts-tlwg-umpush (1:0.7.2-1)
Thai Umpush font (dependency package)
fonts-tlwg-umpush-otf (1:0.7.2-1)
Thai Umpush OpenType font
fonts-tlwg-umpush-ttf (1:0.7.2-1)
Thai Umpush TrueType font
fonts-tlwg-waree (1:0.7.2-1)
Thai Waree font (dependency package)
fonts-tlwg-waree-otf (1:0.7.2-1)
Thai Waree OpenType font
fonts-tlwg-waree-ttf (1:0.7.2-1)
Thai Waree TrueType font
fonts-tomsontalks (1.1-9)
comic lettering font
fonts-train (1.000-20210120-2)
gothic-style typeface made with an outer and inner line
fonts-triod-postnaja (20130809-2)
Latin+Cyrillic font based on Old Church Slavonic service books
fonts-tuffy (20120614-2.1)
The Tuffy Truetype Font Family
fonts-ubuntu (0.83-4) [non-free]
sans-serif font set from Ubuntu
fonts-ubuntu-console (0.83-4) [non-free]
console version of the Ubuntu Mono font
fonts-ubuntu-font-family-console (1:0.83-4) [non-free]
console version of the Ubuntu Mono font (transitional package)
fonts-ubuntu-title (1:0.3-2.1)
font used to create the Ubuntu logo (2004‒2010)
fonts-ukij-uyghur (20110217-4)
fonts for Uyghur language
fonts-ukij-uyghur-udeb (20110217-4)
uyghur font for the graphical installer (UKIJEkran)
fonts-umeplus (20180604-3)
Japanese TrueType gothic fonts, based on Umefont and M+Font
fonts-umeplus-cl (20180604-2)
Japanese TrueType font, based on Ume Gothic Classic and M+ fonts
fonts-unfonts-core (1:1.0.2-080608-16)
Un series Korean TrueType fonts
fonts-unfonts-extra (1:1.0.2-080608+dfsg-9)
Un series Korean TrueType fonts (extra)
fonts-unifont (1:13.0.06-1)
TrueType version of GNU Unifont
fonts-unikurdweb (1.0-6)
Unikurd Web free Kurdish font
fonts-uralic (0.0.20040829-7)
Truetype fonts for Cyrillic-based Uralic languages
fonts-urw-base35 (20200910-1)
font set metric-compatible with the 35 PostScript Level 2 Base Fonts
fonts-vlgothic (20200720-1)
Japanese TrueType font from Vine Linux
fonts-vollkorn (4.105-2)
serif body text type
fonts-wine (5.0.3-3)
Windows API implementation - fonts
fonts-woowa-bm (20191009-1)
Baedal-Minjok decorative Korean fonts
fonts-wqy-microhei (0.2.0-beta-3.1)
Sans-serif style CJK font derived from Droid
fonts-wqy-zenhei (0.9.45-8)
"WenQuanYi Zen Hei" A Hei-Ti Style (sans-serif) Chinese font
fonts-yanone-kaffeesatz (0.20151005-3)
Font in four weights, reminiscent of 1920s coffee house typography
fonts-yozvox-yozfont (14.04-2)
Japanese proportional Handwriting OpenType font
fonts-yozvox-yozfont-antique (14.04-2)
Japanese proportional Handwriting OpenType font (antique kana)
fonts-yozvox-yozfont-cute (14.04-2)
Japanese proportional Handwriting OpenType font (cute kana)
fonts-yozvox-yozfont-edu (14.04-2)
Japanese proportional Handwriting OpenType font (educational cana)
fonts-yozvox-yozfont-new-kana (14.04-2)
Japanese proportional Handwriting OpenType font (new kana)
fonts-yozvox-yozfont-standard-kana (14.04-2)
Japanese proportional Handwriting OpenType font (standard kana)
fonts-yrsa-rasa (1.002-3)
Open-source, libre fonts for Latin + Gujarati
fonttools (4.19.1-1)
Converts OpenType and TrueType fonts to and from XML (Executables)
fonty-rg (0.7-1.1)
Linux console fonts in various encodings
foo-yc20 (1.3.0-6+b1)
YC-20 organ emulation
foobillardplus (3.43~svn170+dfsg-6)
3D OpenGL Billiard Game
foobillardplus-data (3.43~svn170+dfsg-6)
3D OpenGL Billiard Game -- data files
fookb (4.1-1)
Xkb state indicator
fookb-plainx (4.1-1)
Xkb state indicator -- plain X version (transitional package)
fookb-wmaker (4.1-1)
Xkb state indicator -- WindowMaker version (transitional package)
foomatic-db (20200820-1)
OpenPrinting printer support - database
foomatic-db
本虛擬套件由這些套件填實: foomatic-db-compressed-ppds
foomatic-db-compressed-ppds (20200820-1)
OpenPrinting printer support - Compressed PPDs derived from the database
foomatic-db-engine (4.0.13-5)
OpenPrinting printer support - programs
foomatic-filters (4.0.17-12)
OpenPrinting printer support - filters
foomatic-filters
本虛擬套件由這些套件填實: cups-filters
foomatic-filters-beh (4.0.17-12)
Openprinting Backend error handler
foomatic-filters-beh
本虛擬套件由這些套件填實: cups-filters
foot (1.6.4-1)
Fast, lightweight and minimalistic Wayland terminal emulator
foot-terminfo (1.6.4-1)
Fast, lightweight and minimalistic Wayland terminal emulator (terminfo files)
fop (1:2.5-3)
XML formatter driven by XSL Formatting Objects (XSL-FO.) - app
fop-doc (1:2.5-3)
XML formatter driven by XSL Formatting Objects (doc) - doc
foremancli (1.0-3)
commandline search interface to Foreman
foremost (1.5.7-9.1)
forensic program to recover lost files
forensic-artifacts (20201106-1)
knowledge base of forensic artifacts (data files)
forensics-all (3.30)
Debian Forensics Environment - essential components (metapackage)
forensics-all-gui (3.30)
Debian Forensics Environment - GUI components (metapackage)
forensics-colorize (1.1-5)
show differences between files using color graphics
forensics-extra (2.29)
Forensics Environment - extra console components (metapackage)
forensics-extra-gui (2.29)
Forensics Environment - extra GUI components (metapackage)
forensics-full (2.29)
Full forensics station (metapackage)
forensics-samples-all (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (metapackage)
forensics-samples-btrfs (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (btrfs)
forensics-samples-exfat (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (exFAT)
forensics-samples-ext2 (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (ext2)
forensics-samples-ext4 (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (ext4)
forensics-samples-files (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (files)
forensics-samples-multiple (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (multiple FS)
forensics-samples-ntfs (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (ntfs)
forensics-samples-tools (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (tools)
forensics-samples-vfat (1.1.4-2)
Set of files to help learn/test forensics tools and techniques (vfat)
forge-doc (1.0.1-3)
documentation for forge
forkstat (0.02.16-1)
process fork/exec/exit monitoring tool
form (4.2.1+git20200217-1)
Symbolic manipulation system
form-doc (4.2.1+git20200217-1)
Documentation for symbolic manipulation system
formiko (1.3.0-2)
reStructuredText and MarkDown editor and live previewer
fort-validator (1.5.3-1~deb11u1)
RPKI validator and RTR server
fort77 (1.15-11.1)
Invoke f2c like a real compiler
fortran-compiler
本虛擬套件由這些套件填實: gfortran, flang-16
fortran-language-server (1.12.0-1)
Fortran Language Server for the Language Server Protocol
fortran77-compiler
本虛擬套件由這些套件填實: fort77
fortran95-compiler
本虛擬套件由這些套件填實: gfortran-9, gfortran-10
fortunate.app (3.1-3+b1)
Display a quotation (fortune) in a window for GNUstep
fortune
本虛擬套件由這些套件填實: fortune-mod
fortune-anarchism (1.2-2)
anarchist quotes for fortune
fortune-cookie-db
本虛擬套件由這些套件填實: fortunes, fortunes-br, fortunes-it, fortunes-ru, fortunes-bg, fortunes-eo, fortunes-off, fortunes-min, fortunes-it-off, fortunes-cs, fortunes-mario, fortunes-es, fortunes-eo-iso3, fortunes-fr, fortunes-bofh-excuses, fortunes-pl, fortunes-eo-ascii, fortunes-es-off, fortunes-debian-hints, fortunes-ga, fortunes-de
fortune-mod (1:1.99.1-7.1)
provides fortune cookies on demand
fortunes (1:1.99.1-7.1)
Data files containing fortune cookies
fortunes-bg (1.3+nmu1)
Bulgarian data files for fortune
fortunes-bofh-excuses (1.2-3)
BOFH excuses for fortune
fortunes-br (20160820)
Data files with fortune cookies in Portuguese
fortunes-cs (2.0.9-1.1)
Czech and Slovak data files for fortune
fortunes-de (0.34-1)
German data files for fortune
fortunes-debian-hints (2.01.3)
Debian Hints for fortune
fortunes-eo (20020729b-1.1)
Collection of esperanto fortunes.
fortunes-eo-ascii (20020729b-1.1)
Collection of esperanto fortunes (ascii encoding).
fortunes-eo-iso3 (20020729b-1.1)
Collection of esperanto fortunes (ISO3 encoding).
fortunes-es (1.36)
Spanish fortune database
fortunes-es-off (1.36)
Spanish fortune cookies (Offensive section)
fortunes-fr (0.65+nmu3)
French fortunes cookies
fortunes-ga (0.10+nmu1)
Irish (Gaelige) data files for fortune
fortunes-it (1.99-4.1)
Data files containing Italian fortune cookies
fortunes-it-off (1.99-4.1)
Data files containing Italian fortune cookies, offensive section
fortunes-mario (0.21-1.1)
Fortunes files from Mario
fortunes-min (1:1.99.1-7.1)
Data files containing selected fortune cookies
fortunes-off (1:1.99.1-7.1)
Data files containing offensive fortune cookies
fortunes-pl (0.0.20130525-3)
Polish data files for fortune
fortunes-ru (1.52-3.1)
Russian data files for fortune
fortunes-zh (2.97)
Chinese Data files for fortune
fosfat (0.4.0-13-ged091bb-3)
FUSE library to access Smaky formatted disk (ro)
fosfat-dev (0.4.0-13-ged091bb-3)
FUSE library to access Smaky formatted disk, development files
fossil (1:2.15.2-1)
DSCM with built-in wiki, http interface and server, tickets database
fotoxx (20.08-2)
Edit photos and manage a large collection
fotoxx-common (20.08-2)
Edit photos and manage a large collection - common files
four-in-a-row (1:3.38.1-1)
Four in a Row game for GNOME
foxeye (0.12.1-3)
Universal modular network agent - binary package
foxeye-dev (0.12.1-3)
Universal modular network agent - development package
foxtrotgps (1.2.2+bzr331-1~deb11u1)
GTK+ mapping and GPS application
fp-compiler (3.2.0+dfsg-12)
Free Pascal - compiler dependency package
fp-compiler
本虛擬套件由這些套件填實: fp-compiler-3.2.0
fp-compiler-3.2.0 (3.2.0+dfsg-12)
Free Pascal - compiler
fp-docs (3.2.0+dfsg-12)
Free Pascal - documentation dependency package
fp-docs
本虛擬套件由這些套件填實: fp-docs-3.2.0
fp-docs-3.2.0 (3.2.0+dfsg-12)
Free Pascal - documentation
fp-ide (3.2.0+dfsg-12)
Free Pascal - IDE dependency package
fp-ide
本虛擬套件由這些套件填實: fp-ide-3.2.0
fp-ide-3.2.0 (3.2.0+dfsg-12)
Free Pascal - IDE
fp-units-base (3.2.0+dfsg-12)
Free Pascal - base units dependency package
fp-units-base
本虛擬套件由這些套件填實: fp-units-base-3.2.0
fp-units-base-3.2.0 (3.2.0+dfsg-12)
Free Pascal - base units
fp-units-castle-game-engine (6.4+dfsg1-7)
Castle Game Engine - 3D game engine for FreePascal / Lazarus
fp-units-db (3.2.0+dfsg-12)
Free Pascal - database-library units dependency package
fp-units-db
本虛擬套件由這些套件填實: fp-units-db-3.2.0
fp-units-db-3.2.0 (3.2.0+dfsg-12)
Free Pascal - database-library units
fp-units-fcl (3.2.0+dfsg-12)
Free Pascal - Free Component Library dependency package
fp-units-fcl
本虛擬套件由這些套件填實: fp-units-fcl-3.2.0
fp-units-fcl-3.2.0 (3.2.0+dfsg-12)
Free Pascal - Free Component Library
fp-units-fv (3.2.0+dfsg-12)
Free Pascal - Free Vision units dependency package
fp-units-fv
本虛擬套件由這些套件填實: fp-units-fv-3.2.0
fp-units-fv-3.2.0 (3.2.0+dfsg-12)
Free Pascal - Free Vision units
fp-units-gfx (3.2.0+dfsg-12)
Free Pascal - graphics-library units dependency package
fp-units-gfx
本虛擬套件由這些套件填實: fp-units-gfx-3.2.0
fp-units-gfx-3.2.0 (3.2.0+dfsg-12)
Free Pascal - graphics-library units
fp-units-gtk2 (3.2.0+dfsg-12)
Free Pascal - GTK+ 2.x units dependency package
fp-units-gtk2
本虛擬套件由這些套件填實: fp-units-gtk2-3.2.0
fp-units-gtk2-3.2.0 (3.2.0+dfsg-12)
Free Pascal - GTK+ 2.x units
fp-units-i386 (3.2.0+dfsg-12)
Free Pascal - Kylix compatibility units dependency package
fp-units-i386
本虛擬套件由這些套件填實: fp-units-i386-3.2.0
fp-units-i386-3.2.0 (3.2.0+dfsg-12)
Free Pascal - Kylix compatibility units
fp-units-math (3.2.0+dfsg-12)
Free Pascal - math units dependency package
fp-units-math
本虛擬套件由這些套件填實: fp-units-math-3.2.0
fp-units-math-3.2.0 (3.2.0+dfsg-12)
Free Pascal - math units
fp-units-misc (3.2.0+dfsg-12)
Free Pascal - miscellaneous units dependency package
fp-units-misc
本虛擬套件由這些套件填實: fp-units-misc-3.2.0
fp-units-misc-3.2.0 (3.2.0+dfsg-12)
Free Pascal - miscellaneous units
fp-units-multimedia (3.2.0+dfsg-12)
Free Pascal - multimedia units dependency package
fp-units-multimedia
本虛擬套件由這些套件填實: fp-units-multimedia-3.2.0
fp-units-multimedia-3.2.0 (3.2.0+dfsg-12)
Free Pascal - multimedia units
fp-units-net (3.2.0+dfsg-12)
Free Pascal - networking units dependency package
fp-units-net
本虛擬套件由這些套件填實: fp-units-net-3.2.0
fp-units-net-3.2.0 (3.2.0+dfsg-12)
Free Pascal - networking units
fp-units-rtl (3.2.0+dfsg-12)
Free Pascal - runtime libraries dependency package
fp-units-rtl
本虛擬套件由這些套件填實: fp-units-rtl-3.2.0
fp-units-rtl-3.2.0 (3.2.0+dfsg-12)
Free Pascal - runtime libraries
fp-utils (3.2.0+dfsg-12)
Free Pascal - utilities dependency package
fp-utils
本虛擬套件由這些套件填實: fp-utils-3.2.0
fp-utils-3.2.0 (3.2.0+dfsg-12)
Free Pascal - utilities
fpart (1.2.0-1)
sort file trees and pack them into bags
fpc (3.2.0+dfsg-12)
Free Pascal - SDK suite dependency package
fpc
本虛擬套件由這些套件填實: fpc-3.2.0
fpc-3.2.0 (3.2.0+dfsg-12)
Free Pascal - SDK-3.2.0 suite
fpc-abi-3.2.0
本虛擬套件由這些套件填實: fp-units-rtl-3.2.0
fpc-source (3.2.0+dfsg-12)
Free Pascal - SDK source code dependency package
fpc-source
本虛擬套件由這些套件填實: fpc-source-3.2.0
fpc-source-3.2.0 (3.2.0+dfsg-12)
Free Pascal - SDK source code
fpdns (20190131-1.1)
remotely determine DNS server version
fped (0.1+201210-1.2)
Footprint editor
fpga-icestorm (0~20190913git0ec00d8-2)
Tools to handle the bitstream format of Lattice iCE40 FPGAs
fpga-icestorm-chipdb (0~20190913git0ec00d8-2)
Chip database files for fpga-icestorm
fpgatools (0.0+201212-1+b2)
tool to program field-programmable gate arrays
fping (5.0-1)
sends ICMP ECHO_REQUEST packets to network hosts
fplll-tools (5.4.0-2)
Tools to compute LLL-reduction of Euclidian lattices
fprintd (1.90.9-1)
D-Bus daemon for fingerprint reader access
fprintd-doc (1.90.9-1)
development documentation for fprintd
fprobe (1.1-8)
export captured traffic to remote NetFlow Collector
fpzip-utils (1.3.0-2)
FP array compression library - tools
fqterm (0.9.10.1-1)
BBS client written in Qt
fracplanet (0.5.1-5.1+b2)
Fractal planet generator
fractalnow (0.8.2-4+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.8.2-4 [armel, armhf])
Fast, advanced fractal generator
fractgen (2.1.5-1.1)
Extensible Fractal Generator
fragmaster (1.7-8.1)
use of psfrag constructs with pdflatex
frama-c-base (20201209+titanium-4.1)
Platform dedicated to the analysis of source code written in C
frame-tools (2.5.0-3+b2)
Touch Frame Library - test tools
frameworkintegration (5.78.0-2)
KF5 cross-framework integration plugins
francine (0.99.8+orig-2.1)
feature rich ansi console login engine
fraqtive (0.4.8-13)
draws Mandelbrot and Julia fractals
(2.5.25+ds-1)
Free42 is a re-implementation of the HP-42S calculator
freealchemist (0.5-1.1)
simpler figure block game
freebayes (1.3.5-1)
Bayesian haplotype-based polymorphism discovery and genotyping
freebirth (0.3.2-9.3)
Bass synthesizer/sample player/sequencer
freebirth-data (0.3.2-9.3)
Bass synthesizer/sample player/sequencer -- sound samples
freeboard (1.1.0+dfsg.1-5)
dashboard for IoT and web mashups
freebsd-manpages (12.2-1)
Manual pages for a GNU/kFreeBSD system
freecad (0.19.1+dfsg1-2+deb11u1)
Extensible Open Source CAx program
freecad-common (0.19.1+dfsg1-2+deb11u1)
Extensible Open Source CAx program - common files
freecad-python3 (0.19.1+dfsg1-2+deb11u1)
Extensible Open Source CAx program - Python 3 binaries
freecdb (0.76)
creating and reading constant databases
freecell-solver-bin (5.0.0-2+b1 [amd64], 5.0.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Library for solving Freecell games
freeciv (2.6.3-1)
Civilization turn based strategy game
freeciv-client-extras (2.6.3-1)
Civilization turn based strategy game (miscellaneous extras)
freeciv-client-gtk (2.6.3-1)
Civilization turn based strategy game (GTK+ client)
freeciv-client-gtk3 (2.6.3-1)
Civilization turn based strategy game (GTK 3 client)
freeciv-client-qt (2.6.3-1)
Civilization turn based strategy game (Qt client)
freeciv-client-sdl (2.6.3-1)
Civilization turn based strategy game (SDL client)
freeciv-data (2.6.3-1)
Civilization turn based strategy game (data)
freeciv-server (2.6.3-1)
Civilization turn based strategy game (server files)
freeciv-sound-standard (2.6.3-1)
Civilization turn based strategy game (standard sound pack)
freecol (0.11.6+dfsg2-3)
open source remake of the old Colonization
freecontact (1.0.21-9)
fast protein contact predictor
freediameter (1.2.1-8)
Implementation of the freeDiameter protocol - metapackage
freediameter-extensions (1.2.1-8)
Extensions for the Diameter protocol
freediameterd (1.2.1-8)
Daemon for the Diameter protocol
freedict-tools (0.5.0-2)
Development files for the FreeDict dictionary packages
freedink (109.6-3)
humorous top-down adventure and role-playing game
freedink-data (1.08.20190120-2)
adventure and role-playing game (assets)
freedink-dfarc (3.14-4)
frontend and .dmod installer for GNU FreeDink
freedink-dfarc-dbg (3.14-4)
debugging symbols for dfarc
freedink-engine (109.6-3)
humorous top-down adventure and role-playing game (engine)
freedm (0.12.1-2)
multiplayer deathmatch game for Doom-compatible engines
freedom-maker (0.28)
FreedomBox image builder
freedombox (21.4.4)
easy to manage, privacy oriented home server
freedombox-doc-en (21.4.4)
easy to manage, privacy oriented home server - user manual (English)
freedombox-doc-es (21.4.4)
easy to manage, privacy oriented home server - user manual (Spanish)
freedoom (0.12.1-2)
two single player campaigns for Doom-compatible engines
freedroid (1.0.2+cvs040112-7)
Clone of Paradroid - a strategic shoot-em up
freedroid-data (1.0.2+cvs040112-7)
Data files for freedroid - a strategic shoot-em up
freedroidrpg (0.16.1-6)
Isometric RPG influenced by Paradroid
freedroidrpg-data (0.16.1-6)
Data files for freedroidrpg
freedv (1.4.3~1gdc71a1c-1+b1)
Digital Voice mode for ham radio
freefem (3.5.8-7)
PDE oriented language using Finite Element Method
freefem-doc (3.5.8-7)
Documentation for FreeFEM (html and pdf)
freefem-examples (3.5.8-7)
Example files for FreeFEM
freegish (1.53+git20170110+dfsg-2)
physics based arcade game
freegish-data (1.53+git20170110+dfsg-2)
data for the FreeGish arcade game
freeglut3 (2.8.1-6)
OpenGL Utility Toolkit
freeglut3-dev (2.8.1-6)
OpenGL Utility Toolkit development files
freeipa-healthcheck (0.8-1)
Health check tool for FreeIPA
freeipmi (1.6.6-4+deb11u1)
GNU implementation of the IPMI protocol
freeipmi-bmc-watchdog (1.6.6-4+deb11u1)
GNU implementation of the IPMI protocol - BMC watchdog
freeipmi-common (1.6.6-4+deb11u1)
GNU implementation of the IPMI protocol - common files
freeipmi-ipmidetect (1.6.6-4+deb11u1)
GNU IPMI - IPMI node detection tool
freeipmi-ipmiseld (1.6.6-4+deb11u1)
GNU IPMI - IPMI system event log daemon
freeipmi-tools (1.6.6-4+deb11u1)
GNU implementation of the IPMI protocol - tools
freelan (2.2-3+b2)
Peer-to-peer virtual private network daemon
freenect (1:0.5.3-2)
library for accessing Kinect device -- metapackage
freeorion (0.4.10.1-1+b2)
turn-based space empire and galactic conquest game
freeorion-data (0.4.10.1-1)
turn-based space empire and galactic conquest game -- data
freepats (20060219-3)
Free patch set for MIDI audio synthesis
freeplane (1.7.10-1)
Java program for working with Mind Maps
freeplane-scripting-api (1.7.10-1)
Java program for working with Mind Maps (groovy scripting API)
freepwing (1.5-2)
EB to JIS X 4081 converter
freeradius (3.0.21+dfsg-2.2+deb11u1)
high-performance and highly configurable RADIUS server
freeradius-common (3.0.21+dfsg-2.2+deb11u1)
FreeRADIUS common files
freeradius-config (3.0.21+dfsg-2.2+deb11u1)
FreeRADIUS default config files
freeradius-dhcp (3.0.21+dfsg-2.2+deb11u1)
DHCP module for FreeRADIUS server
freeradius-iodbc (3.0.21+dfsg-2.2+deb11u1)
iODBC module for FreeRADIUS server
freeradius-krb5 (3.0.21+dfsg-2.2+deb11u1)
kerberos module for FreeRADIUS server
freeradius-ldap (3.0.21+dfsg-2.2+deb11u1)
LDAP module for FreeRADIUS server
freeradius-memcached (3.0.21+dfsg-2.2+deb11u1)
Memcached module for FreeRADIUS server
freeradius-mysql (3.0.21+dfsg-2.2+deb11u1)
MySQL module for FreeRADIUS server
freeradius-postgresql (3.0.21+dfsg-2.2+deb11u1)
PostgreSQL module for FreeRADIUS server
freeradius-python3 (3.0.21+dfsg-2.2+deb11u1)
Python 3 module for FreeRADIUS server
freeradius-redis (3.0.21+dfsg-2.2+deb11u1)
Redis module for FreeRADIUS server
freeradius-rest (3.0.21+dfsg-2.2+deb11u1)
REST module for FreeRADIUS server
freeradius-utils (3.0.21+dfsg-2.2+deb11u1)
FreeRADIUS client utilities
freeradius-yubikey (3.0.21+dfsg-2.2+deb11u1)
Yubikey module for FreeRADIUS server
freerdp
本虛擬套件由這些套件填實: freerdp2-x11, freerdp2-shadow-x11
freerdp2-dev (2.3.0+dfsg1-2+deb11u1)
Free Remote Desktop Protocol library (development files)
freerdp2-shadow-x11 (2.3.0+dfsg1-2+deb11u1)
FreeRDP x11 shadowing server
freerdp2-wayland (2.3.0+dfsg1-2+deb11u1)
RDP client for Windows Terminal Services (wayland client)
freerdp2-x11 (2.3.0+dfsg1-2+deb11u1)
RDP client for Windows Terminal Services (X11 client)
freespace2 (3.7.4+repack-1.1) [non-free]
open implementation of the Freespace 2 game engine
freespace2-launcher
本虛擬套件由這些套件填實: freespace2-launcher-wxlauncher
freespace2-launcher-wxlauncher (0.11.0+dfsg-3) [contrib]
launcher for the Freespace 2 Source Code Project
freesweep (1.0.1-2)
text-based minesweeper
freetable (2.3-4.3)
Facilitates production of HTML tables
freetds-bin (1.2.3-1)
FreeTDS command-line utilities
freetds-common (1.2.3-1)
configuration files for FreeTDS SQL client libraries
freetds-dev (1.2.3-1)
MS SQL and Sybase client library (static libs and headers)
freetennis (0.4.8-13)
Free Tennis - simulation game
freetennis-common (0.4.8-13)
Free Tennis - simulation game
freetts (1.2.2-7)
speech synthesis system
freetuxtv (0.6.8~dfsg1-1+b2)
Internet television and radio player
freetype2-demos (2.10.4+dfsg-1+deb11u1)
FreeType 2 demonstration programs
freetype2-doc (2.10.4+dfsg-1+deb11u1)
FreeType 2 font engine, development documentation
freewheeling (0.6.4-1.1)
live looping musical instrument
freewnn-common (1.1.1~a021+cvs20130302-7)
Files shared among the FreeWnn packages
freewnn-cserver (1.1.1~a021+cvs20130302-7+b2)
Chinese input system
freewnn-jserver (1.1.1~a021+cvs20130302-7+b2)
Japanese input system
freewnn-kserver (1.1.1~a021+cvs20130302-7+b2)
Korean input system
freezer-api (9.0.0-2)
OpenStack backup restore and disaster recovery service - common files
freezer-api-doc (9.0.0-2)
OpenStack backup restore and disaster recovery service - Documentation
freezer-doc (9.0.0-2)
OpenStack backup restore and disaster recovery service - Documentation
freezer-scheduler (9.0.0-2)
OpenStack backup restore and disaster recovery service
frei0r-plugins (1.7.0-1)
minimalistic plugin API for video effects, plugins collection
frei0r-plugins-dev (1.7.0-1)
minimalistic plugin API for video effects, header files
frei0r-plugins-doc (1.7.0-1)
minimalistic plugin API for video effects, API documentation
frescobaldi (3.1.3+ds1-1)
LilyPond sheet music text editor
fretsonfire-songs-muldjord (2.dfsg-2)
game of musical skill and fast fingers - Songs Package
fretsonfire-songs-sectoid (1.dfsg-3)
game of musical skill and fast fingers - Songs Package
fricas (1.3.6-6)
General purpose computer algebra system: main binary and modules
fricas-databases (1.3.6-6)
General purpose computer algebra system: generated text databases
fricas-doc (1.3.6-6)
General purpose computer algebra system: documentation
fricas-graphics (1.3.6-6)
General purpose computer algebra system: graphics subsystem
fricas-graphics-data (1.3.6-6)
General purpose computer algebra system: graphics data
fricas-hypertex (1.3.6-6)
General purpose computer algebra system: hypertex subsystem
fricas-hypertex-data (1.3.6-6)
General purpose computer algebra system: hypertex data
fricas-source (1.3.6-6)
General purpose computer algebra system: source files
fricas-test (1.3.6-6)
General purpose computer algebra system: regression test inputs
friendly-recovery (0.2.42)
Make recovery boot mode more user-friendly
fritzing (0.9.3b+dfsg-10+b2)
Easy-to-use electronic design software
fritzing-data (0.9.3b+dfsg-10)
Easy-to-use electronic design software (data files)
fritzing-parts (0.9.3b-4)
Easy-to-use electronic design software (parts files)
frobby (0.9.1-1)
Computations with monomial ideals
frog (0.20-2+b1)
tagger and parser for natural languages (runtime)
frogatto (1.3.1+dfsg-5+b1) [contrib]
2D platformer game starring a quixotic frog
frogatto-data (1.3.1+dfsg-3) [non-free]
2D platformer game starring a quixotic frog (data files)
frogdata (0.18-1)
Data files for Frog
frogr (1.6-2)
Flickr Remote Organizer for GNOME
frogr-data (1.6-2)
Flickr Remote Organizer for GNOME - data files
frotz (2.53+dfsg-1)
interpreter of Z-code story-files
frozen-bubble (2.212-9+b3)
cool game where you pop out the bubbles!
frozen-bubble-data (2.212-9)
Data files for Frozen-Bubble game
frr (7.5.1-1.1+deb11u2)
FRRouting suite of internet protocols (BGP, OSPF, IS-IS, ...)
frr-doc (7.5.1-1.1+deb11u2)
FRRouting suite - user manual
frr-pythontools (7.5.1-1.1+deb11u2)
FRRouting suite - Python tools
frr-rpki-rtrlib (7.5.1-1.1+deb11u2)
FRRouting suite - BGP RPKI support (rtrlib)
frr-snmp (7.5.1-1.1+deb11u2)
FRRouting suite - SNMP support
fruit (2.1.dfsg-9)
chess engine, to calculate chess moves
fs-uae (3.0.5+dfsg-1)
Cross-platform Amiga emulator based on UAE/WinUAE
fs-uae-arcade (3.0.5+dfsg-1)
Fullscreen game browser for FS-UAE
fs-uae-launcher (3.0.5+dfsg-1)
Launcher and configuration program for FS-UAE
fsa (1.15.9+dfsg-6)
Fast Statistical Alignment of protein, RNA or DNA sequences
fsarchiver (0.8.6-1)
file system archiver
fsck-backend
本虛擬套件由這些套件填實: xfsprogs
fscrypt (0.2.9-1+b6)
Tool for managing Linux filesystem encryption
fsm-lite (1.0-5)
frequency-based string mining (lite)
fsmark (3.3-3+b1 [amd64], 3.3-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
benchmark for simulating synchronous write workloads
fspanel (0.7-15)
minimalist panel for X
fspy (0.1.1-4)
filesystem activity monitoring tool
fssync (1.6-1.1)
File system synchronization tool (1-way, over SSH)
fst-dev (0.115.2-1)
Free Studio Technology for audio plugins (development files)
fstl (0.9.4-1)
Viewer for .stl files
fstransform (0.9.4-1)
Tool for in-place filesystem conversion
fstrcmp (0.7.D001-1.2)
fuzzy comparison of strings
fstrcmp-doc (0.7.D001-1.2)
fuzzy string compare library - documentation
fstrm-bin (0.6.0-1+b1)
Frame Streams (fstrm) library (utilities)
fsverity (1.3-1)
Userspace utilities for fs-verity
fsviewer-icons (1.0-8)
icons for fsviewer to make it look more like the NeXT FileViewer
fsvs (1.2.9-1)
Full system versioning with metadata support
fswatch (1.14.0+repack-13)
file change monitor based on inotify
fswebcam (20140113-2)
Tiny and flexible webcam program
ftdi-eeprom (1.5-5+b1)
Tool for reading/erasing/flashing FTDI USB chip EEPROMs
fte (0.50.2b6-20110708-4)
Text editor for programmers - base package
fte-console (0.50.2b6-20110708-4)
Text editor for programmers - console edition, no I18N support
fte-docs (0.50.2b6-20110708-4)
Documentation and examples for the FTE editor
fte-terminal (0.50.2b6-20110708-4)
Text editor for programmers - version for terminals
fte-xwindow (0.50.2b6-20110708-4)
Text editor for programmers - X Window System edition with I18N support
fteqcc (3343+svn3400-4)
FTE QuakeC compiler
ftjam (2.5.2-1.1+b2)
FreeType version of Jam, a replacement for make
ftnchek (3.3.1-5+b1)
Semantic checker for Fortran 77 programs
ftools-fv (5.5.2+dfsg-1)
Tool for viewing and editing FITS format files
ftools-pow (5.5.2+dfsg-1)
Curve plotting and image display interface tool
ftp (0.17-34.1.1)
classical file transfer client
ftp
本虛擬套件由這些套件填實: ftp-ssl
ftp-proxy (1.9.2.4-10.1)
application level proxy for the FTP protocol
ftp-proxy-doc (1.9.2.4-10.1)
documentation for ftp-proxy
ftp-server
本虛擬套件由這些套件填實: inetutils-ftpd, proftpd-core, vsftpd, pure-ftpd-mysql, pure-ftpd-postgresql, twoftpd-run, ftpd-ssl, pure-ftpd, ftpd, pure-ftpd-ldap
ftp-ssl (0.17.34+0.2-5.1)
FTP client with SSL or TLS encryption support
ftp-upload (1.6-2)
put files with FTP from a script
ftp.app (0.6-2+b2)
File transfer protocol application for GNUstep
ftpcopy (0.6.7-5)
FTP clients collection
ftpd (0.17-36.2)
File Transfer Protocol (FTP) server
ftpd-ssl (0.17.36+0.3-2.2)
FTP server with SSL encryption support
ftpgrab (0.1.5-6+b1 [amd64], 0.1.5-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
file mirroring utility
ftplib-dev
本虛擬套件由這些套件填實: libftp-dev
ftpmirror (1.96+dfsg-16+b3)
Mirroring directory hierarchy using FTP protocol
ftpsync (20180513+nmu1)
Debian archive mirror tool
ftpwatch (1.23+nmu1)
Notifies you of changes on remote ftp servers
fts-xapian
本虛擬套件由這些套件填實: dovecot-fts-xapian
fullquottel (0.1.4-1)
tool for recognizing top-posted e-mail and usenet replies
funcoeszz (21.1-1)
script with 170+ useful mini applications
funguloids (1.06-14+b1)
space-flying-mushroom-picking-simulator game
funguloids-data (1.06-14)
space-flying-mushroom-picking-simulator game (data files)
funnelweb (3.2-5+b1)
literate-programming tool
funnelweb-doc (3.2d-4.1)
Documentation for funnelweb
funnyboat (1.5-11)
side scrolling arcade shooter game on a steamboat
funtools (1.4.7-4)
Minimal buy-in FITS utility package
fuse (2.9.9-5)
Filesystem in Userspace
fuse (= 3.10.3-2)
本虛擬套件由這些套件填實: fuse3
fuse-convmvfs (0.2.6-2+b2)
mirrors a whole filesystem tree from one charset to another
fuse-emulator-common (1.5.7+dfsg1-4)
The Free Unix Spectrum Emulator (common files)
fuse-emulator-gtk (1.5.7+dfsg1-4)
The Free Unix Spectrum Emulator (GTK+ version)
fuse-emulator-sdl (1.5.7+dfsg1-4)
The Free Unix Spectrum Emulator (SDL version)
fuse-emulator-utils (1.4.3-1)
The Free Unix Spectrum Emulator - Utilities
fuse-modules
本虛擬套件由這些套件填實: fuse-modules-5.10.0-28-5kc-malta-di, fuse-modules-5.10.0-27-amd64-di, fuse-modules-5.10.0-26-4kc-malta-di, fuse-modules-5.10.0-25-marvell-di, fuse-modules-5.10.0-27-686-pae-di, fuse-modules-5.10.0-25-5kc-malta-di, fuse-modules-5.10.0-27-armmp-di, fuse-modules-5.10.0-28-4kc-malta-di, fuse-modules-5.10.0-28-s390x-di, fuse-modules-5.10.0-26-amd64-di, fuse-modules-5.10.0-27-s390x-di, fuse-modules-5.10.0-25-powerpc64le-di, fuse-modules-5.10.0-25-arm64-di, fuse-modules-5.10.0-26-powerpc64le-di, fuse-modules-5.10.0-27-686-di, fuse-modules-5.10.0-25-686-di, fuse-modules-5.10.0-25-amd64-di, fuse-modules-5.10.0-25-4kc-malta-di, fuse-modules-5.10.0-27-marvell-di, fuse-modules-5.10.0-26-s390x-di, fuse-modules-5.10.0-28-686-di, fuse-modules-5.10.0-28-686-pae-di, fuse-modules-5.10.0-26-octeon-di, fuse-modules-5.10.0-26-marvell-di, fuse-modules-5.10.0-26-armmp-di, fuse-modules-5.10.0-27-arm64-di, fuse-modules-5.10.0-27-loongson-3-di, fuse-modules-5.10.0-25-octeon-di, fuse-modules-5.10.0-25-s390x-di, fuse-modules-5.10.0-26-686-di, fuse-modules-5.10.0-26-arm64-di, fuse-modules-5.10.0-28-arm64-di, fuse-modules-5.10.0-28-octeon-di, fuse-modules-5.10.0-26-loongson-3-di, fuse-modules-5.10.0-28-marvell-di, fuse-modules-5.10.0-28-loongson-3-di, fuse-modules-5.10.0-27-5kc-malta-di, fuse-modules-5.10.0-27-4kc-malta-di, fuse-modules-5.10.0-27-octeon-di, fuse-modules-5.10.0-28-amd64-di, fuse-modules-5.10.0-28-armmp-di, fuse-modules-5.10.0-25-686-pae-di, fuse-modules-5.10.0-26-5kc-malta-di, fuse-modules-5.10.0-25-loongson-3-di, fuse-modules-5.10.0-28-powerpc64le-di, fuse-modules-5.10.0-26-686-pae-di, fuse-modules-5.10.0-27-powerpc64le-di, fuse-modules-5.10.0-25-armmp-di
fuse-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-686-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
FUSE modules
fuse-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-686-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-686-pae-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-amd64-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-arm64-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-armmp-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-loongson-3-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-marvell-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-octeon-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-26-s390x-di (5.10.197-1)
FUSE modules
fuse-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-686-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
FUSE modules
fuse-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-686-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-686-pae-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-amd64-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-arm64-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-armmp-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-loongson-3-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-marvell-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-octeon-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
FUSE modules
fuse-modules-5.10.0-28-s390x-di (5.10.209-2)
FUSE modules
fuse-overlayfs (1.4.0-1)
implementation of overlay+shiftfs in FUSE for rootless containers
fuse-posixovl (1.2.20120215+gitf5bfe35-3)
FUSE file system that provides POSIX functionality
fuse-udeb (2.9.9-5)
Filesystem in Userspace
fuse-zip (0.5.0-1+b1 [amd64], 0.5.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ZIP archive mounter based on FUSE
fuse2fs (1.46.2-2)
ext2 / ext3 / ext4 file system driver for FUSE
fuse3 (3.10.3-2)
Filesystem in Userspace (3.x version)
fuse3-udeb (3.10.3-2)
Filesystem in Userspace (3.x version)
fuseext2 (0.4-1.2+b1 [amd64], 0.4-1.2 [i386])
File System in User Space - Module for ext2
fusefat (0.1a-3)
File System in User Space - Module for FAT
fuseiso (20070708-3.2+b1)
FUSE module to mount ISO filesystem images
fuseiso9660 (0.3-2)
File System in User Space - Module for ISO9660
fusion-icon (0.2.4-5)
tray icon to launch and manage Compiz
fusiondirectory (1.3-4)
Web Based LDAP Administration Program
fusiondirectory-plugin-alias (1.3-4)
alias plugin for FusionDirectory
fusiondirectory-plugin-alias-schema (1.3-4)
LDAP schema for FusionDirectory alias plugin
fusiondirectory-plugin-applications (1.3-4)
Applications management plugin for FusionDirectory
fusiondirectory-plugin-applications-schema (1.3-4)
LDAP schema for FusionDirectory application management plugin
fusiondirectory-plugin-argonaut (1.3-4)
Argonaut plugin for FusionDirectory
fusiondirectory-plugin-argonaut-schema (1.3-4)
LDAP schema for FusionDirectory Argonaut plugin
fusiondirectory-plugin-audit (1.3-4)
audit plugin for FusionDirectory
fusiondirectory-plugin-audit-schema (1.3-4)
LDAP schema for FusionDirectory audit plugin
fusiondirectory-plugin-autofs (1.3-4)
autofs plugin for FusionDirectory
fusiondirectory-plugin-autofs-schema (1.3-4)
LDAP schema for FusionDirectory autofs plugin
fusiondirectory-plugin-certificates (1.3-4)
certificates plugin for FusionDirectory
fusiondirectory-plugin-community (1.3-4)
community plugin for FusionDirectory
fusiondirectory-plugin-community-schema (1.3-4)
LDAP schema for FusionDirectory community plugin
fusiondirectory-plugin-cyrus (1.3-4)
cyrus plugin for FusionDirectory
fusiondirectory-plugin-cyrus-schema (1.3-4)
LDAP schema for FusionDirectory cyrus plugin
fusiondirectory-plugin-debconf (1.3-4)
Debconf plugin for FusionDirectory
fusiondirectory-plugin-debconf-schema (1.3-4)
LDAP schema for FusionDirectory Debconf Plugin
fusiondirectory-plugin-developers (1.3-4)
Provide doc and tools for FusionDirectory development
fusiondirectory-plugin-dhcp (1.3-4)
dhcp plugin for FusionDirectory
fusiondirectory-plugin-dhcp-schema (1.3-4)
LDAP schema for FusionDirectory dhcp plugin
fusiondirectory-plugin-dns (1.3-4)
dns plugin for FusionDirectory
fusiondirectory-plugin-dns-schema (1.3-4)
LDAP schema for FusionDirectory dns plugin
fusiondirectory-plugin-dovecot (1.3-4)
dovecot plugin for FusionDirectory
fusiondirectory-plugin-dovecot-schema (1.3-4)
LDAP schema for FusionDirectory dovecot plugin
fusiondirectory-plugin-dsa (1.3-4)
dsa plugin for FusionDirectory
fusiondirectory-plugin-dsa-schema (1.3-4)
LDAP schema for FusionDirectory dsa plugin
fusiondirectory-plugin-ejbca (1.3-4)
ejbca plugin for FusionDirectory
fusiondirectory-plugin-ejbca-schema (1.3-4)
LDAP schema for FusionDirectory ejbca plugin
fusiondirectory-plugin-fai (1.3-4)
fai plugin for FusionDirectory
fusiondirectory-plugin-fai-schema (1.3-4)
LDAP schema for FusionDirectory fai plugin
fusiondirectory-plugin-freeradius (1.3-4)
freeradius plugin for FusionDirectory
fusiondirectory-plugin-freeradius-schema (1.3-4)
LDAP schema for FusionDirectory freeradius plugin
fusiondirectory-plugin-fusioninventory (1.3-4)
FusionInventory plugin for FusionDirectory
fusiondirectory-plugin-fusioninventory-schema (1.3-4)
LDAP schema for FusionDirectory fusioninventory plugin
fusiondirectory-plugin-gpg (1.3-4)
gpg plugin for FusionDirectory
fusiondirectory-plugin-gpg-schema (1.3-4)
LDAP schema for FusionDirectory gpg plugin
fusiondirectory-plugin-ipmi (1.3-4)
ipmi plugin for FusionDirectory
fusiondirectory-plugin-ipmi-schema (1.3-4)
LDAP schema for FusionDirectory ipmi plugin
fusiondirectory-plugin-ldapdump (1.3-4)
ldapdump plugin for FusionDirectory
fusiondirectory-plugin-ldapmanager (1.3-4)
ldapmanager plugin for FusionDirectory
fusiondirectory-plugin-mail (1.3-4)
base mail plugin for FusionDirectory
fusiondirectory-plugin-mail-schema (1.3-4)
LDAP schema for FusionDirectory mail plugin
fusiondirectory-plugin-mixedgroups (1.3-4)
plugin to manage groups mixing memberuid and member
fusiondirectory-plugin-nagios (1.3-4)
nagios plugin for FusionDirectory
fusiondirectory-plugin-nagios-schema (1.3-4)
LDAP schema for FusionDirectory nagios plugin
fusiondirectory-plugin-netgroups (1.3-4)
netgroup plugin for FusionDirectory
fusiondirectory-plugin-netgroups-schema (1.3-4)
LDAP schema for FusionDirectory netgroups plugin
fusiondirectory-plugin-newsletter (1.3-4)
newsletter plugin for FusionDirectory
fusiondirectory-plugin-newsletter-schema (1.3-4)
LDAP schema for FusionDirectory newsletter plugin
fusiondirectory-plugin-opsi (1.3-4)
opsi plugin for FusionDirectory
fusiondirectory-plugin-opsi-schema (1.3-4)
LDAP schema for FusionDirectory opsi plugin
fusiondirectory-plugin-personal (1.3-4)
Personal plugin for FusionDirectory
fusiondirectory-plugin-personal-schema (1.3-4)
LDAP schema for FusionDirectory personal Plugin
fusiondirectory-plugin-posix (1.3-4)
posix account and group plugin for FusionDirectory
fusiondirectory-plugin-postfix (1.3-4)
postfix service plugin for FusionDirectory
fusiondirectory-plugin-postfix-schema (1.3-4)
LDAP schema for FusionDirectory postfix plugin
fusiondirectory-plugin-ppolicy (1.3-4)
ppolicy overlay module plugin for FusionDirectory
fusiondirectory-plugin-ppolicy-schema (1.3-4)
LDAP schema for FusionDirectory ppolicy Plugin
fusiondirectory-plugin-puppet (1.3-4)
Puppet plugin for FusionDirectory
fusiondirectory-plugin-puppet-schema (1.3-4)
LDAP schema for FusionDirectory puppet Plugin
fusiondirectory-plugin-pureftpd (1.3-4)
pureftpd plugin for FusionDirectory
fusiondirectory-plugin-pureftpd-schema (1.3-4)
LDAP schema for FusionDirectory pureftpd plugin
fusiondirectory-plugin-quota (1.3-4)
quota plugin for FusionDirectory
fusiondirectory-plugin-quota-schema (1.3-4)
LDAP schema for FusionDirectory quota plugin
fusiondirectory-plugin-renater-partage (1.3-4)
Renater partage integration plugin for FusionDirectory
fusiondirectory-plugin-renater-partage-schema (1.3-4)
LDAP schema for FusionDirectory renater partage plugin
fusiondirectory-plugin-repository (1.3-4)
repository plugin for FusionDirectory
fusiondirectory-plugin-repository-schema (1.3-4)
LDAP schema for FusionDirectory repository plugin
fusiondirectory-plugin-samba (1.3-4)
samba3 plugin for FusionDirectory
fusiondirectory-plugin-samba-schema (1.3-4)
LDAP schema for FusionDirectory samba plugin
fusiondirectory-plugin-sogo (1.3-4)
SOGo plugin for FusionDirectory
fusiondirectory-plugin-sogo-schema (1.3-4)
LDAP schema for FusionDirectory SOgo plugin
fusiondirectory-plugin-spamassassin (1.3-4)
spamassassin plugin for FusionDirectory
fusiondirectory-plugin-spamassassin-schema (1.3-4)
LDAP schema for FusionDirectory spamassassin plugin
fusiondirectory-plugin-squid (1.3-4)
squid plugin for FusionDirectory
fusiondirectory-plugin-squid-schema (1.3-4)
LDAP schema for FusionDirectory squid plugin
fusiondirectory-plugin-ssh (1.3-4)
ssh plugin for FusionDirectory
fusiondirectory-plugin-ssh-schema (1.3-4)
LDAP schema for FusionDirectory ssh plugin
fusiondirectory-plugin-subcontracting (1.3-4)
subcontracting plugin for FusionDirectory
fusiondirectory-plugin-subcontracting-schema (1.3-4)
LDAP schema for FusionDirectory subcontracting plugin
fusiondirectory-plugin-sudo (1.3-4)
sudo plugin for FusionDirectory
fusiondirectory-plugin-sudo-schema (1.3-4)
LDAP schema for FusionDirectory sudo plugin
fusiondirectory-plugin-supann (1.3-4)
supann plugin for FusionDirectory
fusiondirectory-plugin-supann-schema (1.3-4)
LDAP schema for FusionDirectory supann plugin
fusiondirectory-plugin-sympa (1.3-4)
sympa plugin for FusionDirectory
fusiondirectory-plugin-sympa-schema (1.3-4)
LDAP schema for FusionDirectory sympa plugin
fusiondirectory-plugin-systems (1.3-4)
systems plugin for FusionDirectory
fusiondirectory-plugin-systems-schema (1.3-4)
LDAP schema for FusionDirectory systems plugin
fusiondirectory-plugin-user-reminder (1.3-4)
user reminder plugin for FusionDirectory
fusiondirectory-plugin-user-reminder-schema (1.3-4)
LDAP schema for FusionDirectory user reminder plugin
fusiondirectory-plugin-weblink (1.3-4)
weblink plugin for FusionDirectory
fusiondirectory-plugin-weblink-schema (1.3-4)
LDAP schema for FusionDirectory weblink plugin
fusiondirectory-plugin-webservice (1.3-4)
webservice plugin for FusionDirectory
fusiondirectory-plugin-webservice-schema (1.3-4)
schema for the webservice plugin for FusionDirectory
fusiondirectory-schema (1.3-4)
LDAP schema for FusionDirectory
fusiondirectory-smarty3-acl-render (1.3-4)
Provide FusionDirectory ACL based rendering for Smarty3
fusiondirectory-theme-oxygen (1.3-4)
Icon theme Oxygen for FusionDirectory
fusiondirectory-webservice-shell (1.3-4)
webservice shell for FusionDirectory
fusioninventory-agent (1:2.6-2)
hardware and software inventory tool (client)
fusioninventory-agent-task-collect (1:2.6-2)
collect task support for FusionInventory
fusioninventory-agent-task-deploy (1:2.6-2)
software deployment support for FusionInventory
fusioninventory-agent-task-esx (1:2.6-2)
ESX and ESXi inventory for FusionInventory Agent
fusioninventory-agent-task-network (1:2.6-2)
network device discovery for FusionInventory Agent
futatabi (2.0.1-3)
multicamera slow motion video server
fuzz (0.6-19)
stress-test programs by giving them random input
fuzzylite (6.0+dfsg-3)
fuzzy logic control binary
fuzzyocr (3.6.0-15)
spamassassin plugin to check image attachments
fvwm (1:2.6.8-1+b1)
F(?) Virtual Window Manager
fvwm-crystal (3.4.1+dfsg-3)
Pretty Desktop Environment based on FVWM
fvwm-icons (20070101-6)
icon *.xpm files from fvwm development site
fvwm1 (1.24r-57+b1 [amd64], 1.24r-57 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Old version of the F(?) Virtual Window Manager
fw4spl
本虛擬套件由這些套件填實: libsight
fwanalog (0.6.9-8)
firewall log-file report generator (using analog)
fwbuilder (5.3.7-4.1)
Firewall administration tool GUI
fwbuilder-common (5.3.7-4.1)
Firewall administration tool GUI (common files)
fwbuilder-doc (5.3.7-4.1)
Firewall administration tool GUI documentation
fwbuilder-frontend
本虛擬套件由這些套件填實: fwbuilder
fweb (1.62-14)
literate-programming tool for C/C++/Fortran/Ratfor
fweb-doc (1.62-14)
Documentation for literate-programming tool Fweb
fwknop-apparmor-profile (2.6.10-12)
FireWall KNock OPerator - Apparmor profile
fwknop-client (2.6.10-12)
FireWall KNock OPerator client side - C version
fwknop-server (2.6.10-12)
FireWall KNock OPerator server side - C version
fwlogwatch (1.4-3)
Firewall log analyzer
fwsnort (1.6.8-1)
Snort-to-iptables rule translator
fwupd (1.5.7-4)
Firmware update daemon
fwupd-amd64-signed (1.5.7+4)
Tools to manage UEFI firmware updates (signed)
fwupd-amd64-signed-template (1.5.7-4)
Template for signed fwupd package
fwupd-arm64-signed (1.5.7+4)
Tools to manage UEFI firmware updates (signed)
fwupd-arm64-signed-template (1.5.7-4)
Template for signed fwupd package
fwupd-armhf-signed (1.5.7+4)
Tools to manage UEFI firmware updates (signed)
fwupd-armhf-signed-template (1.5.7-4)
Template for signed fwupd package
fwupd-doc (1.5.7-4)
Firmware update daemon documentation (HTML format)
fwupd-i386-signed (1.5.7+4)
Tools to manage UEFI firmware updates (signed)
fwupd-i386-signed-template (1.5.7-4)
Template for signed fwupd package
fwupd-signed
本虛擬套件由這些套件填實: fwupd-amd64-signed, fwupd-i386-signed, fwupd-arm64-signed, fwupd-armhf-signed
fwupd-tests (1.5.7-4)
Test suite for firmware update daemon
fwupdate (12-7)
Transitional package for fwupd
fwupdate
本虛擬套件由這些套件填實: fwupd
fxload (0.0.20081013-1+b2)
Firmware download to EZ-USB devices
fxt-tools (0.3.13-1)
Multithreaded tracing library
fyre (1.0.1-7)
interactively renders Peter de Jong maps (chaotic functions)
fzf (0.24.3-1+b6)
general-purpose command-line fuzzy finder
fzy (1.0-1)
fast, simple fuzzy text selector
g++ (4:10.2.1-1)
GNU C++ compiler
g++-10 (10.2.1-6)
GNU C++ compiler
g++-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for arm64 architecture)
g++-10-alpha-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for alpha architecture)
g++-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU C++ compiler (cross compiler for armel architecture)
g++-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU C++ compiler (cross compiler for armhf architecture)
g++-10-hppa-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for hppa architecture)
g++-10-i686-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for i386 architecture)
g++-10-m68k-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for m68k architecture)
g++-10-mips-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mips architecture)
g++-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mips64 architecture)
g++-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mips64el architecture)
g++-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mipsel architecture)
g++-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mipsr6 architecture)
g++-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mipsr6el architecture)
g++-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mips64r6 architecture)
g++-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (cross compiler for mips64r6el architecture)
g++-10-multilib (10.2.1-6)
GNU C++ compiler (multilib support)
g++-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for i386 architecture)
g++-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mips architecture)
g++-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mips64 architecture)
g++-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mips64el architecture)
g++-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mipsel architecture)
g++-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mipsr6 architecture)
g++-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mipsr6el architecture)
g++-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mips64r6 architecture)
g++-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for mips64r6el architecture)
g++-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for powerpc architecture)
g++-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for ppc64 architecture)
g++-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for s390x architecture)
g++-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for sparc64 architecture)
g++-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for amd64 architecture)
g++-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU C++ compiler (multilib support) (cross compiler for x32 architecture)
g++-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for powerpc architecture)
g++-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for ppc64 architecture)
g++-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for ppc64el architecture)
g++-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for riscv64 architecture)
g++-10-s390x-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for s390x architecture)
g++-10-sh4-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for sh4 architecture)
g++-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for sparc64 architecture)
g++-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU C++ compiler (cross compiler for amd64 architecture)
g++-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU C++ compiler (cross compiler for x32 architecture)
g++-9 (9.3.0-22)
GNU C++ compiler
g++-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for arm64 architecture)
g++-9-alpha-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for alpha architecture)
g++-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU C++ compiler (cross compiler for armel architecture)
g++-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU C++ compiler (cross compiler for armhf architecture)
g++-9-hppa-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for hppa architecture)
g++-9-i686-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for i386 architecture)
g++-9-m68k-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for m68k architecture)
g++-9-multilib (9.3.0-22)
GNU C++ compiler (multilib support)
g++-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for i386 architecture)
g++-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for powerpc architecture)
g++-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for ppc64 architecture)
g++-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for s390x architecture)
g++-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for sparc64 architecture)
g++-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for amd64 architecture)
g++-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU C++ compiler (multilib support) (cross compiler for x32 architecture)
g++-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for powerpc architecture)
g++-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for ppc64 architecture)
g++-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for ppc64el architecture)
g++-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for riscv64 architecture)
g++-9-s390x-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for s390x architecture)
g++-9-sh4-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for sh4 architecture)
g++-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for sparc64 architecture)
g++-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU C++ compiler (cross compiler for amd64 architecture)
g++-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU C++ compiler (cross compiler for x32 architecture)
g++-aarch64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the arm64 architecture
g++-aarch64-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-alpha-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the alpha architecture
g++-arm-linux-gnueabi (4:10.2.1-1)
GNU C++ compiler for the armel architecture
g++-arm-linux-gnueabi (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-arm-linux-gnueabihf (4:10.2.1-1)
GNU C++ compiler for the armhf architecture
g++-arm-linux-gnueabihf (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-hppa-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the hppa architecture
g++-i686-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the i386 architecture
g++-i686-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-m68k-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the m68k architecture
g++-mingw-w64 (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64
g++-mingw-w64-i686 (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64 targeting Win32
g++-mingw-w64-i686-posix (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64, Win32/POSIX
g++-mingw-w64-i686-win32 (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64, Win32/Win32
g++-mingw-w64-x86-64 (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64 targeting Win64
g++-mingw-w64-x86-64-posix (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64, Win64/POSIX
g++-mingw-w64-x86-64-win32 (10.2.1-6+24.2)
GNU C++ compiler for MinGW-w64, Win64/Win32
g++-mips-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mips architecture
g++-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64 architecture
g++-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64el architecture
g++-mips64el-linux-gnuabi64 (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-mipsel-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mipsel architecture
g++-mipsel-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mipsr6 architecture
g++-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mipsr6el architecture
g++-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64r6 architecture
g++-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64r6el architecture
g++-multilib (4:10.2.1-1)
GNU C++ compiler (multilib files)
g++-multilib-i686-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the i386 architecture
g++-multilib-mips-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mips architecture
g++-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64 architecture
g++-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64el architecture
g++-multilib-mipsel-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mipsel architecture
g++-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mipsr6 architecture
g++-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU C++ compiler for the mipsr6el architecture
g++-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64r6 architecture
g++-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU C++ compiler for the mips64r6el architecture
g++-multilib-powerpc-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the powerpc architecture
g++-multilib-powerpc64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the ppc64 architecture
g++-multilib-s390x-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the s390x architecture
g++-multilib-sparc64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the sparc64 architecture
g++-multilib-x86-64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the amd64 architecture
g++-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
GNU C++ compiler for the x32 architecture
g++-powerpc-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the powerpc architecture
g++-powerpc64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the ppc64 architecture
g++-powerpc64le-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the ppc64el architecture
g++-powerpc64le-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-riscv64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the riscv64 architecture
g++-s390x-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the s390x architecture
g++-s390x-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-sh4-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the sh4 architecture
g++-sparc64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the sparc64 architecture
g++-x86-64-linux-gnu (4:10.2.1-1)
GNU C++ compiler for the amd64 architecture
g++-x86-64-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: g++
g++-x86-64-linux-gnux32 (4:10.2.1-1)
GNU C++ compiler for the x32 architecture
g-wrap (1.9.15-3.1)
scripting interface generator for C
g10k (0.5.7-1+b7)
Puppet environment and module deployment
g15daemon (1.9.5.3-12)
LCD multiplexer for Logitech G15 Keyboard
g2p-sk (0.4.2-4)
Phonetic transcription for Slovak language
g3data (1:1.5.3-3)
extract data from scanned graphs
g3dviewer (0.2.99.5~svn130-11)
3D model viewer for GTK+
g810-led (0.4.2-1+deb11u1)
LED configuration tool for Logitech Gx10 keyboards
gabedit (2.5.1~20200828-1)
graphical user interface to Ab Initio packages
gadmin-bind (0.2.5-2+b2)
GTK+ configuration tool for bind9
gadmin-bind-dbg (0.2.5-2+b2)
GTK+ configuration tool for bind9 (debug)
gadmin-openvpn-client (0.1.9-1+b2)
GTK+ configuration tool for openvpn (client)
gadmin-rsync (0.1.7-1.1)
GTK+ configuration tool for rsync
gadmin-rsync-dbg (0.1.7-1.1)
GTK+ configuration tool for rsync (debug)
gaffitter (0.6.0-3)
File subsets extractor based on genetic algorithms
gaiksaurus (1.2.1+dev-0.12-6.3)
graphical interface to the Aiksaurus toolkit (GTK+ frontend)
gaim-librvp
本虛擬套件由這些套件填實: pidgin-librvp
gajim (1.3.1-1)
GTK+-based Jabber client
gajim-antispam (1.5.2-2)
Gajim plugin to block some incoming messages
gajim-appindicatorintegration (1.3.3-2)
integrates Gajim with the appindicator
gajim-httpupload
本虛擬套件由這些套件填實: gajim
gajim-lengthnotifier (1.3.2-2)
displays character count and notifies when maximum length is reached
gajim-omemo (2.7.13-1)
Gajim plugin for OMEMO Multi-End Message and Object Encryption
gajim-openpgp (1.3.9-2)
Gajim plugin for OpenPGP encryption
gajim-pgp (1.3.5-2)
Gajim plugin for PGP encryption
gajim-plugininstaller (1.3.8-1)
Gajim plugin to install plugins from ftp.gajim.org
gajim-syntaxhighlight (1.3.2-2)
highlights source code blocks in chat window
gajim-triggers (1.3.1-2)
configure Gajim's behaviour for each contact
gajim-urlimagepreview (2.5.6-2)
displays images inside Gajim chat window
galculator (2.1.4-1.1)
scientific calculator
galera
本虛擬套件由這些套件填實: galera-3
galera-3 (25.3.37-0+deb11u1)
Replication framework for transactional applications
galera-4 (26.4.11-0+deb11u1)
Replication framework for transactional applications
galera-arbitrator-3 (25.3.37-0+deb11u1)
Galera arbitrator daemon
galera-arbitrator-4 (26.4.11-0+deb11u1)
Galera arbitrator daemon
galera3
本虛擬套件由這些套件填實: galera-3
galera4
本虛擬套件由這些套件填實: galera-4
galileo (0.5.1-7)
Utility to securely synchronize a Fitbit device with the Fitbit web service
galileo-daemon (0.5.1-7)
Utility to securely synchronize a Fitbit device - daemon
gallery-dl (1.18.0-1)
command-line program to download image galleries
galleta (1.0+20040505-11)
Internet Explorer cookie forensic analysis tool
galois (0.7-1)
falling blocks game with blocks of various shapes and sizes
galternatives (1.0.8)
graphical setup tool for the alternatives system
gamazons (0.83-10)
Amazons boardgame for GNOME
gambas3 (3.15.2-1)
Complete visual development environment for Gambas
gambas3-dev
本虛擬套件由這些套件填實: gambas3-devel
gambas3-devel (3.15.2-1)
Gambas compilation tools
gambas3-examples (3.15.2-1)
Gambas examples
gambas3-gb-args (3.15.2-1)
Gambas arguments parser
gambas3-gb-cairo (3.15.2-1)
Gambas bindings for cairo
gambas3-gb-chart (3.15.2-1)
Gambas charting component
gambas3-gb-clipper (3.15.2-1)
Gambas Clipper component
gambas3-gb-complex (3.15.2-1)
Gambas Complex component
gambas3-gb-compress (3.15.2-1)
Gambas compression component
gambas3-gb-compress-bzlib2 (3.15.2-1)
Gambas bzlib2 component
gambas3-gb-compress-zlib (3.15.2-1)
Gambas zlib compression component
gambas3-gb-crypt (3.15.2-1)
Gambas crypt encription component
gambas3-gb-data (3.15.2-1)
Gambas abstract datatypes component
gambas3-gb-db (3.15.2-1)
Gambas database access common libraries
gambas3-gb-db-form (3.15.2-1)
Gambas database bound controls
gambas3-gb-db-mysql (3.15.2-1)
MySQL driver for the Gambas database
gambas3-gb-db-odbc (3.15.2-1)
ODBC driver for the Gambas database
gambas3-gb-db-postgresql (3.15.2-1)
PostgreSQL driver for the Gambas database
gambas3-gb-db-sqlite3 (3.15.2-1)
Gambas sqlite3 driver database
gambas3-gb-dbus (3.15.2-1)
Gambas bindings for DBUS
gambas3-gb-dbus-trayicon (3.15.2-1)
System tray icon management for Gambas
gambas3-gb-desktop (3.15.2-1)
Gambas Portland project compatibility component
gambas3-gb-desktop-x11 (3.15.2-1)
Gambas Portland project compatibility component for X11
gambas3-gb-eval-highlight (3.15.2-1)
Gambas syntax highlighting component
gambas3-gb-form (3.15.2-1)
Gambas native form component
gambas3-gb-form-dialog (3.15.2-1)
Gambas native dialog form component
gambas3-gb-form-editor (3.15.2-1)
Gambas native editor form component
gambas3-gb-form-mdi (3.15.2-1)
Gambas native mdi form component
gambas3-gb-form-print (3.15.2-1)
Gambas print form component
gambas3-gb-form-stock (3.15.2-1)
Gambas form stock icons
gambas3-gb-form-terminal (3.15.2-1)
Gambas terminal form component
gambas3-gb-gmp (3.15.2-1)
Gambas GMP component
gambas3-gb-gsl (3.15.2-1)
Gambas GNU Scientific Library component
gambas3-gb-gtk3 (3.15.2-1)
Gambas GTK+3 component
gambas3-gb-gui (3.15.2-1)
Gambas graphical toolkit selector
gambas3-gb-gui-opengl (3.15.2-1)
transitional package
gambas3-gb-gui-opengl
本虛擬套件由這些套件填實: gambas3-runtime
gambas3-gb-gui-qt (3.15.2-1)
transitional package
gambas3-gb-gui-qt
本虛擬套件由這些套件填實: gambas3-runtime
gambas3-gb-gui-qt-webkit (3.15.2-1)
transitional package
gambas3-gb-gui-qt-webkit
本虛擬套件由這些套件填實: gambas3-runtime
gambas3-gb-gui-trayicon (3.15.2-1)
transitional package
gambas3-gb-gui-trayicon
本虛擬套件由這些套件填實: gambas3-runtime
gambas3-gb-httpd (3.15.2-1)
Gambas HTTP server
gambas3-gb-image (3.15.2-1)
Gambas image effects
gambas3-gb-image-effect (3.15.2-1)
Gambas image effects: effects
gambas3-gb-image-imlib (3.15.2-1)
Gambas image effects: IMLIB bindings
gambas3-gb-image-io (3.15.2-1)
Gambas image effects: I/O
gambas3-gb-inotify (3.15.2-1)
Gambas filesystem events monitoring component
gambas3-gb-jit (3.15.2-1)
Gambas Just-In-Time compiler component
gambas3-gb-libxml (3.15.2-1)
Gambas libxml component
gambas3-gb-logging (3.15.2-1)
Gambas logging system component
gambas3-gb-map (3.15.2-1)
Gambas online map viewer
gambas3-gb-markdown (3.15.2-1)
Gambas markdown convert component
gambas3-gb-media (3.15.2-1)
Gambas media component
gambas3-gb-media-form (3.15.2-1)
Gambas media player controls
gambas3-gb-memcached (3.15.2-1)
Gambas memcached client
gambas3-gb-mime (3.15.2-1)
Gambas MIME message management
gambas3-gb-mysql (3.15.2-1)
Gambas MySQL component
gambas3-gb-ncurses (3.15.2-1)
Gambas NCurses component
gambas3-gb-net (3.15.2-1)
Gambas networking component
gambas3-gb-net-curl (3.15.2-1)
Gambas advanced networking component
gambas3-gb-net-pop3 (3.15.2-1)
Gambas POP3 client implementation
gambas3-gb-net-smtp (3.15.2-1)
Gambas smtp protocol component
gambas3-gb-openal (3.15.2-1)
Gambas OpenAL component
gambas3-gb-opengl (3.15.2-1)
Gambas OpenGL component
gambas3-gb-opengl-glsl (3.15.2-1)
Gambas OpenGL component: GL Shading Language subcomponent
gambas3-gb-opengl-glu (3.15.2-1)
Gambas OpenGL utility
gambas3-gb-opengl-sge (3.15.2-1)
Gambas SDL Game Engine
gambas3-gb-openssl (3.15.2-1)
Gambas OpenSSL component
gambas3-gb-option (3.15.2-1)
Gambas option component
gambas3-gb-pcre (3.15.2-1)
Gambas regexp component
gambas3-gb-pdf (3.15.2-1)
Gambas pdf component
gambas3-gb-poppler (3.15.2-1)
Gambas poppler component
gambas3-gb-qt5 (3.15.2-1)
Gambas Qt5 GUI component
gambas3-gb-qt5-ext (3.15.2-1)
Gambas extended Qt GUI component
gambas3-gb-qt5-opengl (3.15.2-1)
Gambas OpenGL component with QT5 toolkit
gambas3-gb-qt5-webkit (3.15.2-1)
Gambas WebKit component
gambas3-gb-report (3.15.2-1)
Gambas report component
gambas3-gb-report2 (3.15.2-1)
Gambas report2 component
gambas3-gb-scanner (3.15.2-1)
Gambas scanner component
gambas3-gb-sdl (3.15.2-1)
Gambas SDL component
gambas3-gb-sdl-sound (3.15.2-1)
Gambas SDL sound component
gambas3-gb-sdl2 (3.15.2-1)
Gambas SDL2 component
gambas3-gb-sdl2-audio (3.15.2-1)
Gambas SDL2 audio component
gambas3-gb-settings (3.15.2-1)
Gambas utilities class
gambas3-gb-signal (3.15.2-1)
Gambas OS signal library
gambas3-gb-term (3.15.2-1)
Terminal manager for Gambas
gambas3-gb-term-form (3.15.2-1)
Gambas GUI terminal form component
gambas3-gb-util (3.15.2-1)
This component provides many useful utility methods or classes
gambas3-gb-util-web (3.15.2-1)
This component provides many utilities useful for web applications
gambas3-gb-v4l (3.15.2-1)
Gambas video for Linux component
gambas3-gb-vb (3.15.2-1)
Gambas Visual Basic(tm) compatibility component
gambas3-gb-web (3.15.2-1)
Gambas CGI for web applications
gambas3-gb-web-feed (3.15.2-1)
Gambas web feed parser and generator
gambas3-gb-web-form (3.15.2-1)
Gambas web application component
gambas3-gb-web-gui (3.15.2-1)
Gambas web application GUI component
gambas3-gb-xml (3.15.2-1)
Gambas XML component
gambas3-gb-xml-html (3.15.2-1)
Gambas HTML component
gambas3-gb-xml-rpc (3.15.2-1)
Gambas RPC component
gambas3-gb-xml-xslt (3.15.2-1)
Gambas XSLT component
gambas3-ide (3.15.2-1)
Visual development environment for the Gambas programming language
gambas3-runtime (3.15.2-1)
Gambas runtime interpreter
gambas3-script
本虛擬套件由這些套件填實: gambas3-scripter
gambas3-scripter (3.15.2-1)
Gambas scripter
gambc (4.9.3-1.1)
Gambit Scheme interpreter and compiler
gambc-doc (4.9.3-1.1)
documentation for the Gambit interpreter and compiler
game-data-packager (67) [contrib]
Installer for game data files
game-data-packager-runtime (67) [contrib]
Launcher for proprietary games
gameconqueror (0.17-3)
locate and modify a variable in a running process (GUI)
gamemode (1.6.1-1)
Optimise Linux system performance on demand
gamemode-daemon (1.6.1-1)
Optimise Linux system performance on demand (daemon)
gamemode-dev (1.6.1-1)
Optimise Linux system performance on demand (dev files)
games-adventure (4)
Debian's adventure games
games-all (4)
Default selection of tasks for Debian Games
games-arcade (4)
Debian's arcade games
games-board (4)
Debian's board games
games-c++-dev (4)
development of games in C/C++/D
games-card (4)
Debian's card games
games-chess (4)
Debian's chess games
games-console (4)
Debian's console games
games-content-dev (4)
development of game content
games-education (4)
Debian's educational games
games-emulator (4)
Debian's emulators for games
games-finest (4)
Debian's finest games
games-fps (4)
Debian's first-person shooter games
games-java-dev (4)
development of games in Java
games-minesweeper (4)
Debian's minesweeper games
games-mud (4)
Debian's multi-user-dungeon games
games-perl-dev (4)
development of games in Perl
games-platform (4)
Debian's platform games
games-programming (4)
Debian's programming games
games-puzzle (4)
Debian's puzzle games
games-python3-dev (4)
development of games in Python 3
games-racing (4)
Debian's racing games
games-rogue (4)
Debian's roguelike games
games-rpg (4)
Debian's roleplaying games
games-shootemup (4)
Debian's shoot 'em up games
games-simulation (4)
Debian's simulation games
games-sport (4)
Debian's sport games
games-strategy (4)
Debian's strategy games
games-tasks (4)
Debian Games tasks for tasksel
games-tetris (4)
Debian's tetris-like games
games-thumbnails (20120227+nmu1)
thumbnails of games in Debian
games-toys (4)
Debian's toy games
games-typing (4)
Debian's typing games
gamgi (0.17.3-3)
General Atomistic Modelling Graphic Interface (GAMGI)
gamgi-data (0.17.3-3)
General Atomistic Modelling Graphic Interface (data)
gamgi-doc (0.17.3-3)
General Atomistic Modelling Graphic Interface (documentation)
gamin (0.1.10-6)
File and directory monitoring system
gamine (1.6-1)
Interactive game for young children
gamine-data (1.6-1)
data files for gamine game
gaminggear-utils (0.15.1-11)
Utilities from libgaminggear
gammaray (2.11.2-2+b1)
Tool for examining the internals of Qt application
gammaray-dev (2.11.2-2+b1)
GammaRay plugin development files
gammaray-plugin-bluetooth (2.11.2-2+b1)
QtBluetooth type support for GammaRay
gammaray-plugin-kjobtracker (2.11.2-2+b1)
KJob tracker plugin for GammaRay
gammaray-plugin-positioning (2.11.2-2+b1)
Qt5Positioning type support for GammaRay
gammaray-plugin-quickinspector (2.11.2-2+b1)
GammaRay plugin for inspecting QtQuick2 applications
gammaray-plugin-waylandinspector (2.11.2-2+b1)
Wayland compositor inspector plugin for GammaRay
gammastep (2.0.2-4)
Adjust display hue to outside lighting conditions
ganeti (3.0.2-1~deb11u1)
cluster virtualization manager
ganeti-3.0 (3.0.2-1~deb11u1)
cluster virtualization manager - Python components
ganeti-doc (3.0.2-1~deb11u1)
cluster virtualization manager - documentation
ganeti-haskell-3.0 (3.0.2-1~deb11u1)
cluster virtualization manager - Haskell components
ganeti-htools (3.0.2-1~deb11u1)
cluster virtualization manager - tools (stand-alone)
ganeti-htools
本虛擬套件由這些套件填實: ganeti
ganeti-htools-3.0 (3.0.2-1~deb11u1)
cluster virtualization manager - tools for Ganeti 3.0
ganeti-instance-debootstrap (0.16-6.1)
debootstrap-based instance OS definition for ganeti
ganeti-os-noop (0.2-4)
Dummy no-op OS provider for Ganeti
ganeti-testsuite (3.0.2-1~deb11u1)
cluster virtualization manager - test suite
ganglia-modules-linux (1.3.6-5)
Ganglia extra modules for Linux (IO, filesystems, multicpu)
ganglia-monitor (3.7.2-4)
cluster monitoring toolkit - node daemon
ganglia-webfrontend (3.7.5+debian-3)
cluster monitoring toolkit - web front-end
gant (1.9.11-8)
Groovy build framework based on scripting Ant tasks
ganyremote (8.1-1.1)
GTK+ frontend for anyRemote
gap (4.11.0-4)
computer algebra system for Groups, Algorithms and Programming
gap-aclib (1.3.2-2)
GAP AClib - Almost Crystallographic Groups - A Library and Algorithms
gap-alnuth (3.1.2-2)
GAP Alnuth - Algebraic number theory and an interface to PARI/GP
gap-atlasrep (2.1.0-3)
GAP AtlasRep - A GAP Interface to the Atlas of Group Representations
gap-autodoc (2019.09.04-1)
GAP AutoDoc - Generate documentation from GAP source code
gap-autpgrp (1.10.2-2)
GAP AutPGrp - Computing the Automorphism Group of a p-Group
gap-character-tables (1.3.1-1)
GAP Library of character tables
gap-core (4.11.0-4)
GAP computer algebra system, core components
gap-cryst (4.1.23-2)
GAP Cryst - Computing with crystallographic groups
gap-crystcat (1.1.9-2)
GAP CrystCat - The crystallographic groups catalog
gap-design (1.7+ds-2)
block designs for GAP
gap-dev (4.11.0-4)
GAP computer algebra system, compiler and development files
gap-doc (4.11.0-4)
GAP computer algebra system, documentation
gap-factint (1.6.3+ds-1)
advanced methods for factoring integers for GAP
gap-fga (1.4.0-2)
GAP FGA - Free Group Algorithms
gap-float (0.9.1+ds-6+b1)
multi-precision floating-point computation for GAP
gap-gapdoc (1.6.3-1)
GAPDoc - A Meta Package for GAP Documentation
gap-grape (4.8.3+ds-1)
GRaph Algorithms using PErmutation groups for GAP
gap-guava (3.15+ds-3)
coding theory library for GAP
gap-guava-bin (3.15+ds-3)
coding theory library for GAP (arch-dep files)
gap-io (4.7.0+ds-2)
low level C library IO bindings for GAP
gap-kernel-7
本虛擬套件由這些套件填實: gap-core
gap-laguna (3.9.3+ds-2)
LAGUNA GAP package
gap-libs (4.11.0-4)
GAP computer algebra system, essential GAP libraries
gap-nq (2.5.4-2)
GAP nq - Nilpotent Quotients of Finitely Presented Groups
gap-online-help (4.11.0-4)
GAP computer algebra system, online help
gap-openmath (11.5.0+ds-2)
OpenMath phrasebook for GAP
gap-pkg-aclib
本虛擬套件由這些套件填實: gap-aclib
gap-pkg-alnuth
本虛擬套件由這些套件填實: gap-alnuth
gap-pkg-atlasrep
本虛擬套件由這些套件填實: gap-atlasrep
gap-pkg-autodoc
本虛擬套件由這些套件填實: gap-autodoc
gap-pkg-autpgrp
本虛擬套件由這些套件填實: gap-autpgrp
gap-pkg-cryst
本虛擬套件由這些套件填實: gap-cryst
gap-pkg-crystcat
本虛擬套件由這些套件填實: gap-crystcat
gap-pkg-ctbllib
本虛擬套件由這些套件填實: gap-character-tables
gap-pkg-design
本虛擬套件由這些套件填實: gap-design
gap-pkg-factint
本虛擬套件由這些套件填實: gap-factint
gap-pkg-fga
本虛擬套件由這些套件填實: gap-fga
gap-pkg-float
本虛擬套件由這些套件填實: gap-float
gap-pkg-gapdoc
本虛擬套件由這些套件填實: gap-gapdoc
gap-pkg-grape
本虛擬套件由這些套件填實: gap-grape
gap-pkg-guava
本虛擬套件由這些套件填實: gap-guava
gap-pkg-guava-bin
本虛擬套件由這些套件填實: gap-guava-bin
gap-pkg-io
本虛擬套件由這些套件填實: gap-io
gap-pkg-laguna
本虛擬套件由這些套件填實: gap-laguna
gap-pkg-nq
本虛擬套件由這些套件填實: gap-nq
gap-pkg-openmath
本虛擬套件由這些套件填實: gap-openmath
gap-pkg-polycyclic
本虛擬套件由這些套件填實: gap-polycyclic
gap-pkg-primgrp
本虛擬套件由這些套件填實: gap-primgrp
gap-pkg-radiroot
本虛擬套件由這些套件填實: gap-radiroot
gap-pkg-scscp
本虛擬套件由這些套件填實: gap-scscp
gap-pkg-smallgrp
本虛擬套件由這些套件填實: gap-smallgrp-extra
gap-pkg-sonata
本虛擬套件由這些套件填實: gap-sonata
gap-pkg-tomlib
本虛擬套件由這些套件填實: gap-table-of-marks
gap-pkg-toric
本虛擬套件由這些套件填實: gap-toric
gap-pkg-transgrp
本虛擬套件由這些套件填實: gap-transgrp
gap-polycyclic (2.15.1-1)
GAP Polycyclic - Computation with polycyclic groups
gap-primgrp (3.4.0-1)
GAP PrimGrp - GAP Primitive Permutation Groups Library
gap-radiroot (2.8-1.1)
GAP RadiRoot - Roots of a Polynomial as Radicals
gap-scscp (2.3.1+ds-2)
SCSCP protocol in GAP
gap-smallgrp (1.4.1-2)
GAP SmallGrp - The GAP Small Groups Library
gap-smallgrp-extra (1.4.1-2)
GAP SmallGrp - The GAP Small Groups Library
gap-sonata (2.9.1+ds-3)
Systems Of Nearrings And Their Applications for GAP
gap-table-of-marks (1.2.9-1)
GAP TomLib - The GAP Library of Tables of Marks
gap-toric (1.9.5+ds-1)
toric variety for GAP
gap-transgrp (2.0.6-2)
GAP TransGrp - Transitive Groups Library
garagemq (0.0~git20200204.15e6a9d+ds-3+b7)
AMQP message broker implemented with golang
garden-of-coloured-lights (1.0.9-2)
abstract vertical shooter with music elements
garden-of-coloured-lights-data (1.0.9-2)
abstract vertical shooter with music elements (data)
gargoyle-free (2019.1.1-2)
graphical player for Interactive Fiction games
garli (2.1-4)
phylogenetic analysis of molecular sequence data using maximum-likelihood
garli-examples (2.1-4)
phylogenetic analysis of molecular sequence data (examples)
garli-mpi (2.1-4)
phylogenetic analysis of molecular sequence data using maximum-likelihood (MPI)
garlic (1.6-3+b1 [amd64], 1.6-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
visualization program for biomolecules
garlic-doc (1.6-1.1)
[Chemistry] a molecular visualization program - documents
garmin-forerunner-tools (0.10repacked-11)
retrieve data from Garmin Forerunner/Edge GPS devices
gasic (0.0.r19-7)
genome abundance similarity correction
gasic-examples (0.0.r19-7)
genome abundance similarity correction (example data)
gatb-core (1.4.2+dfsg-6)
Genome Analysis Toolbox with de-Bruijn graph
gatb-core-testdata (1.4.2+dfsg-6)
Genome Analysis Toolbox with de-Bruijn graph (test data)
gatling (0.13-6.1)
high performance web server and file server
gatos (0.0.5-19.1)
ATI All-in-Wonder TV capture software
gauche (0.9.10-3)
Scheme script engine
gauche-c-wrapper (0.6.1-12)
Foreign function interface for Gauche to C libraries
gauche-dev (0.9.10-3)
Development files for Gauche
gauche-doc (0.9.10-3)
Reference manual of Gauche
gauche-gdbm (0.9.10-3)
gdbm binding for Gauche
gauche-gl (0.6-4+b2)
Gauche bindings for OpenGL
gauche-zlib (0.9.10-3)
zlib binding for Gauche
gaupol (1.9-1)
subtitle editor for text-based subtitle files
gausssum (3.0.2-2)
parse and display Gaussian, GAMESS, and etc's output
gav (0.9.0-3+b2)
GPL Arcade Volleyball
gav-themes (0.7.3-2.3)
Extra themes for GPL Arcade Volleyball
gaviotatb (0.4-2.1)
Gaviota chess endgame tablebases
gavodachs2-server (2.3+dfsg-3)
server package of the Virtual Observatory data center suite
gawk (1:5.1.0-1)
GNU awk, a pattern scanning and processing language
gawk-doc (5.1.0-1) [non-free]
Documentation for GNU awk
gazebo (11.1.0+dfsg-6)
Open Source Robotics Simulator - Binaries
gazebo-common (11.1.0+dfsg-6)
Open Source Robotics Simulator - Shared files
gazebo-doc (11.1.0+dfsg-6)
Open Source Robotics Simulator - Documentation
gazebo-plugin-base (11.1.0+dfsg-6)
Open Source Robotics Simulator - base plug-ins
gazebo9 (11.1.0+dfsg-6)
transitional package
gazebo9-common (11.1.0+dfsg-6)
transitional package
gazebo9-doc (11.1.0+dfsg-6)
transitional package
gazebo9-plugin-base (11.1.0+dfsg-6)
transitional package
gbase (0.5-2.2+b2)
small numeric base converter
gbatnav (1.0.4cvs20051004-6)
networked BattleShip game
gbdfed (1.6-4+b1)
X11 font editor
gbemol (0.3.2-2+b1 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x], 0.3.2-2 [arm64])
Graphical frontend for the Music Player Daemon (MPD)
gbgoffice (1.4-11)
bgoffice dictionary frontend (GTK2)
gbonds (2.0.3-16+deb11u1)
U.S. Savings Bond inventory program for GNOME
gbonds-data (2.0.3-16+deb11u1)
GBonds data files
gbrainy (1:2.4.3-1)
brain teaser game and trainer to have fun and to keep your brain trained
gbrowse (2.56+dfsg-8)
GMOD Generic Genome Browser
gbrowse-calign (2.56+dfsg-8+b1)
CAlign helper
gbrowse-data (2.56+dfsg-8)
Sample data to use GBrowse
gbsplay (0.0.94-2)
Gameboy sound player
gbutils (6.0-1+b1)
utilities for command line econometrics
gcab (1.4-3)
Microsoft Cabinet file manipulation tool
gcal (4.1-3.1)
program for calculating and printing calendars
gcal-common (4.1-3.1)
gcal architecture independent files
gcalcli (4.3.0-1)
Google Calendar Command Line Interface
gcap (0.1.1-2.1)
YouTube closed caption retriever
gcc (4:10.2.1-1)
GNU C compiler
gcc-10 (10.2.1-6)
GNU C compiler
gcc-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for arm64 architecture)
gcc-10-aarch64-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-alpha-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for alpha architecture)
gcc-10-alpha-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU C compiler (cross compiler for armel architecture)
gcc-10-arm-linux-gnueabi-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU C compiler (cross compiler for armhf architecture)
gcc-10-arm-linux-gnueabihf-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-base (10.2.1-6)
GCC, the GNU Compiler Collection (base package)
gcc-10-cross-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (library base package)
gcc-10-cross-base-mipsen (10.2.1-6cross1)
GCC, the GNU Compiler Collection (library base package)
gcc-10-cross-base-ports (10.2.1-6cross1)
GCC, the GNU Compiler Collection (library base package)
gcc-10-doc (10.2.0-1) [non-free]
documentation for the GNU compilers (gcc, gobjc, g++)
gcc-10-hppa-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for hppa architecture)
gcc-10-hppa-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-hppa64-linux-gnu (10.2.1-6)
GNU C compiler (cross compiler for hppa64)
gcc-10-i686-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for i386 architecture)
gcc-10-i686-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-locales (10.2.1-6)
GCC, the GNU compiler collection (native language support files)
gcc-10-m68k-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for m68k architecture)
gcc-10-m68k-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mips-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for mips architecture)
gcc-10-mips-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (cross compiler for mips64 architecture)
gcc-10-mips64-linux-gnuabi64-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (cross compiler for mips64el architecture)
gcc-10-mips64el-linux-gnuabi64-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for mipsel architecture)
gcc-10-mipsel-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for mipsr6 architecture)
gcc-10-mipsisa32r6-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for mipsr6el architecture)
gcc-10-mipsisa32r6el-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (cross compiler for mips64r6 architecture)
gcc-10-mipsisa64r6-linux-gnuabi64-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (cross compiler for mips64r6el architecture)
gcc-10-mipsisa64r6el-linux-gnuabi64-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-multilib (10.2.1-6)
GNU C compiler (multilib support)
gcc-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for i386 architecture)
gcc-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mips architecture)
gcc-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mips64 architecture)
gcc-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mips64el architecture)
gcc-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mipsel architecture)
gcc-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mipsr6 architecture)
gcc-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mipsr6el architecture)
gcc-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mips64r6 architecture)
gcc-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for mips64r6el architecture)
gcc-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for powerpc architecture)
gcc-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for ppc64 architecture)
gcc-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for s390x architecture)
gcc-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for sparc64 architecture)
gcc-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for amd64 architecture)
gcc-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU C compiler (multilib support) (cross compiler for x32 architecture)
gcc-10-offload-amdgcn (10.2.1-6)
GCC offloading compiler to GCN
gcc-10-offload-nvptx (10.2.1-6)
GCC offloading compiler to NVPTX
gcc-10-plugin-dev (10.2.1-6)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-aarch64-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-alpha-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-arm-linux-gnueabi (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-arm-linux-gnueabihf (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-hppa-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-i686-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-m68k-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mips-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mips64-linux-gnuabi64 (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mips64el-linux-gnuabi64 (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mipsel-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mipsisa32r6-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-powerpc-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-powerpc64-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-powerpc64le-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-riscv64-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-s390x-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-sh4-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-sparc64-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-x86-64-linux-gnu (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-plugin-dev-x86-64-linux-gnux32 (10.2.1-6cross1)
Files for GNU GCC plugin development.
gcc-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for powerpc architecture)
gcc-10-powerpc-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for ppc64 architecture)
gcc-10-powerpc64-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for ppc64el architecture)
gcc-10-powerpc64le-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for riscv64 architecture)
gcc-10-riscv64-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-s390x-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for s390x architecture)
gcc-10-s390x-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-sh4-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for sh4 architecture)
gcc-10-sh4-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-source (10.2.1-6)
Source of the GNU Compiler Collection
gcc-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for sparc64 architecture)
gcc-10-sparc64-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-test-results (10.2.1-6)
Test results for the GCC test suite
gcc-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU C compiler (cross compiler for amd64 architecture)
gcc-10-x86-64-linux-gnu-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU C compiler (cross compiler for x32 architecture)
gcc-10-x86-64-linux-gnux32-base (10.2.1-6cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9 (9.3.0-22)
GNU C compiler
gcc-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for arm64 architecture)
gcc-9-aarch64-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-alpha-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for alpha architecture)
gcc-9-alpha-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU C compiler (cross compiler for armel architecture)
gcc-9-arm-linux-gnueabi-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU C compiler (cross compiler for armhf architecture)
gcc-9-arm-linux-gnueabihf-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-base (9.3.0-22)
GCC, the GNU Compiler Collection (base package)
gcc-9-cross-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (library base package)
gcc-9-cross-base-ports (9.3.0-22cross1)
GCC, the GNU Compiler Collection (library base package)
gcc-9-doc (9.3.0-1) [non-free]
documentation for the GNU compilers (gcc, gobjc, g++)
gcc-9-hppa-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for hppa architecture)
gcc-9-hppa-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-hppa64-linux-gnu (9.3.0-22)
GNU C compiler (cross compiler for hppa64)
gcc-9-i686-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for i386 architecture)
gcc-9-i686-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-locales (9.3.0-22)
GCC, the GNU compiler collection (native language support files)
gcc-9-m68k-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for m68k architecture)
gcc-9-m68k-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-multilib (9.3.0-22)
GNU C compiler (multilib support)
gcc-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for i386 architecture)
gcc-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for powerpc architecture)
gcc-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for ppc64 architecture)
gcc-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for s390x architecture)
gcc-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for sparc64 architecture)
gcc-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for amd64 architecture)
gcc-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU C compiler (multilib support) (cross compiler for x32 architecture)
gcc-9-offload-nvptx (9.3.0-22)
GCC offloading compiler to NVPTX
gcc-9-plugin-dev (9.3.0-22)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-aarch64-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-alpha-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-arm-linux-gnueabi (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-arm-linux-gnueabihf (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-hppa-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-i686-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-m68k-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-powerpc-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-powerpc64-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-powerpc64le-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-riscv64-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-s390x-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-sh4-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-sparc64-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-x86-64-linux-gnu (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-plugin-dev-x86-64-linux-gnux32 (9.3.0-22cross1)
Files for GNU GCC plugin development.
gcc-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for powerpc architecture)
gcc-9-powerpc-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for ppc64 architecture)
gcc-9-powerpc64-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for ppc64el architecture)
gcc-9-powerpc64le-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for riscv64 architecture)
gcc-9-riscv64-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-s390x-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for s390x architecture)
gcc-9-s390x-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-sh4-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for sh4 architecture)
gcc-9-sh4-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-source (9.3.0-22)
Source of the GNU Compiler Collection
gcc-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for sparc64 architecture)
gcc-9-sparc64-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-test-results (9.3.0-22)
Test results for the GCC test suite
gcc-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU C compiler (cross compiler for amd64 architecture)
gcc-9-x86-64-linux-gnu-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU C compiler (cross compiler for x32 architecture)
gcc-9-x86-64-linux-gnux32-base (9.3.0-22cross1)
GCC, the GNU Compiler Collection (base package)
gcc-aarch64-linux-gnu (4:10.2.1-1)
GNU C compiler for the arm64 architecture
gcc-aarch64-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-alpha-linux-gnu (4:10.2.1-1)
GNU C compiler for the alpha architecture
gcc-arm-linux-gnueabi (4:10.2.1-1)
GNU C compiler for the armel architecture
gcc-arm-linux-gnueabi (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-arm-linux-gnueabihf (4:10.2.1-1)
GNU C compiler for the armhf architecture
gcc-arm-linux-gnueabihf (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-arm-none-eabi (15:8-2019-q3-1+b1)
GCC cross compiler for ARM Cortex-R/M processors
gcc-arm-none-eabi-source (15:8-2019-q3-1)
GCC cross compiler for ARM Cortex-R/M processors (source)
gcc-avr (1:5.4.0+Atmel3.6.2-1+b1 [amd64], 1:5.4.0+Atmel3.6.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU C compiler (cross compiler for avr)
gcc-bpf (10.2.1-6+1)
GNU C compiler for bpf
gcc-doc (5:10.1.0-1) [contrib]
documentation for the GNU compilers (gcc, gobjc, g++)
gcc-doc-base (10.1.0-1) [contrib]
several GNU manual pages
gcc-h8300-hms (1:3.4.6+dfsg2-4.1)
GNU C compiler (cross compiler for h8300-hitachi-coff)
gcc-hppa-linux-gnu (4:10.2.1-1)
GNU C compiler for the hppa architecture
gcc-hppa64-linux-gnu (4:10.2.1-1)
GNU C compiler (cross compiler for hppa64-linux-gnu)
gcc-i686-linux-gnu (4:10.2.1-1)
GNU C compiler for the i386 architecture
gcc-i686-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-m68k-linux-gnu (4:10.2.1-1)
GNU C compiler for the m68k architecture
gcc-mingw-w64 (10.2.1-6+24.2)
GNU C compiler for MinGW-w64
gcc-mingw-w64-base (10.2.1-6+24.2)
GNU Compiler Collection for MinGW-w64 (base package)
gcc-mingw-w64-i686 (10.2.1-6+24.2)
GNU C compiler for MinGW-w64 targeting Win32
gcc-mingw-w64-i686-posix (10.2.1-6+24.2)
GNU C compiler for MinGW-w64, Win32/POSIX
gcc-mingw-w64-i686-posix-runtime (10.2.1-6+24.2)
GNU Compiler Collection for MinGW-w64, i686/posix
gcc-mingw-w64-i686-win32 (10.2.1-6+24.2)
GNU C compiler for MinGW-w64, Win32/Win32
gcc-mingw-w64-i686-win32-runtime (10.2.1-6+24.2)
GNU Compiler Collection for MinGW-w64, i686/win32
gcc-mingw-w64-x86-64 (10.2.1-6+24.2)
GNU C compiler for MinGW-w64 targeting Win64
gcc-mingw-w64-x86-64-posix (10.2.1-6+24.2)
GNU C compiler for MinGW-w64, Win64/POSIX
gcc-mingw-w64-x86-64-posix-runtime (10.2.1-6+24.2)
GNU Compiler Collection for MinGW-w64, x86-64/posix
gcc-mingw-w64-x86-64-win32 (10.2.1-6+24.2)
GNU C compiler for MinGW-w64, Win64/Win32
gcc-mingw-w64-x86-64-win32-runtime (10.2.1-6+24.2)
GNU Compiler Collection for MinGW-w64, x86-64/win32
gcc-mips-linux-gnu (4:10.2.0-1)
GNU C compiler for the mips architecture
gcc-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64 architecture
gcc-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64el architecture
gcc-mips64el-linux-gnuabi64 (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-mipsel-linux-gnu (4:10.2.0-1)
GNU C compiler for the mipsel architecture
gcc-mipsel-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU C compiler for the mipsr6 architecture
gcc-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU C compiler for the mipsr6el architecture
gcc-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64r6 architecture
gcc-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64r6el architecture
gcc-multilib (4:10.2.1-1)
GNU C compiler (multilib files)
gcc-multilib-i686-linux-gnu (4:10.2.1-1)
GNU C compiler for the i386 architecture
gcc-multilib-mips-linux-gnu (4:10.2.0-1)
GNU C compiler for the mips architecture
gcc-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64 architecture
gcc-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64el architecture
gcc-multilib-mipsel-linux-gnu (4:10.2.0-1)
GNU C compiler for the mipsel architecture
gcc-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU C compiler for the mipsr6 architecture
gcc-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU C compiler for the mipsr6el architecture
gcc-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64r6 architecture
gcc-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU C compiler for the mips64r6el architecture
gcc-multilib-powerpc-linux-gnu (4:10.2.1-1)
GNU C compiler for the powerpc architecture
gcc-multilib-powerpc64-linux-gnu (4:10.2.1-1)
GNU C compiler for the ppc64 architecture
gcc-multilib-s390x-linux-gnu (4:10.2.1-1)
GNU C compiler for the s390x architecture
gcc-multilib-sparc64-linux-gnu (4:10.2.1-1)
GNU C compiler for the sparc64 architecture
gcc-multilib-x86-64-linux-gnu (4:10.2.1-1)
GNU C compiler for the amd64 architecture
gcc-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
GNU C compiler for the x32 architecture
gcc-offload-amdgcn (4:10.2.1-1)
GCC offloading compiler to AMD GCN
gcc-offload-nvptx (4:10.2.1-1)
GCC offloading compiler to NVPTX
gcc-powerpc-linux-gnu (4:10.2.1-1)
GNU C compiler for the powerpc architecture
gcc-powerpc64-linux-gnu (4:10.2.1-1)
GNU C compiler for the ppc64 architecture
gcc-powerpc64le-linux-gnu (4:10.2.1-1)
GNU C compiler for the ppc64el architecture
gcc-powerpc64le-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-riscv64-linux-gnu (4:10.2.1-1)
GNU C compiler for the riscv64 architecture
gcc-riscv64-unknown-elf (8.3.0.2019.08+dfsg-1)
GCC compiler for embedded RISC-V chips
gcc-s390x-linux-gnu (4:10.2.1-1)
GNU C compiler for the s390x architecture
gcc-s390x-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-sh4-linux-gnu (4:10.2.1-1)
GNU C compiler for the sh4 architecture
gcc-sparc64-linux-gnu (4:10.2.1-1)
GNU C compiler for the sparc64 architecture
gcc-x86-64-linux-gnu (4:10.2.1-1)
GNU C compiler for the amd64 architecture
gcc-x86-64-linux-gnu (= 4:10.2.1-1)
本虛擬套件由這些套件填實: gcc
gcc-x86-64-linux-gnux32 (4:10.2.1-1)
GNU C compiler for the x32 architecture
gcc-xtensa-lx106 (10.2.1-6+8+b1)
GNU C compiler for Xtensa lx106 core
gccbrig (4:10.2.1-1)
GNU BRIG (HSA IL) frontend
gccbrig-10 (10.2.1-6)
GNU BRIG (HSA IL) frontend
gccbrig-10-i686-linux-gnu (10.2.1-6cross1)
GNU BRIG (HSA IL) frontend
gccbrig-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU BRIG (HSA IL) frontend
gccbrig-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU BRIG (HSA IL) frontend
gccbrig-9 (9.3.0-22)
GNU BRIG (HSA IL) frontend
gccbrig-9-i686-linux-gnu (9.3.0-22cross1)
GNU BRIG (HSA IL) frontend
gccbrig-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU BRIG (HSA IL) frontend
gccbrig-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU BRIG (HSA IL) frontend
gccgo (4:10.2.1-1)
Go compiler, based on the GCC backend
gccgo-10 (10.2.1-6)
GNU Go compiler
gccgo-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-alpha-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU Go compiler
gccgo-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU Go compiler
gccgo-10-doc (10.2.0-1) [non-free]
documentation for the GNU Go compiler (gccgo)
gccgo-10-i686-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mips-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler
gccgo-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler
gccgo-10-multilib (10.2.1-6)
GNU Go compiler (multilib support)
gccgo-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for i386 architecture)
gccgo-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mips architecture)
gccgo-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mips64 architecture)
gccgo-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mips64el architecture)
gccgo-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mipsel architecture)
gccgo-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mipsr6 architecture)
gccgo-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mipsr6el architecture)
gccgo-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mips64r6 architecture)
gccgo-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for mips64r6el architecture)
gccgo-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for powerpc architecture)
gccgo-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for ppc64 architecture)
gccgo-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for s390x architecture)
gccgo-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for sparc64 architecture)
gccgo-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for amd64 architecture)
gccgo-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Go compiler (multilib support) (cross compiler for x32 architecture)
gccgo-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-s390x-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU Go compiler
gccgo-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Go compiler
gccgo-9 (9.3.0-22)
GNU Go compiler
gccgo-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-alpha-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU Go compiler
gccgo-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU Go compiler
gccgo-9-doc (9.3.0-1) [non-free]
documentation for the GNU Go compiler (gccgo)
gccgo-9-i686-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-multilib (9.3.0-22)
GNU Go compiler (multilib support)
gccgo-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for i386 architecture)
gccgo-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for powerpc architecture)
gccgo-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for ppc64 architecture)
gccgo-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for s390x architecture)
gccgo-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for sparc64 architecture)
gccgo-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for amd64 architecture)
gccgo-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Go compiler (multilib support) (cross compiler for x32 architecture)
gccgo-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-s390x-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-sh4-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU Go compiler
gccgo-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Go compiler
gccgo-aarch64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the arm64 architecture
gccgo-alpha-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the alpha architecture
gccgo-arm-linux-gnueabi (4:10.2.1-1)
Go compiler (based on GCC) for the armel architecture
gccgo-arm-linux-gnueabihf (4:10.2.1-1)
Go compiler (based on GCC) for the armhf architecture
gccgo-doc (5:10.1.0-1) [contrib]
documentation for the GNU Go compiler (gccgo)
gccgo-go (2:1.15~1)
Go programming language -- gccgo
gccgo-i686-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the i386 architecture
gccgo-mips-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mips architecture
gccgo-mips64-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64 architecture
gccgo-mips64el-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64el architecture
gccgo-mipsel-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mipsel architecture
gccgo-mipsisa32r6-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mipsr6 architecture
gccgo-mipsisa32r6el-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mipsr6el architecture
gccgo-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64r6 architecture
gccgo-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64r6el architecture
gccgo-multilib (4:10.2.1-1)
Go compiler, based on the GCC backend (multilib files)
gccgo-multilib-i686-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the i386 architecture
gccgo-multilib-mips-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mips architecture
gccgo-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64 architecture
gccgo-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64el architecture
gccgo-multilib-mipsel-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mipsel architecture
gccgo-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mipsr6 architecture
gccgo-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
Go compiler (based on GCC) for the mipsr6el architecture
gccgo-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64r6 architecture
gccgo-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
Go compiler (based on GCC) for the mips64r6el architecture
gccgo-multilib-powerpc-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the powerpc architecture
gccgo-multilib-powerpc64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the ppc64 architecture
gccgo-multilib-s390x-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the s390x architecture
gccgo-multilib-sparc64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the sparc64 architecture
gccgo-multilib-x86-64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the amd64 architecture
gccgo-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
Go compiler (based on GCC) for the x32 architecture
gccgo-powerpc-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the powerpc architecture
gccgo-powerpc64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the ppc64 architecture
gccgo-powerpc64le-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the ppc64el architecture
gccgo-riscv64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the riscv64 architecture
gccgo-s390x-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the s390x architecture
gccgo-sparc64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the sparc64 architecture
gccgo-x86-64-linux-gnu (4:10.2.1-1)
Go compiler (based on GCC) for the amd64 architecture
gccgo-x86-64-linux-gnux32 (4:10.2.1-1)
Go compiler (based on GCC) for the x32 architecture
gccintro (1.0-4) [non-free]
Introduction to GCC by Brian J. Gough
gchempaint (0.14.17-6)
2D chemical structures editor for the GNOME2 desktop
gcin (2.9.0+dfsg1-2)
GTK+ based input method for Chinese users
gcin-anthy (2.9.0+dfsg1-2)
support library to use Anthy in gcin
gcin-data (2.9.0+dfsg1-2)
icons and scripts for gcin
gcin-gtk2-immodule (2.9.0+dfsg1-2)
GTK2 input method module with gcin as backend
gcin-gtk3-immodule (2.9.0+dfsg1-2)
GTK3 input method module with gcin as backend
gcin-qt5-immodule (2.9.0+dfsg1-2)
Qt5 input method module with gcin as backend
gcin-tables (2.9.0+dfsg1-2)
input method tables for gcin
gcin-voice (0~20170223-2)
gcin voice data
gcl (2.6.12-102)
GNU Common Lisp compiler
gcl-doc (2.6.12-102)
Documentation for GNU Common Lisp
gcolor3 (2.3.1-2+b1 [amd64], 2.3.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple GTK3 color selector and picker
gcompris (1:1.0-1)
transitional package for gcompris-qt
gcompris-qt (1.0-1)
educational games for small children
gcompris-qt-data (1.0-1)
data files for gcompris-qt
gconf-defaults-service (3.2.6-7)
GNOME configuration database system (system defaults service)
gconf-gsettings-backend (3.2.6-7)
GNOME configuration database system - GSettings back-end
gconf-service (3.2.6-7)
GNOME configuration database system (D-Bus service)
gconf2 (3.2.6-7)
GNOME configuration database system (support tools)
gconf2-common (3.2.6-7)
GNOME configuration database system (common files)
gconjugue (0.8.3-7)
GTK+ program to conjugate Brazilian verbs
gconjugue-common (0.8.3-7)
common files to gconjugue and conjugar
gcovr (4.2-1)
Manages the compilation of coverage information from gcov
gcp (0.2.1-1)
advanced command line file copy system
gcpegg (5.1-15)
Global Consciousness Project EGG Software
gcr (3.38.1-2)
GNOME crypto services (daemon and tools)
gcrystal (0.14.17-6)
lightweight crystal structures visualizer
gcu-bin (0.14.17-6)
GNOME chemistry utils (helper applications)
gcx (1.3-1.1+b2)
astronomical image processing and photometry gtk+ application
gdal-bin (3.2.2+dfsg-2+deb11u2)
Geospatial Data Abstraction Library - Utility programs
gdal-data (3.2.2+dfsg-2+deb11u2)
Geospatial Data Abstraction Library - Data files
gdb (10.1-1.7)
GNU Debugger
gdb
本虛擬套件由這些套件填實: gdb-minimal
gdb-arm-none-eabi
本虛擬套件由這些套件填實: gdb-multiarch
gdb-avr (7.7-4.1)
GNU Debugger for avr
gdb-bpf (10.1-1.7+2+b2)
Cross-debugger for the bpf target
gdb-doc (10.1-1) [non-free]
The GNU Debugger Documentation
gdb-mingw-w64 (10.1-1.7+11+b4)
Cross-debugger for Win32 and Win64 using MinGW-w64
gdb-mingw-w64-target (10.1-1+11)
Cross-debugger server for Win32 and Win64 using MinGW-w64
gdb-minimal (10.1-1.7)
GNU Debugger (minimal version)
gdb-multiarch (10.1-1.7)
GNU Debugger (with support for multiple architectures)
gdb-source (10.1-1.7)
GNU Debugger (source)
gdbm-l10n (1.19-2)
GNU dbm database routines (translation files)
gdbmtool (1.19-2)
GNU dbm database routines (command line tools)
gdbserver (10.1-1.7)
GNU Debugger (remote server)
gdc (4:10.2.1-1)
D compiler (language version 2), based on the GCC backend
gdc
本虛擬套件由這些套件填實: gdc-9, gdc-10
gdc-10 (10.2.1-6)
GNU D compiler (version 2)
gdc-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for arm64 architecture)
gdc-10-alpha-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for alpha architecture)
gdc-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for armel architecture)
gdc-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for armhf architecture)
gdc-10-hppa-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for hppa architecture)
gdc-10-i686-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for i386 architecture)
gdc-10-m68k-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for m68k architecture)
gdc-10-mips-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mips architecture)
gdc-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mips64 architecture)
gdc-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mips64el architecture)
gdc-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mipsel architecture)
gdc-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mipsr6 architecture)
gdc-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mipsr6el architecture)
gdc-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mips64r6 architecture)
gdc-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for mips64r6el architecture)
gdc-10-multilib (10.2.1-6)
GNU D compiler (version 2, multilib support)
gdc-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for i386 architecture)
gdc-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mips architecture)
gdc-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mips64 architecture)
gdc-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mips64el architecture)
gdc-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mipsel architecture)
gdc-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mipsr6 architecture)
gdc-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mipsr6el architecture)
gdc-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mips64r6 architecture)
gdc-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for mips64r6el architecture)
gdc-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for powerpc architecture)
gdc-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for ppc64 architecture)
gdc-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for s390x architecture)
gdc-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for sparc64 architecture)
gdc-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for amd64 architecture)
gdc-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU D compiler (version 2, multilib support) (cross compiler for x32 architecture)
gdc-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for powerpc architecture)
gdc-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for ppc64 architecture)
gdc-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for ppc64el architecture)
gdc-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for riscv64 architecture)
gdc-10-s390x-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for s390x architecture)
gdc-10-sh4-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for sh4 architecture)
gdc-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for sparc64 architecture)
gdc-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for amd64 architecture)
gdc-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU D compiler (version 2) (cross compiler for x32 architecture)
gdc-9 (9.3.0-22)
GNU D compiler (version 2)
gdc-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for arm64 architecture)
gdc-9-alpha-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for alpha architecture)
gdc-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for armel architecture)
gdc-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for armhf architecture)
gdc-9-hppa-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for hppa architecture)
gdc-9-i686-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for i386 architecture)
gdc-9-m68k-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for m68k architecture)
gdc-9-multilib (9.3.0-22)
GNU D compiler (version 2, multilib support)
gdc-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for i386 architecture)
gdc-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for powerpc architecture)
gdc-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for ppc64 architecture)
gdc-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for s390x architecture)
gdc-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for sparc64 architecture)
gdc-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for amd64 architecture)
gdc-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU D compiler (version 2, multilib support) (cross compiler for x32 architecture)
gdc-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for powerpc architecture)
gdc-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for ppc64 architecture)
gdc-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for ppc64el architecture)
gdc-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for riscv64 architecture)
gdc-9-s390x-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for s390x architecture)
gdc-9-sh4-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for sh4 architecture)
gdc-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for sparc64 architecture)
gdc-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for amd64 architecture)
gdc-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU D compiler (version 2) (cross compiler for x32 architecture)
gdc-aarch64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the arm64 architecture
gdc-alpha-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the alpha architecture
gdc-arm-linux-gnueabi (4:10.2.1-1)
GNU D compiler (based on GCC) for the armel architecture
gdc-arm-linux-gnueabihf (4:10.2.1-1)
GNU D compiler (based on GCC) for the armhf architecture
gdc-hppa-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the hppa architecture
gdc-i686-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the i386 architecture
gdc-m68k-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the m68k architecture
gdc-mips-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips architecture
gdc-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64 architecture
gdc-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64el architecture
gdc-mipsel-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mipsel architecture
gdc-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mipsr6 architecture
gdc-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mipsr6el architecture
gdc-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64r6 architecture
gdc-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64r6el architecture
gdc-multilib (4:10.2.1-1)
D compiler (language version 2) (multilib files)
gdc-multilib-i686-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the i386 architecture
gdc-multilib-mips-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips architecture
gdc-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64 architecture
gdc-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64el architecture
gdc-multilib-mipsel-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mipsel architecture
gdc-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mipsr6 architecture
gdc-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU D compiler (based on GCC) for the mipsr6el architecture
gdc-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64r6 architecture
gdc-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU D compiler (based on GCC) for the mips64r6el architecture
gdc-multilib-powerpc-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the powerpc architecture
gdc-multilib-powerpc64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the ppc64 architecture
gdc-multilib-s390x-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the s390x architecture
gdc-multilib-sparc64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the sparc64 architecture
gdc-multilib-x86-64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the amd64 architecture
gdc-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
GNU D compiler (based on GCC) for the x32 architecture
gdc-powerpc-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the powerpc architecture
gdc-powerpc64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the ppc64 architecture
gdc-powerpc64le-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the ppc64el architecture
gdc-riscv64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the riscv64 architecture
gdc-s390x-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the s390x architecture
gdc-sh4-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the sh4 architecture
gdc-sparc64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the sparc64 architecture
gdc-x86-64-linux-gnu (4:10.2.1-1)
GNU D compiler (based on GCC) for the amd64 architecture
gdc-x86-64-linux-gnux32 (4:10.2.1-1)
GNU D compiler (based on GCC) for the x32 architecture
gdcm-doc (3.0.8-2)
Grassroots DICOM documentation
gddccontrol (0.4.4-1.2)
program to control monitor parameters (graphical interface)
gddrescue (1.23-2+b1 [amd64], 1.23-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU data recovery tool
gdebi (0.9.5.7+nmu5)
simple tool to view and install deb files - GNOME GUI
gdebi-core (0.9.5.7+nmu5)
simple tool to install deb files
gdf-tools (0.1.3-8)
IO library for the GDF -- helper tools
gdigi (0.4.0-1.1)
utility to control DigiTech effect pedals
gdis (0.90-6)
molecular and crystal model viewer
gdis-data (0.90-6)
molecular and crystal model viewer (data files)
gdisk (1.0.6-1.1)
GPT fdisk text-mode partitioning tool
gdk-pixbuf-tests (2.42.2+dfsg-1+deb11u1)
installed tests for the GDK Pixbuf library
gdl-astrolib (2020.10.29+dfsg-3)
Low-level astronomy software for GDL
gdl-coyote (2019.08.19-1)
GDL library from D. Fannings IDL courses
gdl-mpfit (1.85+2017.01.03-4)
Robust non-linear least squares curve fitting for GDL
gdm3 (3.38.2.1-1)
GNOME Display Manager
gdmap (0.8.1-5)
Tool to visualize diskspace
gdmd (2.100+git200102-1)
DMD-like wrapper for GDC
gdnsd (3.5.2-1)
authoritative domain name server
gdpc (2.2.5-14)
visualiser of molecular dynamic simulations
gdpc-examples (2.2.5-14)
example files for the gdpc program
gdsiiconvert (0.2+ds.1-1)
Convert GDSII geometries and report geometry statistics
gdspy-common (1.4.2-2)
Images used by gdspy
gdspy-doc (1.4.2-2)
Documentation for gdspy (Python library for GDSII handling)
gdu (4.6.3-1+b4)
Pretty fast disk usage analyzer
geany (1.37.1-2)
fast and lightweight IDE
geany-abi-18432
本虛擬套件由這些套件填實: geany
geany-api-239
本虛擬套件由這些套件填實: geany
geany-common (1.37.1-2)
fast and lightweight IDE -- common files
geany-plugin-addons (1.37+dfsg-6)
miscellaneous plugins for Geany
geany-plugin-autoclose (1.37+dfsg-6)
auto-closing plugin for Geany
geany-plugin-automark (1.37+dfsg-6)
auto-mark plugin for Geany
geany-plugin-codenav (1.37+dfsg-6)
code navigation plugin for Geany
geany-plugin-commander (1.37+dfsg-6)
command panel plugin for Geany
geany-plugin-ctags (1.37+dfsg-6)
ctags plugin for Geany
geany-plugin-debugger (1.37+dfsg-6)
debugger plugin for Geany
geany-plugin-defineformat (1.37+dfsg-6)
on-the-fly #define prettyprinter plugin for Geany
geany-plugin-doc (1.37+dfsg-6)
documentation plugin for Geany
geany-plugin-extrasel (1.37+dfsg-6)
extra selection plugin for Geany
geany-plugin-gendoc (1.37+dfsg-6)
documentation generation plugin for Geany
geany-plugin-geniuspaste (1.37+dfsg-6)
GeniusPaste plugin for Geany
geany-plugin-git-changebar (1.37+dfsg-6)
git change bar plugin for Geany
geany-plugin-gproject (1.37+dfsg-6)
transitional dummy package for geany-plugin-projectorganizer
geany-plugin-insertnum (1.37+dfsg-6)
number inserting plugin for Geany
geany-plugin-keyrecord (1.37+dfsg-6)
git change bar plugin for Geany
geany-plugin-latex (1.37+dfsg-6)
improved LaTeX support plugin for Geany
geany-plugin-lineoperations (1.37+dfsg-6)
Line Operations plugin for Geany
geany-plugin-lipsum (1.37+dfsg-6)
Lorem Ipsum generator plugin for Geany
geany-plugin-lua (1.37+dfsg-6)
Lua scripting plugin for Geany
geany-plugin-macro (1.37+dfsg-6)
macro plugin for Geany
geany-plugin-markdown (1.37+dfsg-6)
markdown plugin for Geany
geany-plugin-miniscript (1.37+dfsg-6)
Geany Mini-Script filter plugin
geany-plugin-numberedbookmarks (1.37+dfsg-6)
numbered bookmarks plugin for Geany
geany-plugin-overview (1.37+dfsg-6)
overview plugin for Geany
geany-plugin-pairtaghighlighter (1.37+dfsg-6)
tag pair highlighter plugin for Geany
geany-plugin-pg (1.37+dfsg-6)
pg plugin for Geany
geany-plugin-pohelper (1.37+dfsg-6)
Geany plugin for improved support for GetText translation files
geany-plugin-prettyprinter (1.37+dfsg-6)
XML pretty printer for Geany
geany-plugin-prj (1.37+dfsg-6)
alternative project manager for Geany
geany-plugin-projectorganizer (1.37+dfsg-6)
projectorganizer plugin for Geany
geany-plugin-scope (1.37+dfsg-6)
graphical GDB front-end for Geany
geany-plugin-sendmail (1.37+dfsg-6)
mailer plugin for Geany
geany-plugin-shiftcolumn (1.37+dfsg-6)
text column shifting plugin for Geany
geany-plugin-spellcheck (1.37+dfsg-6)
spellcheck plugin for Geany
geany-plugin-tableconvert (1.37+dfsg-6)
table convert plugin for Geany
geany-plugin-treebrowser (1.37+dfsg-6)
tree browser plugin for Geany
geany-plugin-updatechecker (1.37+dfsg-6)
update checker plugin for Geany
geany-plugin-vc (1.37+dfsg-6)
VCS plugin for Geany
geany-plugin-vimode (1.37+dfsg-6)
Vim-mode plugin for Geany
geany-plugin-workbench (1.37+dfsg-6)
workbench plugin for Geany
geany-plugin-xmlsnippets (1.37+dfsg-6)
XMLSnippets plugin for Geany
geany-plugins (1.37+dfsg-6)
set of plugins for Geany
geany-plugins-common (1.37+dfsg-6)
set of plugins for Geany (translations)
gearhead (1.310-2)
roguelike mecha role playing game, console version
gearhead-data (1.310-2)
data files for gearhead
gearhead-sdl (1.310-2)
roguelike mecha role playing game, SDL version
gearhead2 (0.701-2)
roguelike mecha role playing game in space
gearhead2-data (0.701-2)
data files for gearhead2
gearhead2-sdl (0.701-2)
roguelike mecha role playing game in space
gearman (1.1.19.1+ds-2)
Distributed job queue
gearman-job-server (1.1.19.1+ds-2+b2)
Job server for the Gearman distributed job queue
gearman-server (1.130.1-1)
Gearman distributed job server and Perl interface
gearman-tools (1.1.19.1+ds-2+b2)
Tools for the Gearman distributed job queue
geary (3.38.1-1)
lightweight email client designed for the GNOME desktop
gedit (3.38.1-1)
official text editor of the GNOME desktop environment
gedit-common (3.38.1-1)
official text editor of the GNOME desktop environment (support files)
gedit-dev (3.38.1-1)
official text editor of the GNOME desktop environment (development files)
gedit-latex-plugin (3.20.0-2)
gedit plugin for composing and compiling LaTeX documents
gedit-plugin-bookmarks (3.38.1-1)
Bookmarks plugin for gedit
gedit-plugin-bracket-completion (3.38.1-1)
Bracket Completion plugin for gedit
gedit-plugin-character-map (3.38.1-1)
Character Map plugin for gedit
gedit-plugin-code-comment (3.38.1-1)
Code Comment plugin for gedit
gedit-plugin-color-picker (3.38.1-1)
Color Picker plugin for gedit
gedit-plugin-color-schemer (3.38.1-1)
Color Schemer plugin for gedit
gedit-plugin-commander (3.38.1-1)
Commander plugin for gedit
gedit-plugin-draw-spaces (3.38.1-1)
Draw Spaces plugin for gedit
gedit-plugin-find-in-files (3.38.1-1)
Find in Files plugin for gedit
gedit-plugin-git (3.38.1-1)
Git plugin for gedit
gedit-plugin-join-lines (3.38.1-1)
Join/Split Lines plugin for gedit
gedit-plugin-multi-edit (3.38.1-1)
Multi Edit plugin for gedit
gedit-plugin-session-saver (3.38.1-1)
Session Saver plugin for gedit
gedit-plugin-smart-spaces (3.38.1-1)
Smart Spaces plugin for gedit
gedit-plugin-synctex (3.38.1-1)
SyncTex plugin for gedit
gedit-plugin-terminal (3.38.1-1)
Terminal plugin for gedit
gedit-plugin-text-size (3.38.1-1)
Text Size plugin for gedit
gedit-plugin-translate (3.38.1-1)
Translate plugin for gedit
gedit-plugin-word-completion (3.38.1-1)
Word Completion plugin for gedit
gedit-plugins (3.38.1-1)
set of plugins for gedit
gedit-plugins-common (3.38.1-1)
common files for gedit-plugins
gedit-source-code-browser-plugin (3.0.3-6)
source code class and function browser plugin for Gedit
geekcode (1.7.3-8)
Program for generating geekcode
geeqie (1:1.6-9+deb11u1)
image viewer using GTK+
geeqie-common (1:1.6-9+deb11u1)
data files for Geeqie
geg (2.0.9-3+b1 [amd64], 2.0.9-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GTK+ Equation Grapher
gegl (1:0.4.26-2)
Generic Graphics Library Test Program
geiser (0.10-1)
Transition Package, geiser to elpa-geiser
geiser
本虛擬套件由這些套件填實: elpa-geiser
geki2 (2.0.3-10)
Xenon-like vertical shoot'em-up
geki3 (1.0.3-8.1)
R-Type-like horizontal shoot'em-up
gelemental (2.0.0-1)
Periodic Table viewer
gem (1:0.94-3)
Graphics Environment for Multimedia - Pure Data library
gem-dev (1:0.94-3)
Graphics Environment for Multimedia (development files)
gem-doc (1:0.94-3)
Graphics Environment for Multimedia (documentation)
gem-extra (1:0.94-3)
Graphics Environment for Multimedia - extra objects
gem-plugin-assimp (1:0.94-3)
Graphics Environment for Multimedia - Assimp support
gem-plugin-dc1394 (1:0.94-3)
Graphics Environment for Multimedia - DC1394 support
gem-plugin-dv4l (1:0.94-3)
Graphics Environment for Multimedia - DV support
gem-plugin-film
本虛擬套件由這些套件填實: gem-plugin-gmerlin, gem-plugin-mpeg3, gem-plugin-lqt
gem-plugin-glfw3 (1:0.94-3)
Graphics Environment for Multimedia - GLFW3 support
gem-plugin-glut (1:0.94-3)
Graphics Environment for Multimedia - GLUT support
gem-plugin-gmerlin (1:0.94-3)
Graphics Environment for Multimedia - GMERLIN support
gem-plugin-image
本虛擬套件由這些套件填實: gem-plugin-tiff, gem-plugin-jpeg, gem-plugin-magick, gem-plugin-sgi
gem-plugin-jpeg (1:0.94-3)
Graphics Environment for Multimedia - JPEG support
gem-plugin-lqt (1:0.94-3)
Graphics Environment for Multimedia - LQT support
gem-plugin-magick (1:0.94-3)
Graphics Environment for Multimedia - ImageMagick support
gem-plugin-model
本虛擬套件由這些套件填實: gem-plugin-assimp
gem-plugin-mpeg3 (1:0.94-3)
Graphics Environment for Multimedia - MPEG support
gem-plugin-record
本虛擬套件由這些套件填實: gem-plugin-lqt, gem-plugin-v4l2
gem-plugin-sdl (1:0.94-3)
Graphics Environment for Multimedia - SDL support
gem-plugin-sgi (1:0.94-3)
Graphics Environment for Multimedia - SGI support
gem-plugin-tiff (1:0.94-3)
Graphics Environment for Multimedia - TIFF support
gem-plugin-unicap (1:0.94-3)
Graphics Environment for Multimedia - unicap support
gem-plugin-v4l2 (1:0.94-3)
Graphics Environment for Multimedia - V4L2 output support
gem-plugin-video
本虛擬套件由這些套件填實: gem-plugin-dv4l, gem-plugin-v4l2, gem-plugin-vlc, gem-plugin-unicap, gem-plugin-vnc, gem-plugin-dc1394
gem-plugin-vlc (1:0.94-3)
Graphics Environment for Multimedia - VLC support
gem-plugin-vnc (1:0.94-3)
Graphics Environment for Multimedia - VNC support
gem-plugin-window
本虛擬套件由這些套件填實: gem-plugin-glut, gem-plugin-glfw3, gem-plugin-sdl
gem2deb (1.4)
Debian Ruby packaging suite
gem2deb-test-runner (1.4)
Debian Ruby packaging suite - tool to run tests
gemdropx (0.9-8+b1 [amd64], 0.9-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Gem Drop X is an interesting one-player puzzle game for X11
gemma (0.98.4+dfsg-4)
Genome-wide Efficient Mixed Model Association
gemma-doc (0.98.4+dfsg-4)
Example folder for GEMMA
gems (1.1.1-2+b2)
Shows a console session in several terminals
gendarme (4.2-3.1)
extensible rule-based checker for CLI assemblies
genders (1.22-1+b3)
cluster configuration management database tools
geneagrapher (1.0c2+git20180919-2)
Create tree from Mathematics Genealogy Project
generate-ninja (0.0~git20210128.09c9e5e-1)
meta-build system for ninja
generator-scripting-language (4.1.5-3+b1)
Generator Scripting Language code generator
generator-scripting-language-examples (4.1.5-3)
Examples for the Generator Scripting Language code generator
geneweb (6.08+git20181019+dfsg-3)
genealogy software with web interface
geneweb-gui (6.08+git20181019+dfsg-3)
graphical user interface to Geneweb genealogy software
genext2fs (1.5.0-1)
ext2 filesystem generator for embedded systems
gengetopt (2.23+dfsg1-1)
skeleton main.c generator
genisoimage (9:1.1.11-3.2)
Creates ISO-9660 CD-ROM filesystem images
genius (1.0.25-2)
advanced general purpose calculator program (CLI frontend)
genius-common (1.0.25-2)
advanced general purpose calculator program (common files)
genius-dev (1.0.25-2)
advanced general purpose calculator program (development files)
genny (1.0.0-8+b6)
Elegant generics for Go - program
genometester (4.0+git20200511.91cecb5+dfsg-1)
toolkit for performing set operations on k-mer lists
genomethreader (1.7.3+dfsg-5+b1)
software tool to compute gene structure predictions
genometools (1.6.1+ds-3)
versatile genome analysis toolkit
genometools-common (1.6.1+ds-3)
shared data files for GenomeTools
genometools-doc (1.6.1+ds-3)
documentation for GenomeTools
genparse (0.9.2-1+b1)
command line parser generator
genromfs (0.5.2-4+b1 [amd64], 0.5.2-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
This is the mkfs equivalent for romfs filesystem
gensio-bin (2.2.4-1)
abstract stream I/O (command line tool)
gentle (1.9+cvs20100605+dfsg1-9)
suite to plan genetic cloning
gentoo (0.20.7-1+b1)
fully GUI-configurable, two-pane X file manager
genwqe-tools (4.0.18-3.1)
utilities for accelerated libz implementation
genxdr (2.0.1-6+b5)
Go XDR enc/decoder - code generation utility
geoclue-2-demo (2.5.7-3)
geoinformation service (demonstration programs)
geoclue-2.0 (2.5.7-3)
geoinformation service
geoclue-doc (2.5.7-3)
geoinformation service (D-Bus API documentation)
geocode-glib-tests (3.26.2-2)
installed tests for the geocode-glib library
geogebra (4.0.34.0+dfsg1-9)
Dynamic mathematics software for education
geogebra-gnome (4.0.34.0+dfsg1-9)
GNOME integration layer for GeoGebra
geographiclib-doc (1.51-1)
C++ library to solve some geodesic problems -- documentation
geographiclib-tools (1.51-1)
C++ library to solve some geodesic problems -- tools
geoip-bin (1.6.12-7)
IP lookup command line tools that use the GeoIP library
geoip-database (20191224-3)
IP lookup command line tools that use the GeoIP library (country database)
geoipupdate (4.6.0-1+b3) [contrib]
MaxMind GeoIP/GeoIP2 database updates
geomet (0.2.1.post1-2)
convert GeoJSON to/from WKT/WKB
geomview (1.9.5-3)
interactive geometry viewing program
geophar (18.08.7+dfsg1-1)
Swiss army knife for the math teacher
geotiff-bin (1.6.0-1)
GeoTIFF (geografic enabled TIFF) library -- tools
geotranz (3.7-1.1)
GEOgraphic coordinates TRANslator
geotranz-doc (3.7-1.1)
GEOgraphic coordinates TRANslator (documentation)
geotranz-help (3.7-1.1)
GEOgraphic coordinates TRANslator (help files)
gerbera (1.1.0+dfsg-3+b4)
UPnP MediaServer
gerbv (2.7.0-2+deb11u2)
Gerber file viewer (only RS 274 X format)
germinate (2.36)
expand dependencies in a list of seed packages
gerris (20131206+dfsg-19)
Fluid Flow Solver
gerstensaft (0.3-4.2)
Frontend for Simple Asynchronous File Transfer
gertty (1.6.0-1)
Console-based interface to Gerrit
ges1.0-tools (1.18.4-2)
Tools for use with the GStreamer editing services
gesftpserver (1~ds-3)
sftp server submodule for OpenSSH
getdata (0.2-4)
management of external databases
getdns-utils (1.6.0-2)
modern asynchronous DNS API (utils)
getdp (3.2.0+dfsg1-1.1+b2)
general environment for the treatment of discrete problems
getdp-sparskit (3.2.0+dfsg1-1.1+b2)
general environment for the treatment of discrete problems
getmail (6.14-1)
transitional package
getmail6 (6.14-1)
mail retriever with support for POP3, IMAP4 and SDPS
getstream (20100616-2)
DVB streaming application
gettext (0.21-4)
GNU Internationalization utilities
gettext-base (0.21-4)
基本系統的 GNU 國際化工具
gettext-doc (0.21-4)
Documentation for GNU gettext
gettext-el (0.21-4)
Emacs mode for editing gettext .po files
getty-run (2.1.2-41)
runscripts to supervise getty processes
gexec (0.4-3)
Small command executer with autocompletion using GTK+
gf-complete-tools (1.0.2+2017.04.10.git.ea75cdf-3+b1)
Galois Field Arithmetic - tools
gfal2 (2.19.0-3)
Grid file access library 2.0
gfal2-doc (2.19.0-3)
Documentation for gfal2
gfal2-plugin-dcap (2.19.0-3)
Provides dcap support for gfal2
gfal2-plugin-file (2.19.0-3)
Provides file support for gfal2
gfal2-plugin-gridftp (2.19.0-3)
Provides the gridftp support for gfal2
gfal2-plugin-http (2.19.0-3)
Provides HTTP and DAV support for gfal2
gfal2-plugin-mock (2.19.0-3)
Provides a mock dummy protocol for gfal2
gfal2-plugin-sftp (2.19.0-3)
Provides sftp support for gfal2
gfal2-plugin-srm (2.19.0-3)
Provides srm support for gfal2
gfal2-plugin-xrootd (2.19.0-3)
Provides xrootd support for gfal2
gfan (0.6.2-4)
program for computing with Groebner fans
gfarm-client (2.7.17+dfsg-1)
Gfarm file system clients
gfarm-doc (2.7.17+dfsg-1)
Gfarm file system documentation
gfarm2fs (1.2.14-1)
FUSE program to mount the Gfarm file system
gff2aplot (2.0-13)
pair-wise alignment-plots for genomic sequences in PostScript
gff2ps (0.98l-4)
produces PostScript graphical output from GFF-files
gffread (0.12.1-4)
GFF/GTF format conversions, region filtering, FASTA sequence extraction
gfio (3.25-2)
flexible I/O tester - gui frontend
gfm (1.08-1+b1 [amd64], 1.08-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Texas Instruments hand-helds file manipulation program for X
gfmd (2.7.17+dfsg-1)
Gfarm file system metadata server
gforth (0.7.3+dfsg-9+b1)
GNU Forth Language Environment
gforth-common (0.7.3+dfsg-9)
GNU Forth architecture-independent dictionaries
gforth-lib (0.7.3+dfsg-9+b1)
GNU Forth Language Environment architecture-dependent files
gfortran (4:10.2.1-1)
GNU Fortran 95 compiler
gfortran-10 (10.2.1-6)
GNU Fortran compiler
gfortran-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-alpha-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-doc (10.2.0-1) [non-free]
documentation for the GNU Fortran Compiler (gfortran)
gfortran-10-hppa-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-i686-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-m68k-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mips-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-multilib (10.2.1-6)
GNU Fortran compiler (multilib support)
gfortran-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for i386 architecture)
gfortran-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mips architecture)
gfortran-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mips64 architecture)
gfortran-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mips64el architecture)
gfortran-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mipsel architecture)
gfortran-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mipsr6 architecture)
gfortran-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mipsr6el architecture)
gfortran-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mips64r6 architecture)
gfortran-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for mips64r6el architecture)
gfortran-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for powerpc architecture)
gfortran-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for ppc64 architecture)
gfortran-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for s390x architecture)
gfortran-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for sparc64 architecture)
gfortran-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for amd64 architecture)
gfortran-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Fortran compiler (multilib support) (cross compiler for x32 architecture)
gfortran-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-s390x-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-sh4-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU Fortran compiler
gfortran-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Fortran compiler
gfortran-9 (9.3.0-22)
GNU Fortran compiler
gfortran-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-alpha-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-doc (9.3.0-1) [non-free]
documentation for the GNU Fortran Compiler (gfortran)
gfortran-9-hppa-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-i686-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-m68k-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-multilib (9.3.0-22)
GNU Fortran compiler (multilib support)
gfortran-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for i386 architecture)
gfortran-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for powerpc architecture)
gfortran-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for ppc64 architecture)
gfortran-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for s390x architecture)
gfortran-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for sparc64 architecture)
gfortran-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for amd64 architecture)
gfortran-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Fortran compiler (multilib support) (cross compiler for x32 architecture)
gfortran-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-s390x-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-sh4-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU Fortran compiler
gfortran-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Fortran compiler
gfortran-aarch64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the arm64 architecture
gfortran-alpha-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the alpha architecture
gfortran-arm-linux-gnueabi (4:10.2.1-1)
GNU Fortran 95 compiler for the armel architecture
gfortran-arm-linux-gnueabihf (4:10.2.1-1)
GNU Fortran 95 compiler for the armhf architecture
gfortran-doc (5:10.1.0-1) [contrib]
documentation for the GNU Fortran Compiler (gfortran)
gfortran-hppa-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the hppa architecture
gfortran-i686-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the i386 architecture
gfortran-m68k-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the m68k architecture
gfortran-mingw-w64 (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64
gfortran-mingw-w64-i686 (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64 targeting Win32
gfortran-mingw-w64-i686-posix (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64, Win32/POSIX
gfortran-mingw-w64-i686-win32 (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64, Win32/Win32
gfortran-mingw-w64-x86-64 (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64 targeting Win64
gfortran-mingw-w64-x86-64-posix (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64, Win64/POSIX
gfortran-mingw-w64-x86-64-win32 (10.2.1-6+24.2)
GNU Fortran compiler for MinGW-w64, Win64/Win32
gfortran-mips-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mips architecture
gfortran-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64 architecture
gfortran-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64el architecture
gfortran-mipsel-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mipsel architecture
gfortran-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mipsr6 architecture
gfortran-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mipsr6el architecture
gfortran-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64r6 architecture
gfortran-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64r6el architecture
gfortran-mod-15
本虛擬套件由這些套件填實: flang-16, gfortran-10, gfortran-9, gfortran
gfortran-multilib (4:10.2.1-1)
GNU Fortran 95 compiler (multilib files)
gfortran-multilib-i686-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the i386 architecture
gfortran-multilib-mips-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mips architecture
gfortran-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64 architecture
gfortran-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64el architecture
gfortran-multilib-mipsel-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mipsel architecture
gfortran-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mipsr6 architecture
gfortran-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Fortran 95 compiler for the mipsr6el architecture
gfortran-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64r6 architecture
gfortran-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Fortran 95 compiler for the mips64r6el architecture
gfortran-multilib-powerpc-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the powerpc architecture
gfortran-multilib-powerpc64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the ppc64 architecture
gfortran-multilib-s390x-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the s390x architecture
gfortran-multilib-sparc64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the sparc64 architecture
gfortran-multilib-x86-64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the amd64 architecture
gfortran-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Fortran 95 compiler for the x32 architecture
gfortran-powerpc-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the powerpc architecture
gfortran-powerpc64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the ppc64 architecture
gfortran-powerpc64le-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the ppc64el architecture
gfortran-riscv64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the riscv64 architecture
gfortran-s390x-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the s390x architecture
gfortran-sh4-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the sh4 architecture
gfortran-sparc64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the sparc64 architecture
gfortran-x86-64-linux-gnu (4:10.2.1-1)
GNU Fortran 95 compiler for the amd64 architecture
gfortran-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Fortran 95 compiler for the x32 architecture
gfpoken (1-3)
Recreate a grid of mirrors from clues given by tests
gfs2-utils (3.3.0-2)
Global File System 2 - filesystem tools
gfsd (2.7.17+dfsg-1)
Gfarm file system daemon
gfsecret (0.4.6-3)
Tools to make secret sharing easier
gfsview (20121130+dfsg-7)
graphical viewer for Gerris simulation files
gfsview-batch (20121130+dfsg-7)
batch-version of viewer for Gerris simulation files
gftl-dev (1.3.0+is-really-1.2.7-1)
Containers and iterators for Fortran
gftl-shared-dev (1.0.7-2)
Common gFTL containers of Fortran intrinsic types
gftp (2.7.0b-1)
X/GTK+ and console FTP client (metapackage)
gftp-common (2.7.0b-1)
shared files for other gFTP packages
gftp-gtk (2.7.0b-1)
X/GTK+ FTP client
gftp-text (2.7.0b-1)
colored FTP client using GLib
gfxboot (4.5.73-2)
tool to test and create graphical boot logos (runtime)
gfxboot-dev (4.5.73-2)
tool to test and create graphical boot logos (development)
gfxboot-theme
本虛擬套件由這些套件填實: gfxboot-themes
gfxboot-themes (4.5.73-2)
tool to test and create graphical boot logos (themes)
ggcov (0.10-3)
Graphical tool for displaying gcov test coverage data
ggd-utils (0.0.7+ds-3+b6)
programs for use in ggd
ggobi (2.1.11-2+b1)
Data visualization system for high-dimensional data
ghc (8.8.4-2)
The Glasgow Haskell Compilation system
ghc-doc (8.8.4-2)
Documentation for the Glasgow Haskell Compilation system
ghc-dynamic
本虛擬套件由這些套件填實: ghc
ghc-ghci
本虛擬套件由這些套件填實: ghc
ghc-haddock
本虛擬套件由這些套件填實: ghc
ghc-prof (8.8.4-2)
Profiling libraries for the Glasgow Haskell Compilation system
ghdl (1.0.0+dfsg-3)
VHDL compiler/simulator
ghdl-common (1.0.0+dfsg-3)
VHDL compiler/simulator (common files)
ghdl-gcc (1.0.0+dfsg-3)
VHDL compiler/simulator (GCC backend)
ghdl-llvm (1.0.0+dfsg-3)
VHDL compiler/simulator (LLVM backend)
ghdl-mcode (1.0.0+dfsg-3)
VHDL compiler/simulator (mcode backend)
ghemical (3.0.0-5+b1)
GNOME molecular modelling environment
ghex (3.18.4-1)
GNOME Hex editor for files
ghi (1.2.0-1.1)
GitHub issue tracker command line interface (CLI)
ghkl (5.0.0.2661-1+b1)
diffractometer computation control application
ghmm (0.9~rc3-4)
General Hidden-Markov-Model library - tools
ghostess (20120105-1+b2)
A graphical DSSI plugin host
ghostscript (9.53.3~dfsg-7+deb11u6)
interpreter for the PostScript language and for PDF
ghostscript-cups
本虛擬套件由這些套件填實: cups-filters
ghostscript-doc (9.53.3~dfsg-7+deb11u6)
interpreter for the PostScript language and for PDF - Documentation
ghostscript-x (9.53.3~dfsg-7+deb11u6)
interpreter for the PostScript language and for PDF - X11 support
ghostwriter (1.8.1-2)
Distraction-free, themeable Markdown editor
ghp-import (0.5.5-2)
Easily import docs to your gh-pages branch
giac-doc (1.6.0.41+dfsg1-1)
Computer Algebra System - documentation
giada (0.16.2.2+ds1-1+b1)
Hardcore Loop Machine
giara (0.3-2+b1 [amd64], 0.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Reddit client optimized for mobile devices
giblib-dev (1.2.4-13)
headers for giblib
giblib1 (1.2.4-13)
wrapper library for imlib2, and other stuff
gif2apng (1.9+srconly-3+deb11u1)
tool for converting animated GIF images to APNG format
giflib-tools (5.1.9-2)
library for GIF images (utilities)
gifshuffle (2.0-1+b1)
Steganography program to gif images
gifsicle (1.92-2+b1 [amd64], 1.92-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tool for manipulating GIF images
gifti-bin (1.0.9-4)
tools shipped with the GIFTI library
giftrans (1.12.2-19+b1)
Convert any GIF file into a GIF89a
gigalomania (1.0+ds1-1.1)
Mega-Lo-Mania-like god game
gigalomania-data (1.0+ds1-1.1)
Mega-Lo-Mania-like god game (data files)
gigedit (1.1.1-1)
instrument editor for Gigasampler files
giggle (0.7-4)
GTK+ frontend for the git directory tracker
giggle-personal-details-plugin (0.7-4)
GTK+ frontend for the git directory tracker - personal details plugin
giggle-terminal-view-plugin (0.7-4)
GTK+ frontend for the git directory tracker - terminal plugin
gigolo (0.5.1-1)
frontend to manage connections to remote filesystems using GIO/GVfs
gigtools (4.2.0~ds1-2)
command line tools for Gigasampler and DLS Level 1/2 files
gimagereader (3.3.1-1+b2)
Graphical GTK+ front-end to tesseract-ocr
gimp (2.10.22-4+deb11u2)
GNU Image Manipulation Program
gimp-cbmplugs (1.2.2-1.1)
plugins for The GIMP to import/export Commodore 64 files
gimp-data (2.10.22-4+deb11u2)
Data files for GIMP
gimp-data-extras (1:2.0.2-1.1)
Extra brushes and patterns for GIMP
gimp-gap (2.6.0+dfsg-5+b2)
animation package for the GIMP
gimp-gluas (0.1.20-1.1+b1)
Lua environment plug-in for GIMP
gimp-gmic (2.9.4-4)
GREYC's Magic for Image Computing - GIMP Plugin
gimp-gutenprint (5.3.3-5)
print plugin for the GIMP
gimp-help
本虛擬套件由這些套件填實: gimp-help-el, gimp-help-sl, gimp-help-es, gimp-help-ca, gimp-help-ko, gimp-help-en, gimp-help-it, gimp-help-de, gimp-help-fr, gimp-help-sv, gimp-help-pt, gimp-help-nl, gimp-help-ru, gimp-help-nn, gimp-help-ja
gimp-help-ca (2.10.0-1)
Documentation for the GIMP (Catalan)
gimp-help-common (2.10.0-1)
Data files for the GIMP documentation
gimp-help-de (2.10.0-1)
Documentation for the GIMP (German)
gimp-help-el (2.10.0-1)
Documentation for the GIMP (Greek)
gimp-help-en (2.10.0-1)
Documentation for the GIMP (English)
gimp-help-es (2.10.0-1)
Documentation for the GIMP (Spanish)
gimp-help-fr (2.10.0-1)
Documentation for the GIMP (French)
gimp-help-it (2.10.0-1)
Documentation for the GIMP (Italian)
gimp-help-ja (2.10.0-1)
Documentation for the GIMP (Japanese)
gimp-help-ko (2.10.0-1)
Documentation for the GIMP (Korean)
gimp-help-nl (2.10.0-1)
Documentation for the GIMP (Dutch)
gimp-help-nn (2.10.0-1)
Documentation for the GIMP (Norwegian)
gimp-help-pt (2.10.0-1)
Documentation for the GIMP (Portuguese)
gimp-help-ru (2.10.0-1)
Documentation for the GIMP (Russian)
gimp-help-sl (2.10.0-1)
Documentation for the GIMP (Slovenian)
gimp-help-sv (2.10.0-1)
GIMP 的文件(瑞典文)
gimp-lensfun (0.2.4-1.1)
Gimp plugin to correct lens distortion using the lensfun library
gimp-plugin-registry (9.20200927)
repository of optional extensions for GIMP
gimp-resynthesizer
本虛擬套件由這些套件填實: gimp-plugin-registry
gimp-save-for-web
本虛擬套件由這些套件填實: gimp-plugin-registry
gimp-texturize (2.1-11)
generates large textures from a small sample
ginac-tools (1.8.0-2)
GiNaC symbolic framework support tools
ginga (3.1.0-1)
Astronomical image viewer
ginkgo (1.14.2-1+b6)
BDD Testing Framework for Go
ginkgocadx (3.8.8-5+b1)
Medical Imaging Software and complete DICOM Viewer
gip (1.7.0-1-5)
IP calculator for GNOME desktop environment
gir-to-d (0.22.0-1)
Create D bindings from GObject introspection files
gir1.2-abi-3.0 (3.0.4~dfsg-3)
GObject introspection data for libabiword
gir1.2-accounts-1.0 (1.25-1)
typelib file for libaccounts-glib0
gir1.2-accountsservice-1.0 (0.6.55-3)
GObject introspection data for AccountService
gir1.2-ags-3.0 (3.7.44-3)
Advanced Gtk+ Sequencer core library -- gir bindings
gir1.2-agsaudio-3.0 (3.7.44-3)
Advanced Gtk+ Sequencer audio processing engine library -- gir bindings
gir1.2-agsgui-3.0 (3.7.44-3)
Advanced Gtk+ Sequencer widget library -- gir bindings
gir1.2-amtk-5 (5.2.0-1)
Actions, Menus and Toolbars Kit for GTK+ - GObject introspection
gir1.2-anjuta-3.0 (2:3.34.0-3+b4)
GObject introspection data for the Anjuta libraries
gir1.2-aperture-0 (0.1.0+git20200908-2)
GObject introspection files for libaperture
gir1.2-appstream-1.0 (0.14.4-1)
Library to access AppStream services (introspection data)
gir1.2-appstreamcompose-1.0 (0.14.4-1)
Building blocks to compose AppStream metadata (introspection data)
gir1.2-appstreamglib-1.0 (0.7.18-1+deb11u1)
GObject introspection data for the libappstream-glib library
gir1.2-aravis-0.8 (0.8.6-1)
GObject introspection data for Aravis
gir1.2-atk-1.0 (2.36.0-2)
ATK accessibility toolkit (GObject introspection)
gir1.2-atril (1.24.0-1)
GObject introspection data for libatril* (transitional package)
gir1.2-atrildocument-1.5.0 (1.24.0-1)
GObject introspection data for libatrildocument
gir1.2-atrilview-1.5.0 (1.24.0-1)
GObject introspection data for libatrilview
gir1.2-atspi-2.0 (2.38.0-4+deb11u1)
Assistive Technology Service Provider (GObject introspection)
gir1.2-avahi-0.6 (0.8-5+deb11u2)
GObject introspection data for Avahi
gir1.2-avahicore-0.6
本虛擬套件由這些套件填實: gir1.2-avahi-0.6
gir1.2-ayatanaappindicator-0.1 (0.5.5-2+deb11u2)
Typelib files for libayatana-appindicator1 (GTK-2+ version)
gir1.2-ayatanaappindicator3-0.1 (0.5.5-2+deb11u2)
Typelib files for libayatana-appindicator3-1 (GTK-3+ version)
gir1.2-ayatanaido3-0.4 (0.8.2-1)
Widgets and other objects used for Ayatana Indicators - Typelib file
gir1.2-babl-0.1 (1:0.1.82-1)
GObject introspection data for Babl library
gir1.2-bamf-3 (0.5.4-2)
GObject introspection data for the Bamf library
gir1.2-blockdev-2.0 (2.25-2)
libblockdev introspection data
gir1.2-brasero-3.1 (3.12.2-6)
CD/DVD burning library for GNOME - GObject introspection data
gir1.2-braseroburn-3.1 (= 3.12.2-6)
本虛擬套件由這些套件填實: gir1.2-brasero-3.1
gir1.2-braseromedia-3.1 (= 3.12.2-6)
本虛擬套件由這些套件填實: gir1.2-brasero-3.1
gir1.2-budgie-1.0 (10.5.2-4)
GNOME introspection library for budgie-desktop
gir1.2-burner-3.1 (3.0.9-1)
CD/DVD burning library for UKUI - GObject introspection data
gir1.2-cairo-1.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-caja (1.24.0-1)
GObject introspection data for Caja (transitional package)
gir1.2-caja-2.0 (1.24.0-1)
GObject introspection data for Caja
gir1.2-cally-1.0 (= 1.26.4+dfsg-2)
本虛擬套件由這些套件填實: gir1.2-clutter-1.0
gir1.2-camel-1.2 (3.38.3-1+deb11u2)
GObject introspection for the Camel library
gir1.2-caribou-1.0 (0.4.21-7.1)
GObject introspection for the Caribou library
gir1.2-cattle-1.0 (1.4.0-1)
Brainfuck language toolkit (introspection files)
gir1.2-champlain-0.12 (0.12.20-1)
C library providing ClutterActor to display maps (GObject introspection)
gir1.2-cheese-3.0 (3.38.0-3)
tool to take pictures and videos from your webcam - gir bindings
gir1.2-cinnamondesktop-3.0 (4.8.1-2)
Introspection data for CinnamonDesktop
gir1.2-cloudproviders-0.3.0 (0.3.0-3)
cloud provider library - introspection data
gir1.2-clutter-1.0 (1.26.4+dfsg-2)
GObject introspection data for the Clutter 1.0 library
gir1.2-clutter-gst-3.0 (3.0.27-2)
Gobject introspection data for Clutter GStreamer elements
gir1.2-cluttergdk-1.0 (= 1.26.4+dfsg-2)
本虛擬套件由這些套件填實: gir1.2-clutter-1.0
gir1.2-cluttergst-3.0 (= 3.0.27-2)
本虛擬套件由這些套件填實: gir1.2-clutter-gst-3.0
gir1.2-clutterx11-1.0 (= 1.26.4+dfsg-2)
本虛擬套件由這些套件填實: gir1.2-clutter-1.0
gir1.2-cmenu-3.0 (4.8.3-1)
GObject introspection data for the Cinnamon menu library
gir1.2-cogl-1.0 (1.22.8-2)
GObject introspection data for the Cogl 1.0 library
gir1.2-cogl-2.0 (= 1.22.8-2)
本虛擬套件由這些套件填實: gir1.2-cogl-1.0
gir1.2-coglpango-1.0 (1.22.8-2)
GObject introspection data for the CoglPango 1.0 library
gir1.2-coglpango-2.0 (= 1.22.8-2)
本虛擬套件由這些套件填實: gir1.2-coglpango-1.0
gir1.2-colord-1.0 (1.4.5-3)
GObject introspection data for the colord library
gir1.2-colordgtk-1.0 (0.1.26-2)
GObject introspection data for the colord-gtk library
gir1.2-colorhug-1.0 (1.4.5-3)
GObject introspection data for the colorhug library
gir1.2-cryptui-0.0 (3.12.2-6)
GObject introspection data for the CryptUI library
gir1.2-cvc-1.0 (4.8.1-2)
Introspection data for Cinnamon pulseaudio abstraction
gir1.2-dazzle-1.0 (3.38.0-1)
GObject introspection data for libdazzle
gir1.2-dbus-1.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-dbusglib-1.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-dbusmenu-glib-0.4 (18.10.20180917~bzr492+repack1-2)
typelib file for libdbusmenu-glib4
gir1.2-dbusmenu-gtk-0.4 (18.10.20180917~bzr492+repack1-2)
typelib file for libdbusmenu-gtk4 (GTK-2 variant)
gir1.2-dbusmenu-gtk3-0.4 (18.10.20180917~bzr492+repack1-2)
typelib file for libdbusmenu-gtk3-4
gir1.2-dee-1.0 (1.2.7+17.10.20170616-6+b1)
GObject introspection data for the Dee library
gir1.2-devhelp-3.0 (3.38.1-1)
GObject introspection data for the devhelp library
gir1.2-diodon-1.0 (1.11.0-1)
GTK+ Clipboard manager (GObject introspection data)
gir1.2-dmap-3.0 (2.9.41-3)
DMAP client and server library - GObject-Introspection
gir1.2-ebackend-1.2 (3.38.3-1+deb11u2)
GObject introspection for the EBackend library
gir1.2-ebook-1.2 (3.38.3-1+deb11u2)
GObject introspection for the EBook library
gir1.2-ebookcontacts-1.2 (3.38.3-1+deb11u2)
GObject introspection for the EBook Contacts library
gir1.2-ecal-2.0 (3.38.3-1+deb11u2)
GObject introspection for the ECal library
gir1.2-edatabook-1.2 (3.38.3-1+deb11u2)
GObject introspection for the EBook library
gir1.2-edatacal-2.0 (3.38.3-1+deb11u2)
GObject introspection for the EDataCal library
gir1.2-edataserver-1.2 (3.38.3-1+deb11u2)
GObject introspection for the EDataServer library
gir1.2-edataserverui-1.2 (3.38.3-1+deb11u2)
GObject introspection for the EDataServerUI library
gir1.2-endless-0 (0~git20180727+ds-1.1)
GObject introspection data for the Endless SDK
gir1.2-entangle-0.1 (3.0-1+b1)
GObject introspection data for entangle
gir1.2-eom (1.24.1-1)
GObject introspection data for eom (transitional package)
gir1.2-eom-1.0 (1.24.1-1)
GObject introspection data for the eom library
gir1.2-evd-0.2 (0.2.0-1+b1)
Peer-to-peer inter-process communication library - GObject introspection data
gir1.2-evince-3.0 (3.38.2-1)
GObject introspection data for the evince libraries
gir1.2-evincedocument-3.0 (= 3.38.2-1)
本虛擬套件由這些套件填實: gir1.2-evince-3.0
gir1.2-evinceview-3.0 (= 3.38.2-1)
本虛擬套件由這些套件填實: gir1.2-evince-3.0
gir1.2-farstream-0.2 (0.2.9-1)
Audio/Video communications framework: GObject-Introspection
gir1.2-fcitx-1.0 (1:4.2.9.8-3)
GObject introspection data for fcitx
gir1.2-fcitxg-1.0 (5.0.3-1)
GObject introspection data for fcitx5
gir1.2-flatpak-1.0 (1.10.8-0+deb11u2) [security]
Application deployment framework for desktop apps (introspection)
gir1.2-folks-0.6 (0.14.0-1+b1)
library to aggregates people into metacontacts - GObject-Introspection
gir1.2-folksdummy-0.6 (= 0.14.0-1+b1)
本虛擬套件由這些套件填實: gir1.2-folks-0.6
gir1.2-folkseds-0.6 (= 0.14.0-1+b1)
本虛擬套件由這些套件填實: gir1.2-folks-0.6
gir1.2-folkstelepathy-0.6 (= 0.14.0-1+b1)
本虛擬套件由這些套件填實: gir1.2-folks-0.6
gir1.2-fontconfig-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-fprint-2.0 (1:1.90.7-2)
async fingerprint library of fprint project, gir bindings
gir1.2-freedesktop (1.66.1-1+b1)
Introspection data for some FreeDesktop components
gir1.2-freetype2-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-fwupd-2.0 (1.5.7-4)
GObject introspection data for libfwupd
gir1.2-fwupdplugin-1.0 (1.5.7-4)
GObject introspection data for libfwupdplugin
gir1.2-ganv-1.0 (1.8.0-1)
GObject Introspection data for Ganv
gir1.2-garcon-1.0 (4.16.1-1)
Typelib file for garcon
gir1.2-garcongtk-1.0 (4.16.1-1)
Typelib file for garcon GTK
gir1.2-gcab-1.0 (1.4-3)
Microsoft Cabinet file manipulation library - gir bindings
gir1.2-gck-1 (3.38.1-2)
GObject introspection data for the GCK library
gir1.2-gconf-2.0 (3.2.6-7)
GNOME configuration database system (GObject-Introspection)
gir1.2-gcr-3 (3.38.1-2)
GObject introspection data for the GCR library
gir1.2-gcrui-3 (= 3.38.1-2)
本虛擬套件由這些套件填實: gir1.2-gcr-3
gir1.2-gda-5.0 (5.2.9-2)
data abstraction library based on GLib -- GObject Introspection
gir1.2-gdata-0.0 (0.17.13-3)
GObject introspection data for the GData webservices library
gir1.2-gdesktopenums-3.0 (3.38.0-2)
GObject introspection for GSettings desktop-wide schemas
gir1.2-gdk-2.0 (= 2.24.33-2)
本虛擬套件由這些套件填實: gir1.2-gtk-2.0
gir1.2-gdk-3.0 (= 3.24.24-4+deb11u3)
本虛擬套件由這些套件填實: gir1.2-gtk-3.0
gir1.2-gdkpixbuf-2.0 (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library - GObject-Introspection
gir1.2-gdkpixdata-2.0 (= 2.42.2+dfsg-1+deb11u1)
本虛擬套件由這些套件填實: gir1.2-gdkpixbuf-2.0
gir1.2-gdkx11-2.0 (= 2.24.33-2)
本虛擬套件由這些套件填實: gir1.2-gtk-2.0
gir1.2-gdkx11-3.0 (= 3.24.24-4+deb11u3)
本虛擬套件由這些套件填實: gir1.2-gtk-3.0
gir1.2-gdl-3 (3.34.0-1)
GObject introspection data for the GDL library
gir1.2-gdm-1.0 (3.38.2.1-1)
GObject introspection data for the GNOME Display Manager
gir1.2-gee-0.8 (0.20.4-1)
GObject based collection and utility library (GObject-Introspection)
gir1.2-gegl-0.4 (1:0.4.26-2)
GObject introspection data for the Generic Graphics Library
gir1.2-geoclue-2.0 (2.5.7-3)
convenience library to interact with geoinformation service (introspection)
gir1.2-geocodeglib-1.0 (3.26.2-2)
introspection data for geocode-glib library
gir1.2-gepub-0.6 (0.6.0-2)
GObject introspection data for the libgepub library
gir1.2-ges-1.0 (1.18.4-2)
GObject introspection data for the GES library
gir1.2-gexiv2-0.10 (0.12.1-1)
GObject-based wrapper around the Exiv2 library - introspection data
gir1.2-gfbgraph-0.2 (0.2.4-1)
GObject library for Facebook Graph API - GObject introspection
gir1.2-ggit-1.0 (0.99.0.1-1+b1)
GObject introspection data for the git2-glib-1.0 library
gir1.2-gio-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-glib-2.0
gir1.2-girepository-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-glib-2.0
gir1.2-git2-glib-1.0
本虛擬套件由這些套件填實: gir1.2-ggit-1.0
gir1.2-gkbd-3.0 (3.26.1-1)
GObject introspection data for the GnomeKbd library
gir1.2-gl-1.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-gladeui-2.0 (3.38.2-2)
GObject introspection data for the GTK+ User Interface library
gir1.2-glib-2.0 (1.66.1-1+b1)
Introspection data for GLib, GObject, Gio and GModule
gir1.2-gmenu-3.0 (3.36.0-1)
GObject introspection data for the GNOME menu library
gir1.2-gmime-3.0 (3.2.7-1)
MIME message parser and creator library - GObject introspection data
gir1.2-gmodule-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-glib-2.0
gir1.2-gnomeautoar-0.1 (0.2.4-3)
GObject introspection data for GnomeAutoar
gir1.2-gnomeautoargtk-0.1 (0.2.4-3)
GObject introspection data for GnomeAutoarGtk
gir1.2-gnomebluetooth-1.0 (3.34.3-2)
Introspection data for GnomeBluetooth
gir1.2-gnomedesktop-3.0 (3.38.5-3)
Introspection data for GnomeDesktop
gir1.2-gnumeric (1.12.48-1+b2)
GObject introspection data for the Gnumeric spreadsheet
gir1.2-goa-1.0 (3.38.0-3)
Introspection data for GNOME Online Accounts
gir1.2-gobject-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-glib-2.0
gir1.2-goffice-0.10 (0.10.48-1)
GObject introspection data for the GOffice library
gir1.2-gom-1.0 (0.4-1)
GObject introspection data for libgom
gir1.2-goocanvas-2.0 (2.0.4-1)
GObject introspection data for GooCanvas - gir bindings
gir1.2-govirt-1.0 (0.3.7-2)
GObject introspection data for libgovirt
gir1.2-gpaste-1.0 (3.38.5-1)
GObject introspection data for the libgpaste13 library
gir1.2-gpaste-2.0
本虛擬套件由這些套件填實: gir1.2-gpaste-1.0
gir1.2-gpaste-3.0
本虛擬套件由這些套件填實: gir1.2-gpaste-1.0
gir1.2-gpaste-4.0
本虛擬套件由這些套件填實: gir1.2-gpaste-1.0
gir1.2-gpaste-6.0
本虛擬套件由這些套件填實: gir1.2-gpaste-1.0
gir1.2-granite-1.0 (5.5.0-1)
extension of GTK+ libraries (introspection files)
gir1.2-graphene-1.0 (1.10.4+dfsg1-1)
library of graphic data types (introspection files)
gir1.2-grilo-0.3 (0.3.13-1+deb11u1)
Framework for discovering and browsing media - GObject introspection data
gir1.2-grss-0.7 (0.7.0-2+b11)
GObject introspection data for libgrss
gir1.2-gsf-1 (1.14.47-1)
GObject introspection data for the Structured File Library
gir1.2-gsound-1.0 (1.0.2-5)
small library for playing system sounds (gir bindings)
gir1.2-gspell-1 (1.8.4-1)
spell-checking library for GTK+ applications - GObject introspection
gir1.2-gssdp-1.2 (1.2.3-2)
GObject introspection data for the GSSDP library
gir1.2-gst-plugins-bad-1.0 (1.18.4-3+deb11u4)
GObject introspection data for the GStreamer libraries from the "bad" set
gir1.2-gst-plugins-base-1.0 (1.18.4-2+deb11u1)
GObject introspection data for the GStreamer Plugins Base library
gir1.2-gst-rtsp-server-1.0 (1.18.4-2)
GObject introspection data for the GStreamer RTSP Server
gir1.2-gstreamer-1.0 (1.18.4-2.1)
GObject introspection data for the GStreamer library
gir1.2-gtd-1.0 (3.28.1-6)
GObject introspection data for GNOME To Do
gir1.2-gtherm-0.0 (0.0.2-1+b1 [amd64], 0.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GObject introspection data for libgtherm
gir1.2-gtk-2.0 (2.24.33-2)
GTK graphical user interface library -- gir bindings
gir1.2-gtk-3.0 (3.24.24-4+deb11u3)
GTK graphical user interface library -- gir bindings
gir1.2-gtk-vnc-2.0 (1.0.0-1)
GObject introspection data for GTK-VNC
gir1.2-gtkchamplain-0.12 (0.12.20-1)
Gtk+ widget to display maps (GObject introspection)
gir1.2-gtkclutter-1.0 (1.8.4-4)
GObject introspection data for the GTK+ Clutter library
gir1.2-gtklayershell-0.1 (0.5.2-2)
Wayland Layer Shell protocol desktop component library -- gir bindings
gir1.2-gtksource-3.0 (3.24.11-2)
gir files for the GTK+ syntax highlighting widget
gir1.2-gtksource-4 (4.8.0-1)
gir files for the GTK+ syntax highlighting widget
gir1.2-gtkspell3-3.0 (3.0.10-1)
GObject introspection data for the GtkSpell library
gir1.2-gtop-2.0 (2.40.0-2)
gtop system monitoring library (gir bindings)
gir1.2-gucharmap-2.90 (1:13.0.5-1)
GObject introspection data for the Unicode browser widget library
gir1.2-gudev-1.0 (234-1)
libgudev-1.0 introspection data
gir1.2-guestfs-1.0 (1:1.44.0-2)
guest disk image management system - GObject introspection files
gir1.2-gupnp-1.2 (1.2.4-1)
GObject introspection data for the GUPnP library
gir1.2-gupnp-av-1.0 (0.12.11-2)
GObject introspection data for the GUPnP-AV library
gir1.2-gupnpdlna-2.0 (0.10.5-4)
GObject introspection data for the DLNA utility library for GUPnP
gir1.2-gupnpdlnagst-2.0 (= 0.10.5-4)
本虛擬套件由這些套件填實: gir1.2-gupnpdlna-2.0
gir1.2-gupnpigd-1.0 (1.2.0-1)
GObject introspection data for the GUPnP IGD library
gir1.2-gusb-1.0 (0.3.5-1)
GObject introspection data for libgusb
gir1.2-gvnc-1.0
本虛擬套件由這些套件填實: gir1.2-gtk-vnc-2.0
gir1.2-gweather-3.0 (3.36.1-3)
GObject introspection data for the GWeather library
gir1.2-gxps-0.1 (0.3.2-1)
GObject introspection data for the gxps library
gir1.2-handy-0.0 (0.0.13-3)
GObject introspection files for libhandy
gir1.2-handy-1 (1.0.3-2)
GObject introspection files for libhandy
gir1.2-harfbuzz-0.0 (2.7.4-1)
OpenType text shaping engine (GObject introspection data)
gir1.2-hinawa-3.0 (2.2.0-1)
GObjet introspection data for libhinawa2
gir1.2-hkl-5.0 (5.0.0.2661-1+b1)
diffractometer computation control library - gir binding
gir1.2-ibus-1.0 (1.5.23-2)
Intelligent Input Bus - introspection data
gir1.2-ical-3.0 (3.0.9-2)
iCalendar library implementation in C (GObject Introspection)
gir1.2-icalglib-3.0
本虛擬套件由這些套件填實: gir1.2-ical-3.0
gir1.2-infgnutls-3.0 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-infgsasl-1.0 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-infgtk-0.7 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-infinity-0.7 (0.7.2-1)
infinote-based collaborative editing - gir bindings
gir1.2-infinityc-0.7 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-infinityd-0.7 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-infinoted-0.7 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-inftext-0.7 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-inftextgtk-0.7 (= 0.7.2-1)
本虛擬套件由這些套件填實: gir1.2-infinity-0.7
gir1.2-inputpad-1.0 (1.0.3-4)
On-screen Input Pad to Send Characters with Mouse - introspection data
gir1.2-isocodes-1.2 (1.2.3-1)
access of iso-codes data and translations - GObject introspection
gir1.2-itl-1.0 (0.3-1)
GObject introspection data for Itl 1.0 library
gir1.2-javascriptcoregtk-4.0 (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
JavaScript engine library from WebKitGTK - GObject introspection data
gir1.2-jcat-1.0 (0.1.3-2)
GObject introspection data for libjcat
gir1.2-json-1.0 (1.6.2-1)
GLib JSON manipulation library (introspection data)
gir1.2-jsonrpc-1.0 (3.38.0-1)
GObject introspection data for jsonrpc-glib
gir1.2-keybinder-0.0 (0.3.1-2.1)
registers global key bindings for applications - introspection data
gir1.2-keybinder-3.0 (0.3.2-1.1)
registers global key bindings for applications - Gtk+3 - typelib
gir1.2-kkc-1.0 (0.3.5-7)
GObject introspection data for libkkc
gir1.2-langtag-0.6 (0.6.3-2)
library to access tags for identifying languages - introspection
gir1.2-lfb-0.0 (0.0.0+git20210125-1)
GObject introspection data for libfeedback
gir1.2-libinsane-1.0 (1.0.9-2)
Library to access scanner - GObject bindings
gir1.2-libmsi-1.0 (0.101+repack-1)
Windows Installer file manipulation library - gir bindings
gir1.2-libosinfo-1.0 (1.8.0-1)
GObject introspection data for libosinfo
gir1.2-libvirt-glib-1.0 (3.0.0-1)
GObject introspection files for the libvirt-glib library
gir1.2-libvirtgconfig-1.0 (= 3.0.0-1)
本虛擬套件由這些套件填實: gir1.2-libvirt-glib-1.0
gir1.2-libvirtglib-1.0 (= 3.0.0-1)
本虛擬套件由這些套件填實: gir1.2-libvirt-glib-1.0
gir1.2-libvirtgobject-1.0 (= 3.0.0-1)
本虛擬套件由這些套件填實: gir1.2-libvirt-glib-1.0
gir1.2-libxfce4panel-2.0 (4.16.2-1)
Xfce4 panel library (introspection data)
gir1.2-libxfce4ui-2.0 (4.16.0-1)
Typelib file for libxfce4ui
gir1.2-libxfce4util-1.0 (4.16.0-1)
Typelib file for libxfce4util
gir1.2-libxml2-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-lightdm-1 (1.26.0-7)
Typelib file for liblightdm-1
gir1.2-lokdocview-0.1 (1:7.0.4-4+deb11u8)
GTK3 widget wrapping LibreOffice functionality - introspection
gir1.2-lomiriapplaunch-0 (0.0.90-7)
typelib file for liblomiri-app-launch4
gir1.2-lunar-date-2.0 (2.4.0-8)
GObject Introspection for lunar-date
gir1.2-malcontent-0 (0.10.0-2)
GObject introspection bindings for libmalcontent
gir1.2-malcontentui-0 (0.10.0-2)
GObject introspection bindings for libmalcontent-ui
gir1.2-manette-0.2 (0.2.5-1)
GObject introspection data for libmanette
gir1.2-mate-desktop (1.24.1-2)
GObject introspection data for libmate-desktop (transitional package)
gir1.2-mate-menu (1.24.1-1)
GObject introspection data for libmatemenu (transitional package)
gir1.2-mate-panel (1.24.1-1)
GObject introspection data for libmate-panel-applet4-1 (transitional package)
gir1.2-matedesktop-2.0 (1.24.1-2)
GObject introspection data for the libmate-desktop library
gir1.2-matekbd (1.24.1-1)
GObject introspection data for libmatekbd (transitional package)
gir1.2-matekbd-1.0 (1.24.1-1)
GObject introspection data for libmatekbd and libmatekbdui
gir1.2-matemenu-2.0 (1.24.1-1)
GObject introspection data for the MATE menu specification
gir1.2-matepanelapplet-4.0 (1.24.1-1)
GObject introspection data for MATE panel
gir1.2-mbim-1.0 (1.24.6-0.1)
GObject introspection data for libmbim-glib
gir1.2-mediaart-2.0 (1.9.4-3)
GObject introspection data for MediaArt library
gir1.2-messagingmenu-1.0 (0.8.2-1)
Ayatana Messaging Menu - gir bindings
gir1.2-meta-muffin-0.0 (4.8.1-1)
GObject introspection data for Muffin
gir1.2-modemmanager-1.0 (1.14.12-0.2)
GObject introspection data for modemmanager
gir1.2-modulemd-2.0 (2.12.0-1)
C Library for handling module metadata files - introspection
gir1.2-mpid-3.0 (= 3.4.4-4)
本虛擬套件由這些套件填實: gir1.2-rb-3.0
gir1.2-mutter-7 (3.38.6-2~deb11u2)
GObject introspection data for Mutter
gir1.2-mypaint-1.5 (1.6.0-2)
GObject introspection data for libmypaint
gir1.2-nautilus-3.0 (3.38.2-1+deb11u1)
libraries for nautilus components - gir bindings
gir1.2-nemo-3.0 (4.8.6-2)
libraries for nemo components - gir bindings
gir1.2-nice-0.1 (0.1.16-1)
ICE library (GObject introspection)
gir1.2-nm-1.0 (1.30.6-1+deb11u1)
GObject introspection data for the libnm library
gir1.2-nma-1.0 (1.8.30-1)
GObject introspection data for libnma
gir1.2-notify-0.7 (0.7.9-3)
sends desktop notifications to a notification daemon (Introspection files)
gir1.2-osmgpsmap-1.0 (1.2.0-1)
GTK+ library to embed OpenStreetMap maps - Python bindings
gir1.2-ostree-1.0 (2020.8-2+deb11u1)
content-addressed filesystem for operating system binaries (introspection)
gir1.2-packagekitglib-1.0 (1.2.2-2)
GObject introspection data for the PackageKit GLib library
gir1.2-pango-1.0 (1.46.2-3)
Layout and rendering of internationalized text - gir bindings
gir1.2-pangocairo-1.0 (= 1.46.2-3)
本虛擬套件由這些套件填實: gir1.2-pango-1.0
gir1.2-pangofc-1.0 (= 1.46.2-3)
本虛擬套件由這些套件填實: gir1.2-pango-1.0
gir1.2-pangoft2-1.0 (= 1.46.2-3)
本虛擬套件由這些套件填實: gir1.2-pango-1.0
gir1.2-pangoot-1.0 (= 1.46.2-3)
本虛擬套件由這些套件填實: gir1.2-pango-1.0
gir1.2-pangoxft-1.0 (= 1.46.2-3)
本虛擬套件由這些套件填實: gir1.2-pango-1.0
gir1.2-parlatype-3.0 (2.1-1)
Library for Parlatype - gir bindings
gir1.2-peas-1.0 (1.28.0-2+b1)
Application plugin library (introspection files)
gir1.2-peasgtk-1.0 (= 1.28.0-2+b1)
本虛擬套件由這些套件填實: gir1.2-peas-1.0
gir1.2-playerctl-2.0 (2.3.1-1)
utility to control media players via MPRIS (gir bindings)
gir1.2-pluma-1.0 (1.24.1-1)
GObject introspection data for Pluma
gir1.2-polkit-1.0 (0.105-31+deb11u1)
GObject introspection data for PolicyKit
gir1.2-polkitagent-1.0 (= 0.105-31+deb11u1)
本虛擬套件由這些套件填實: gir1.2-polkit-1.0
gir1.2-poppler-0.18 (20.09.0-3.1+deb11u1)
GObject introspection data for poppler-glib
gir1.2-qmi-1.0 (1.26.10-0.1)
GObject introspection data for libqmi-glib
gir1.2-rb-3.0 (3.4.4-4)
GObject introspection data for the rhythmbox music player
gir1.2-rda-1.0 (0.0.5-1.1)
GObject introspection data for librda
gir1.2-rest-0.7 (0.8.1-1.1)
REST service access library (introspection files)
gir1.2-restextras-0.7 (0.8.1-1.1)
REST service access library extra components (introspectionfiles)
gir1.2-retro-1 (1.0.1-1+b1)
GObject introspection data for retro-gtk
gir1.2-rsvg-2.0 (2.50.3+dfsg-1+deb11u1)
gir files for renderer library for SVG files
gir1.2-secret-1 (0.20.4-2)
Secret store (GObject-Introspection)
gir1.2-signon-2.0 (2.1-3)
typelib for libsignon-glib2
gir1.2-skk-1.0 (1.0.5-2)
library to deal with Japanese kana-kanji conversion method - introspection data
gir1.2-snapd-1 (1.58-4)
Typelib file for libsnapd-glib1
gir1.2-soup-2.4 (2.72.0-2)
GObject introspection data for the libsoup HTTP library
gir1.2-soupgnome-2.4 (= 2.72.0-2)
本虛擬套件由這些套件填實: gir1.2-soup-2.4
gir1.2-spiceclientglib-2.0 (0.39-1)
GObject for communicating with Spice servers (GObject-Introspection)
gir1.2-spiceclientgtk-3.0 (0.39-1)
GTK3 widget for SPICE clients (GObject-Introspection)
gir1.2-sugarext-1.0 (0.118-3)
Sugar Learning Platform - toolkit GObject introspection
gir1.2-sugargestures-1.0 (= 0.118-3)
本虛擬套件由這些套件填實: gir1.2-sugarext-1.0
gir1.2-telepathyglib-0.12 (0.24.1-3)
GLib Telepathy connection manager library (GObject-Introspection)
gir1.2-telepathylogger-0.2 (0.8.2-4)
Telepathy logger service - introspection
gir1.2-template-1.0 (3.34.0-1)
GObject introspection data for template-glib
gir1.2-tepl-5 (5.0.1-2)
Text editor library for GTK - GObject introspection
gir1.2-thunarx-3.0 (4.16.8-1)
Typelib file for thunar
gir1.2-timezonemap-1.0 (0.4.6-2)
typelib interface for libtimezonemap
gir1.2-totem-1.0 (3.38.0-2)
GObject introspection data for Totem media player
gir1.2-totem-plparser-1.0
本虛擬套件由這些套件填實: gir1.2-totemplparser-1.0
gir1.2-totemplparser-1.0 (3.26.5-5)
GObject introspection data for the Totem Playlist Parser library
gir1.2-tracker-2.0 (2.3.6-2)
GObject introspection data for Tracker
gir1.2-trackercontrol-2.0 (= 2.3.6-2)
本虛擬套件由這些套件填實: gir1.2-tracker-2.0
gir1.2-trackerminer-2.0 (= 2.3.6-2)
本虛擬套件由這些套件填實: gir1.2-tracker-2.0
gir1.2-udisks-2.0 (2.9.2-2+deb11u1)
GObject based library to access udisks2 - introspection data
gir1.2-ufo-1.0 (0.16.0.52.gbd831ab-1+b1)
Library for high-performance, GPU-based computing - gir binding
gir1.2-uhm-0.0 (0.5.3-2)
HTTP web service mocking - GObject introspection files
gir1.2-ukuimenu-2.0 (1.1.4-1+b2)
GObject introspection data for the UKUI menu specification
gir1.2-ukwm-1 (1.2.0-1+b1)
GObject introspection data for Ukwm
gir1.2-umockdev-1.0 (0.15.4-1)
introspection data for umockdev library
gir1.2-unity-5.0
本虛擬套件由這些套件填實: gir1.2-unity-7.0
gir1.2-unity-7.0 (7.1.4+19.04.20190319-5)
GObject introspection data for the Unity library
gir1.2-upowerglib-1.0 (0.99.11-2)
GObject introspection data for upower
gir1.2-urfkill-0.5 (0.5.0-7)
GObject introspection data for the urfkill library
gir1.2-v-sim-1.0 (3.7.2-8+b4)
Visualize atomic structures (gir bindings)
gir1.2-vips-8.0 (8.10.5-2)
GObject introspection data for VIPS
gir1.2-vte-2.91 (0.62.3-1)
GObject introspection data for the VTE library
gir1.2-vulkan-1.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-webkit2-4.0 (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
Web content engine library for GTK - GObject introspection data
gir1.2-wnck-1.0 (2.30.7-6)
GObject introspection data for the WNCK library
gir1.2-wnck-3.0 (3.36.0-1)
GObject introspection data for the WNCK library
gir1.2-xapp-1.0 (2.0.7-1)
Introspection data for Xapp
gir1.2-xfconf-0 (4.16.0-2)
utilities for managing settings in Xfce - introspection support
gir1.2-xfixes-4.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-xft-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-xkl-1.0 (5.4-4)
X Keyboard Extension high-level API - introspection data
gir1.2-xlib-2.0 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-xmlb-1.0 (0.1.15-2)
GObject introspection data for libxmlb
gir1.2-xrandr-1.3 (= 1.66.1-1+b1)
本虛擬套件由這些套件填實: gir1.2-freedesktop
gir1.2-zeitgeist-2.0 (1.0.3-4)
library to access Zeitgeist - GObject introspection data
gir1.2-zpj-0.0 (0.0.3-5)
GObject introspection data for the libzapojit library
gis-all (0.0.20)
Default selection of tasks for Debian Gis
gis-data (0.0.20)
Debian GIS data
gis-devel (0.0.20)
Geographic Information Systems (GIS) development
gis-gps (0.0.20)
GPS related programs
gis-osm (0.0.20)
OpenStreetMap related programs
gis-remotesensing (0.0.20)
Remote sensing and earth observation
gis-statistics (0.0.20)
Statistics with geographical data
gis-tasks (0.0.20)
Debian GIS tasks for tasksel
gis-web (0.0.20)
Present geographic information via web map server
gis-workstation (0.0.20)
Geographic Information Systems (GIS) workstation
gist (6.0.0-1)
Upload gists to gist.github.com
git (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system
git-absorb (0.6.6-2+b3)
Git commit --fixup, but automatic
git-all (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (all subpackages)
git-annex (8.20210223-2)
manage files with git, without checking their contents into git
git-annex-remote-rclone (0.6-1)
rclone-based git annex special remote
git-big-picture (1.0.0-1)
Visualization tool for Git repositories
git-build-recipe (0.3.6)
construct a Git branch from a recipe
git-buildpackage (0.9.22)
Suite to help with Debian packages in Git repositories
git-buildpackage-rpm (0.9.22)
Suite to help with RPM packages in Git repositories
git-cola (3.9-1)
highly caffeinated git GUI
git-completion
本虛擬套件由這些套件填實: git
git-core
本虛擬套件由這些套件填實: git
git-crecord (20201025.0-1)
interactively select chunks to commit with Git
git-crypt (0.6.0-1)
Transparent file encryption in git
git-cvs (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (cvs interoperability)
git-daemon-run (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (git-daemon service)
git-daemon-sysvinit (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (git-daemon service)
git-debpush (9.13)
client script for git pushing to Debian-style archives
git-debrebase (9.13)
rebasing git workflow tool for Debian packaging
git-doc (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (documentation)
git-dpm (0.10.0-1.1)
git Debian package manager
git-el (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (emacs support)
git-email (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (email add-on)
git-extras (6.1.0-1)
Extra commands for git
git-flow (1.12.3-3)
Git extension to provide a high-level branching model
git-ftp (1.6.0+dfsg-1)
Git powered FTP client written as shell script
git-gui (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (GUI)
git-imerge (1.2.0-3)
incremental merge and rebase for git
git-lfs (2.13.2-1+b5)
Git Large File Support
git-man (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (manual pages)
git-mediawiki (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (MediaWiki remote helper)
git-merge-changelog (20210102~ebaa53c-1)
git merge driver for GNU ChangeLog files
git-phab (2.9.0~git20170531+6877964-1)
Git subcommand to integrate with Phabricator.
git-publish (1.6.0-1)
git command to prepare and store patch revisions as git tags
git-pw (2.0.0-2)
tool for integrating Git with Patchwork
git-quick-stats (2.1.6-1)
simple and efficient way to access various statistics in git repository
git-reintegrate (0.4-2.1)
Git extension to manage integration branches
git-remote-bzr
本虛擬套件由這些套件填實: brz
git-remote-gcrypt (1.4-1)
encrypted git repositories
git-repair (1.20200102-2)
repair various forms of damage to git repositories
git-restore-mtime (2020.09-1)
set timestamps to the date of a file's last commit
git-review (1.28.0-2)
git command for submitting branches to Gerrit
git-revise (0.6.0-2)
handy git tool for doing efficient in-memory commit rebases & fixups
git-secret (0.2.3-1.1)
store encrypted credential inside source code git repository
git-secrets (1.3.0-4)
Prevents accidental commits of credentials
git-sizer (1.3.0+dfsg-1+b6)
compute various size metrics for a Git repository
git-svn (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (svn interoperability)
git2cl (1:2.0+git20120920-3)
Simple tool to convert git logs to GNU ChangeLog format
gita (0.12.9-1)
Manage many git repos
gitbatch (0.5.0-3+b5)
Manage git repositories in one place
gitbrute (0~12-4)
brute-force a git commit hash
gitg (3.32.1-1)
git repository viewer
github-backup (1.20200721-2)
backs up data from GitHub
gitinspector (0.4.4+dfsg-9)
statistical analysis tool for git repositories
gitit (0.13.0.0+dfsg-2+b2)
Wiki engine backed by a git or darcs filestore
gitk (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (revision tree visualizer)
gitlab-cli (1:2.5.0-1)
GitLab command-line client
gitlabracadabra (1.2.0)
Configure GitLab from a YAML file
gitless (0.8.8-4)
version control system on top of Git
gitlint (0.15.0-1)
Git commit message linter
gitmagic (20160304-1.2)
guide about Git version control system
gitolite3 (3.6.12-1)
SSH-based gatekeeper for git repositories (version 3)
gitpkg (0.29+nmu1)
tools for maintaining Debian packages with git
gitso (0.6.2+svn158+dfsg-4)
simple frontend for reverse VNC connections (remote assistance)
gitsome (0.8.0+ds-5)
Supercharged Git/Shell Autocompleter with GitHub Integration
gitweb (1:2.30.2-1+deb11u2)
fast, scalable, distributed revision control system (web interface)
givaro-dev-doc (4.1.1-2)
Developer Documentation for Givaro (obsolete)
givaro-user-doc (4.1.1-2)
User Documentation for Givaro (obsolete)
giza-dev (1.2.0-1)
Lightweight scientific plotting library (development files)
gjacktransport (0.6.4-1)
access to the JACK's transport mechanism as touchable slider
gjh-asl-json (0.0+git20180428.eb8720e-2)
gjh solver, like solver from AMPL Library
gjiten (2.6-3.1)
Japanese dictionary for GNOME
gjs (1.66.2-1)
Mozilla-based javascript bindings for the GNOME platform
gjs-tests (1.66.2-1)
Mozilla-based javascript bindings for the GNOME platform
gkbd-capplet (3.26.1-1)
GNOME control center tools for libgnomekbd
gkdebconf (2.1.1)
Helper to reconfigure packages with Debconf
gkermit (1.0-11)
A serial and network communications package
gkrellkam (2.0.0-1.2)
GKrellM plugin that displays a periodically updating image
gkrellm (2.3.11-2)
GNU Krell Monitors
gkrellm-bfm (0.6.4-6+b1)
system load plugin for gkrellm with a duck
gkrellm-common
本虛擬套件由這些套件填實: gkrellm
gkrellm-cpufreq (0.6.4-6.1)
CPU frequency plugin for GKrellM
gkrellm-gkrellmpc (0.1~beta10-5)
GKrellM plugin for controlling MPD
gkrellm-hdplop (0.9.11-1)
hard drive activity monitor GKrellM plugin
gkrellm-ibam (1:0.5.2-2.1+b2)
Advanced battery monitor for laptops - gkrellm plugin
gkrellm-leds (0.8.0-1.3)
Keyboard LED monitor for GKrellM
gkrellm-mailwatch (2.4.3-1.1)
GKrellM plugin to watch mailboxes in multiple panels
gkrellm-radio (2.0.4-1.2)
FM radio tuner for GKrellM
gkrellm-reminder (2.0.0-3+b2)
useful reminder plugin for gkrellm
gkrellm-thinkbat (0.2.2-1+b1)
ThinkPad laptops battery status indicator for GKrellM
gkrellm-tz (0.8-2)
Plugin for gkrellm to show different timezones
gkrellm-volume (2.1.13-1.1+b1)
A mixer plugin for GKrellM
gkrellm-x86info (0.0.2-9+b1)
gkrellm plugin displaying the current processor speed
gkrellm-xkb (1.05-5+b1)
Keyboard layout indicator plugin for GKrellM
gkrellmd (2.3.11-2)
GNU Krell Monitors Server
gkrellmitime (1.0.1-6+b1)
Internet time plugin for gkrellm
gkrellmoon (0.6-6+b1 [amd64], 0.6-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Gkrellm Moon Clock Plugin
gkrellmwireless (2.0.3-1.1)
802.11 wireless link monitor plugin for GKrellM
gkrellshoot (0.4.4-3)
Plugin for gkrellm to lock the screen and make screenshots
gkrelltop (2.2.13-1+b3)
Top three intensive processes plugin for gkrellm
gkrelltopd (2.2.13-1+b3)
Top three intensive processes plugin for gkrellmd
gkrelluim (0.3.1-7+b1 [amd64], 0.3.1-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GKrellM plugin for uim
gkrellweather (2.0.8-2.2)
A weather monitor plugin for GKrellM
gkrellxmms2 (0.7.1-3+b1)
GKrellM plugin to control xmms2
gl-117 (1.3.2-3+b1)
action flight simulator
gl-117-data (1.3.2-3)
data files for gl-117
gla11y (0.4-2)
Automatic check of accessibility of .ui files
glabels (3.4.1-3)
label, business card and media cover creation program for GNOME
glabels-data (3.4.1-3)
data files for gLabels
glabels-dev (3.4.1-3)
development documentation and library files for gLabels
glade (3.38.2-2)
GTK+ User Interface Builder
gladtex (3.1.0-1)
Embed LaTeX equations in HTML files
glam2 (1064-9)
gapped protein motifs from unaligned sequences
glance (2:21.0.0-2+deb11u1)
OpenStack Image Registry and Delivery Service - Daemons
glance-api (2:21.0.0-2+deb11u1)
OpenStack Image Registry and Delivery Service - API server
glance-common (2:21.0.0-2+deb11u1)
OpenStack Image Registry and Delivery Service - common files
glance-doc (2:21.0.0-2+deb11u1)
OpenStack Image Registry and Delivery Service - Documentation
glance-store-common (2.3.0-4)
OpenStack Image Service store library - common files
glasscoder (1.0.1-1)
minimalist audio encoder for generating live streams
glassgui (1.0.1-1)
minimalist audio encoder GUI for generating live streams
glaurung (2.2-4)
free UCI chess engine, to calculate chess moves
glbinding-doc (2.1.1-2)
documentation for glbinding
glbinding-tools (2.1.1-2)
command-line tools for glbinding
glbsp (2.24-5)
nodes builder for Doom-style games; has support for OpenGL
gle-doc (3.1.0-10)
OpenGL tubing and extrusion library documentation
gle-graphics (4.2.5-9)
Graphics Layout Engine
glew-utils (2.1.0-4+b1)
OpenGL Extension Wrangler - utilities
glewlwyd (2.5.2-2+deb11u2)
Single-Sign-On server with multiple factor authentication
glewlwyd-common (2.5.2-2+deb11u2)
Single-Sign-On server with multiple factor authentication - common files
glfer (0.4.2-2+b2)
program for reception and transmission of QRSS/DFCW signals
glhack (1.2-4)
Fullscreen SDL/OpenGL version of NetHack
glib-networking (2.66.0-2)
network-related giomodules for GLib
glib-networking-common (2.66.0-2)
network-related giomodules for GLib - data files
glib-networking-services (2.66.0-2)
network-related giomodules for GLib - D-Bus services
glib-networking-tests (2.66.0-2)
network-related giomodules for GLib - installed tests
glibc-doc (2.31-13+deb11u8)
GNU C Library: Documentation
glibc-doc-reference (2.31-1) [non-free]
GNU C Library: Documentation
glibc-source (2.31-13+deb11u8)
GNU C Library: sources
gliese (3.0.95-2) [non-free]
stellar data set from the Third Catalogue of Nearby Stars
gliese-viewer
本虛擬套件由這些套件填實: starplot
glimpse (4.18.7-6)
search quickly through entire file systems
glirc (2.36-3)
console IRC client
gliv (1.9.7-2+b2)
image viewer using gdk-pixbuf and OpenGL
glob2 (0.9.4.4-5)
innovative Real Time Strategy game
glob2-data (0.9.4.4-5)
innovative Real Time Strategy game - data files
global (6.6.5-1)
Source code search and browse tools
global-assembly-cache-tool
本虛擬套件由這些套件填實: mono-gac
globjects-doc (1.1.0-3)
documentation for globjects
globus-common-progs (18.9-1)
Grid Community Toolkit - Common Library Programs
globus-gass-cache-program (7.0-3)
Grid Community Toolkit - Tools to manipulate local and remote GASS caches
globus-gass-copy-progs (10.8-1)
Grid Community Toolkit - Globus Gass Copy Programs
globus-gass-server-ez-progs (6.1-3)
Grid Community Toolkit - Globus Gass Server_ez Programs
globus-gatekeeper (11.3-2)
Grid Community Toolkit - Globus Gatekeeper
globus-gfork-progs (5.0-3)
Grid Community Toolkit - GFork Programs
globus-gram-audit (5.0-3)
Grid Community Toolkit - GRAM Jobmanager Auditing
globus-gram-client-tools (12.1-1)
Grid Community Toolkit - Job Management Tools (globusrun)
globus-gram-job-manager (15.5-1)
Grid Community Toolkit - GRAM Jobmanager
globus-gram-job-manager-condor (3.0-3)
Grid Community Toolkit - Condor Job Manager Support
globus-gram-job-manager-fork (3.1-2)
Grid Community Toolkit - Fork Job Manager Support
globus-gram-job-manager-fork-setup-poll (3.1-2)
Grid Community Toolkit - Fork Job Manager Support using polling
globus-gram-job-manager-fork-setup-seg (3.1-2)
Grid Community Toolkit - Fork Job Manager Support using SEG
globus-gram-job-manager-lsf (3.0-3)
Grid Community Toolkit - LSF Job Manager Support
globus-gram-job-manager-lsf-setup-poll (3.0-3)
Grid Community Toolkit - LSF Job Manager Support using polling
globus-gram-job-manager-lsf-setup-seg (3.0-3)
Grid Community Toolkit - LSF Job Manager Support using SEG
globus-gram-job-manager-pbs (3.1-2)
Grid Community Toolkit - PBS Job Manager Support
globus-gram-job-manager-pbs-setup-poll (3.1-2)
Grid Community Toolkit - PBS Job Manager Support using polling
globus-gram-job-manager-pbs-setup-seg (3.1-2)
Grid Community Toolkit - PBS Job Manager Support using SEG
globus-gram-job-manager-scripts (7.3-1)
Grid Community Toolkit - GRAM Job ManagerScripts
globus-gram-job-manager-scripts-doc (7.3-1)
Grid Community Toolkit - GRAM Job ManagerScripts Documentation Files
globus-gram-job-manager-sge (3.1-2)
Grid Community Toolkit - Grid Engine Job Manager Support
globus-gram-job-manager-sge-setup-poll (3.1-2)
Grid Community Toolkit - Grid Engine Job Manager Support using polling
globus-gram-job-manager-sge-setup-seg (3.1-2)
Grid Community Toolkit - Grid Engine Job Manager Support using SEG
globus-gram-job-manager-slurm (3.0-3)
Grid Community Toolkit - SLURM Job Manager Support
globus-gram-job-manager-slurm-setup-poll
本虛擬套件由這些套件填實: globus-gram-job-manager-slurm
globus-gridftp-server-progs (13.21-1)
Grid Community Toolkit - Globus GridFTP Server Programs
globus-gsi-cert-utils-progs (10.7-1)
Grid Community Toolkit - Globus GSI Cert Utils Library Programs
globus-gss-assist-progs (12.4-1)
Grid Community Toolkit - GSSAPI Assist library Programs
globus-proxy-utils (7.1-3)
Grid Community Toolkit - Globus GSI Proxy Utility Programs
globus-scheduler-event-generator-progs (6.3-1)
Grid Community Toolkit - Scheduler Event Generator Programs
globus-seg-job-manager (15.5-1)
Grid Community Toolkit - Scheduler Event Generator Job Manager
globus-simple-ca (5.2-1)
Grid Community Toolkit - Simple CA Utility
globus-xioperf (5.1-2)
Grid Community Toolkit - XIO Performance Tool
glogg (1.1.4-1.1+b4 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.1.4-1.1+b3 [armel, armhf])
Smart interactive log explorer using Qt
glogic (2.6-5)
graphical logic circuit simulator
glom (1.30.4-6.1+b3)
database designer and user interface
glom-doc (1.30.4-6.1)
database designer and user interface - documentation
glom-utils (1.30.4-6.1+b3)
Command-line utilities for Glom
glosstex (0.4.dfsg.1-4+b1)
prepare glossaries and lists of acronyms
glowing-bear (0.9.0+ds-2)
Web frontend for the WeeChat IRC client
glpeces (5.2-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 5.2-2 [armel, armhf])
Tangram (puzzle) game clone
glpeces-data (5.2-2)
Data files for glpeces (Tangram puzzle)
glpk-doc (5.0-1)
linear programming kit - documentation files
glpk-utils (5.0-1)
linear programming kit - utility files
glpuzzle
本虛擬套件由這些套件填實: jigzo
glslang-dev (11.1.0-4)
OpenGL and OpenGL ES shader front end and validator -- development files
glslang-tools (11.1.0-4)
OpenGL and OpenGL ES shader front end and validator -- tools
gltfpack (0.15+dfsg-3)
glTF mesh file optimizer
gltron (0.70final-12.2)
3D lightcycle game
glue-schema (2.0.11-2.1)
LDAP schema files for the GLUE 1.3 and GLUE 2.0 Schema
glue-sprite (0.13-4)
Simple command line tool to generate CSS sprites
glueviz (1.0.1+dfsg-1)
Linked data visualization
glulxe (0.5.4-1+b1 [amd64], 0.5.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Interpreter for glulx interactive fiction
glurp (0.12.3-1+b2 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x], 0.12.3-1 [arm64])
GTK+ frontend to the Music Player Daemon (MPD)
glusterfs-client (9.2-1)
clustered file-system (client package)
glusterfs-common (9.2-1)
GlusterFS common libraries and translator modules
glusterfs-server (9.2-1)
clustered file-system (server package)
glx-alternative-mesa (1.2.1~deb11u1) [contrib]
allows the selection of MESA as GLX provider
glx-alternative-nvidia (1.2.1~deb11u1) [contrib]
allows the selection of NVIDIA as GLX provider
glx-diversions (1.2.1~deb11u1) [contrib]
prepare for using accelerated GLX implementations from GPU vendors
glymur-bin (0.9.3-1)
Python tools for accessing JPEG2000 files - scripts
glyphsinfo (1193-1)
Glyphs info used inside Glyphs.app
glyphslib (5.3.2+ds1-1)
Convert between Glyphs files (.glyphs) and UFOs
glyrc (1.0.10-1)
command-line interface to libglyr
gm-assistant (1.2.3-2)
Game Master assistant for role-playing games
gm-assistant-doc (1.2.3-2)
Game Master assistant for role-playing games - user guide
gm2 (4:10.2.1-1)
GNU Modula-2 compiler, based on the GCC backend
gm2
本虛擬套件由這些套件填實: gm2-9, gm2-10
gm2-10 (10.2.1-6)
GNU Modula-2 compiler
gm2-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for arm64 architecture)
gm2-10-alpha-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for alpha architecture)
gm2-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for armel architecture)
gm2-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for armhf architecture)
gm2-10-hppa-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for hppa architecture)
gm2-10-i686-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for i386 architecture)
gm2-10-m68k-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for m68k architecture)
gm2-10-mips-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mips architecture)
gm2-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mips64 architecture)
gm2-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mips64el architecture)
gm2-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mipsel architecture)
gm2-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mipsr6 architecture)
gm2-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mipsr6el architecture)
gm2-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mips64r6 architecture)
gm2-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for mips64r6el architecture)
gm2-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for ppc64el architecture)
gm2-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for riscv64 architecture)
gm2-10-s390x-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for s390x architecture)
gm2-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for sparc64 architecture)
gm2-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for amd64 architecture)
gm2-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Modula-2 compiler (cross compiler for x32 architecture)
gm2-9 (9.3.0-22)
GNU Modula-2 compiler
gm2-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for arm64 architecture)
gm2-9-alpha-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for alpha architecture)
gm2-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for armel architecture)
gm2-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for armhf architecture)
gm2-9-hppa-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for hppa architecture)
gm2-9-i686-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for i386 architecture)
gm2-9-m68k-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for m68k architecture)
gm2-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for ppc64el architecture)
gm2-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for riscv64 architecture)
gm2-9-s390x-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for s390x architecture)
gm2-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for sparc64 architecture)
gm2-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for amd64 architecture)
gm2-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Modula-2 compiler (cross compiler for x32 architecture)
gm2-aarch64-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the arm64 architecture
gm2-alpha-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the alpha architecture
gm2-arm-linux-gnueabi (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the armel architecture
gm2-arm-linux-gnueabihf (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the armhf architecture
gm2-hppa-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the hppa architecture
gm2-i686-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the i386 architecture
gm2-m68k-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the m68k architecture
gm2-mips-linux-gnu (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mips architecture
gm2-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mips64 architecture
gm2-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mips64el architecture
gm2-mipsel-linux-gnu (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mipsel architecture
gm2-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mipsr6 architecture
gm2-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mipsr6el architecture
gm2-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mips64r6 architecture
gm2-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Modula-2 compiler (based on GCC) for the mips64r6el architecture
gm2-powerpc64le-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the ppc64el architecture
gm2-riscv64-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the riscv64 architecture
gm2-s390x-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the s390x architecture
gm2-sparc64-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the sparc64 architecture
gm2-x86-64-linux-gnu (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the amd64 architecture
gm2-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Modula-2 compiler (based on GCC) for the x32 architecture
gmailieer (1.3-1)
Transition package, gmailieer to lieer
gmailieer
本虛擬套件由這些套件填實: lieer
gman (0.9.3-5.3)
small man(1) front-end for X
gmanedit (0.4.2-8)
GTK+ man pages editor
gmap (2021-02-22+ds-1)
spliced and SNP-tolerant alignment for mRNA and short reads
gmediarender (0.0.9-2)
Small headless UPnP media renderer
gmemusage (0.2-11+b2)
Displays a graph detailing memory usage of each process
gmerlin (1.2.0~dfsg+1-6.1+b3)
multiformat media player
gmerlin-data (1.2.0~dfsg+1-6.1)
multiformat media player - data files
gmerlin-encoders
本虛擬套件由這些套件填實: gmerlin-encoders-extra, gmerlin-encoders-ffmpeg, gmerlin-encoders-good
gmerlin-encoders-extra (1.2.0-10)
MJPEG encoders for Gmerlin
gmerlin-encoders-ffmpeg (1.2.0-10)
ffmpeg encoders for Gmerlin
gmerlin-encoders-good (1.2.0-10)
patent-free encoders for Gmerlin
gmerlin-plugins-avdecoder (1.2.0~dfsg-11)
avdecoder plugins for Gmerlin
gmerlin-plugins-base (1.2.0~dfsg+1-6.1+b3)
gmerlin plugins from the "base" set
gmessage
本虛擬套件由這些套件填實: gxmessage
gmetad (3.7.2-4)
cluster monitoring toolkit - Ganglia Meta-Daemon
gmic (2.9.4-4)
GREYC's Magic for Image Computing
gmic-zart (2.9.4-4)
GREYC's Magic for Image Computing - ZArt
gmidimonitor (3.6+dfsg0-4)
GTK+ application that shows MIDI events
gmime-bin (3.2.7-1)
MIME message parser and creator library - runtime binaries
gmotionlive (1.0-3.1)
Simple multipart/x-mixed-replace viewer
gmp-doc (6.2.1+ndfsg-1) [non-free]
GMP (MultiPrecision arithmetic library) reference manual
gmp-ecm (7.0.4+ds-5)
Factor integers using the Elliptic Curve Method
gmpc (11.8.16-19)
GNOME Music Player Client (graphical interface to MPD)
gmpc-data (11.8.16-19)
GNOME Music Player Client - data files
gmpc-dev (11.8.16-19)
GNOME Music Player Client (plugin development files)
gmpc-plugins (11.8.16-6)
Plugins for the GNOME Music Player Client
gmrun (0.9.2-3)
Featureful CLI-like GTK+ application launcher
gmsh (4.7.1+ds1-5)
Three-dimensional finite element mesh generator
gmsh-doc (4.7.1+ds1-5)
Three-dimensional finite element mesh generator documentation
gmsl (1.1.9-1)
extra functions to extend functionality of GNU Makefiles
gmt (6.1.1+dfsg-1+b1)
Generic Mapping Tools
gmt-common (6.1.1+dfsg-1)
Generic Mapping Tools - Architecture-independent files
gmt-dcw (1.1.4-3)
Digital Chart of the World (DCW) for GMT
gmt-gshhg (2.3.7-5)
Global Self-consistent Hierarchical High-resolution Geography (GSHHG)
gmt-gshhg-data
本虛擬套件由這些套件填實: gmt-gshhg-full, gmt-gshhg-high, gmt-gshhg-low
gmt-gshhg-full (2.3.7-5)
Full resolution coastlines for the Generic Mapping Tools
gmt-gshhg-high (2.3.7-5)
High resolution coastlines for the Generic Mapping Tools
gmt-gshhg-low (2.3.7-5)
Low resolution coastlines for the Generic Mapping Tools
gmt-gshhs-full
本虛擬套件由這些套件填實: gmt-gshhg-full
gmt-gshhs-high
本虛擬套件由這些套件填實: gmt-gshhg-high
gmt-gshhs-low
本虛擬套件由這些套件填實: gmt-gshhg-low
gmtkbabel (0.1-1.1)
graphical interface for mtkbabel
gmtp (1.3.11-3)
simple file transfer program for MTP based devices
gmult (8.0-2+b1)
figure out which letters are which numbers
gn
本虛擬套件由這些套件填實: generate-ninja
gnarwl (3.6.dfsg-13)
Email autoresponder based on LDAP
gnat (10.1)
GNU Ada compiler
gnat-10 (10.2.1-6)
GNU Ada compiler
gnat-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-alpha-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU Ada compiler
gnat-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU Ada compiler
gnat-10-doc (10.2.0-1) [non-free]
documentation for the GNU Ada Compiler (gnat)
gnat-10-hppa-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-i686-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-m68k-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mips-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Ada compiler
gnat-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Ada compiler
gnat-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-s390x-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-sh4-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU Ada compiler
gnat-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Ada compiler
gnat-9 (9.3.0-22)
GNU Ada compiler
gnat-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-alpha-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU Ada compiler
gnat-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU Ada compiler
gnat-9-doc (9.3.0-1) [non-free]
documentation for the GNU Ada Compiler (gnat)
gnat-9-hppa-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-i686-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-m68k-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-s390x-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-sh4-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU Ada compiler
gnat-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Ada compiler
gnat-doc (5:9.2.0-3) [contrib]
documentation for the GNU Ada Compiler (gnat)
gnat-mingw-w64 (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64
gnat-mingw-w64-i686 (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64 targeting Win32
gnat-mingw-w64-i686-posix (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64, Win32/POSIX
gnat-mingw-w64-i686-win32 (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64, Win32/Win32
gnat-mingw-w64-x86-64 (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64 targeting Win64
gnat-mingw-w64-x86-64-posix (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64, Win64/POSIX
gnat-mingw-w64-x86-64-win32 (10.2.1-6+24.2)
GNU Ada compiler for MinGW-w64, Win64/Win32
gngb (20060309-5)
Color Gameboy emulator
gniall (0.7.1-8)
program that tries to learn a human language
gnocchi-api (4.4.0-1)
Metric as a Service - API daemon
gnocchi-common (4.4.0-1)
Metric as a Service - common files
gnocchi-metricd (4.4.0-1)
Metric as a Service - metric daemon
gnocchi-statsd (4.4.0-1)
Metric as a Service - statsd daemon
gnokii (0.6.30+dfsg-1.3)
Datasuite for mobile phone management (metapackage)
gnokii-cli (0.6.30+dfsg-1.3)
Datasuite for mobile phone management (console interface)
gnokii-common (0.6.30+dfsg-1.3)
Datasuite for mobile phone management (base files)
gnokii-smsd (0.6.30+dfsg-1.3)
SMS Daemon for mobile phones
gnokii-smsd-mysql (0.6.30+dfsg-1.3)
SMSD plugin for MySQL storage backend
gnokii-smsd-pgsql (0.6.30+dfsg-1.3)
SMSD plugin for PostgreSQL storage backend
gnomad2 (2.9.6-6)
Manage a Creative Labs Nomad Jukebox
gnome (1:3.38+3)
Full GNOME Desktop Environment, with extra components
gnome-2048 (3.38.0-1)
sliding tile puzzle game
gnome-accessibility-themes (3.28-1)
High Contrast GTK+ 2 theme and icons
gnome-activity-journal (1.0.0-3)
graphical user interface for Zeitgeist
gnome-api-docs (1:3.38+3)
API reference documentation for the GNOME libraries
gnome-applets (3.38.0-1)
Various applets for the GNOME panel - binary files
gnome-applets-data (3.38.0-1)
Various applets for the GNOME panel - data files
gnome-audio (2.22.2-1.2)
Audio files for GNOME
gnome-authenticator (3.32.2+dfsg1-2)
TOTP authentication app for the GNOME Desktop
gnome-backgrounds (3.38.0-1)
Set of backgrounds packaged with the GNOME desktop
gnome-bluetooth (3.34.3-2)
GNOME Bluetooth tools
gnome-books (3.34.0-4)
ebook reader for GNOME
gnome-boxes (3.38.2-1)
Simple GNOME app to access remote or virtual systems
gnome-brave-icon-theme (5.5.1-2.1)
blue variation of the GNOME-Colors icon theme
gnome-breakout (0.5.3-7)
Clone of the classic game Breakout, written for GNOME
gnome-builder (3.38.2-1)
IDE for GNOME
gnome-calculator (3.38.2-1)
GNOME desktop calculator
gnome-calendar (3.38.2-1)
Calendar application for GNOME
gnome-calls (0.2.0-2)
Make and receive PSTN phone calls
gnome-calls-doc (0.2.0-2)
Make and receive PSTN phone calls - development documentation
gnome-cards-data (1:3.22.9-1)
data files for the GNOME card games
gnome-characters (3.34.0-1)
character map application
gnome-chess (1:3.38.0-1)
simple chess game
gnome-clocks (3.38.0-1)
Simple GNOME app with stopwatch, timer, and world clock support
gnome-color-manager (3.36.0-1)
Color management integration for the GNOME desktop environment
gnome-colors (5.5.1-2.1)
set of GNOME icon themes
gnome-colors-common (5.5.1-2.1)
common icons for all GNOME-Colors icon themes
gnome-common (3.18.0-4)
common scripts and macros to develop with GNOME
gnome-contacts (3.38.1-1+b1)
Contacts manager for GNOME
gnome-control-center (1:3.38.4-1)
utilities to configure the GNOME desktop
gnome-control-center-data (1:3.38.4-1)
configuration applets for GNOME - data files
gnome-control-center-dev (1:3.38.4-1)
utilities to configure the GNOME desktop
gnome-core (1:3.38+3)
GNOME Desktop Environment -- essential components
gnome-desktop-testing (2018.1-3)
runner for GNOME installed tests
gnome-desktop3-data (3.38.5-3)
Common files for GNOME desktop apps
gnome-devel (1:3.38+3)
GNOME Desktop Environment -- development tools
gnome-devel-docs (3.38.1-1)
GNOME developer documentation
gnome-dictionary (3.26.1-6)
GNOME dictionary application
gnome-disk-utility (3.38.2-1)
manage and configure disk drives and media
gnome-documents (3.34.0-2)
Document manager for GNOME
gnome-dust-icon-theme (5.5.1-2.1)
chocolate variation of the GNOME-Colors icon theme
gnome-dvb-client (1:0.2.91~git20170110-4)
client for gnome-dvb-daemon
gnome-dvb-daemon (1:0.2.91~git20170110-4+b4)
daemon to setup your DVB devices, record and watch TV shows and browse EPG
gnome-extra-icons (1.1-3.1)
Optional GNOME icons
gnome-feeds (0.16.2+dfsg1-1)
RSS/Atom feed reader for GNOME
gnome-firmware (3.36.0-1)
GTK front end for fwupd
gnome-flashback (3.38.0-2)
helper application for the GNOME Flashback session
gnome-flashback-common (3.38.0-2)
GNOME Flashback helper application - common data files
gnome-font-viewer (3.34.0-2+b1)
font viewer for GNOME
gnome-games (1:3.38+3)
games for the GNOME desktop
gnome-games-app (3.38.0-1)
Game browser and launcher for GNOME
gnome-genius (1.0.25-2)
advanced general purpose calculator program (GNOME frontend)
gnome-getting-started-docs (3.38.0-1)
Help a new user get started in GNOME
gnome-gmail (2.7-2)
support for Gmail as the preferred email application in GNOME
gnome-human-icon-theme (5.5.1-2.1)
orange variation of the GNOME-Colors icon theme
gnome-hwp-support (0.1.6-2)
HWP document integration for GNOME desktop
gnome-icon-theme (3.12.0-3)
GNOME Desktop icon theme
gnome-icon-theme-gartoon (0.5-5)
Gartoon icon theme for GTK+
gnome-icon-theme-nuovo (0.5-4.2)
Dropline Nuovo icon theme
gnome-icon-theme-suede (0.2.5-3)
Suede GTK+ icon theme
gnome-icon-theme-symbolic
本虛擬套件由這些套件填實: adwaita-icon-theme
gnome-icon-theme-yasis (0.4.2-1.1)
YASIS (Yet Another Scalable Icon Set)
gnome-illustrious-icon-theme (5.5.1-2.1)
pink variation of the GNOME-Colors icon theme
gnome-initial-setup (3.38.4-1)
Initial GNOME system setup helper
gnome-keyring (3.36.0-1)
GNOME keyring services (daemon and tools)
gnome-keyring-pkcs11 (3.36.0-1)
GNOME keyring module for the PKCS#11 module loading library
gnome-keysign (1.2.0-2)
easy signing of OpenPGP keys over the local network
gnome-klotski (1:3.38.2-1)
Klotski puzzle game for GNOME
gnome-logs (3.36.0-2)
viewer for the systemd journal
gnome-mahjongg (1:3.38.3-1)
classic Eastern tile game for GNOME
gnome-maps (3.38.6-0+deb11u1)
map application for GNOME
gnome-mastermind (0.3.1-5)
Mastermind™ clone for GNOME
gnome-menus (3.36.0-1)
GNOME implementation of the freedesktop menu specification
gnome-metronome (0.1.1-1+b1 [amd64], 0.1.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple Metronome application for the GNOME desktop
gnome-mime-data (2.18.0-2.1)
base MIME and Application database for GNOME.
gnome-mines (1:3.36.1-1)
popular minesweeper puzzle game for GNOME
gnome-mousetrap (3.17.3-8)
Head tracked mouse control
gnome-mpv (0.20-2)
transitional package
gnome-multi-writer (3.32.1-1)
Write an ISO file to multiple USB devices at once
gnome-music (3.36.7-1)
Music is the new GNOME music playing application
gnome-nds-thumbnailer (3.0.0-2)
Nintendo DS roms thumbnailer for GNOME
gnome-nettool (3.8.1-3)
network information tool for GNOME
gnome-network-admin
本虛擬套件由這些套件填實: gnome-system-tools
gnome-nibbles (1:3.38.2-1)
snake game, up to four players
gnome-noble-icon-theme (5.5.1-2.1)
purple variation of the GNOME-Colors icon theme
gnome-online-accounts (3.38.0-3)
service to manage online accounts for the GNOME desktop
gnome-online-miners (3.34.0-2)
Crawls through your online content
gnome-orca (3.38.2-2)
Scriptable screen reader - transitional package
gnome-packagekit (3.32.0-2)
Graphical distribution neutral package manager for GNOME
gnome-packagekit-data (3.32.0-2)
Data files for GNOME-PackageKit
gnome-paint (0.4.0-7)
simple, easy to use paint program for GNOME
gnome-panel (3.38.0-1+b1)
traditional panel, used in GNOME Flashback
gnome-panel-control (3.6.1-9+deb11u1)
command line utility to invoke GNOME panel run dialog/menu
gnome-panel-data (3.38.0-1)
common files for GNOME Panel
gnome-passwordsafe (5.0-2)
Password manager for GNOME
gnome-phone-manager (0.69-2.1+b2)
control aspects of your mobile phone from your GNOME 2 desktop
gnome-photos (3.38.0-2)
application to access, organize and share your photos with GNOME
gnome-pie (0.7.2-2)
visual application launcher for GNOME
gnome-pkg-tools (0.21.2)
Tools for the Debian GNOME Packaging Team
gnome-platform-devel (1:3.38+3)
GNOME development platform
gnome-power-manager (3.32.0-2)
power management tool for the GNOME desktop
gnome-recipes (2.0.2-5+b1)
Recipe application for GNOME
gnome-recipes-data (2.0.2-5)
Recipe application for GNOME (data files)
gnome-remote-desktop (0.1.9-5)
Remote desktop daemon for GNOME using PipeWire
gnome-robots (1:3.38.0-1)
improved old BSD robots game
gnome-screensaver (3.6.1-13)
Screensaver and screen lock formerly used in GNOME
gnome-screensaver-flags (0.1-1.1)
Screensaver for GNOME with flags of the world.
gnome-screenshot (3.38.0-1)
screenshot application for GNOME
gnome-session (3.38.0-4)
GNOME Session Manager - GNOME 3 session
gnome-session-bin (3.38.0-4)
GNOME Session Manager - Minimal runtime
gnome-session-canberra (0.30-7)
GNOME session log in and log out sound events
gnome-session-common (3.38.0-4)
GNOME Session Manager - common files
gnome-session-flashback (3.38.0-2)
traditional desktop session based on GNOME technologies
gnome-settings-daemon (3.38.2-1)
daemon handling the GNOME session settings
gnome-settings-daemon-common (3.38.2-1)
daemon handling the GNOME session settings - common files
gnome-settings-daemon-dev (3.38.2-1)
Headers for building applications communicating with gnome-settings-daemon
gnome-settings-daemon-schemas (= 3.38.2-1)
本虛擬套件由這些套件填實: gnome-settings-daemon-common
gnome-shell (3.38.6-1~deb11u1)
graphical shell for the GNOME desktop
gnome-shell-common (3.38.6-1~deb11u1)
common files for the GNOME graphical shell
gnome-shell-extension-appindicator (34-1)
AppIndicator/KStatusNotifierItem support for GNOME Shell
gnome-shell-extension-arc-menu (49-1)
shell extension designed to replace the standard menu found in GNOME
gnome-shell-extension-autohidetopbar (20210104-1)
GNOME shell automatic topbar hider
gnome-shell-extension-bluetooth-quick-connect (16-1)
GNOME Shell extension to connect paired Bluetooth devices
gnome-shell-extension-caffeine (37-1)
GNOME Shell extension to keep your computer awake
gnome-shell-extension-dash-to-panel (40-1)
combines the dash and the GNOME main panel into a single panel
gnome-shell-extension-dashtodock (69-1)
dash-to-dock extension for GNOME shell
gnome-shell-extension-desktop-icons (20.04.0+git20200908-5)
desktop icon support for GNOME Shell
gnome-shell-extension-disconnect-wifi (26-1)
disconnect Wi-Fi extension for GNOME shell
gnome-shell-extension-draw-on-your-screen (10-1)
start drawing on your screen and save your beatiful work in a screenshot
gnome-shell-extension-easyscreencast (1.1.0+git20210116.3252312-1)
GNOME Shell extension to simplify video recording
gnome-shell-extension-freon (43+dfsg-1)
GNOME Shell Extension for Sensors
gnome-shell-extension-gamemode (4-2)
gnome-shell extension that monitors the current status of gamemode
gnome-shell-extension-gpaste (3.38.5-1)
GPaste extension for GNOME Shell
gnome-shell-extension-hamster (0.10.0+git20200509-2)
GNOME Shell extension for the Hamster Time Tracker
gnome-shell-extension-hard-disk-led (24-1)
Shows harddisk activity (IO speed read/write and LED) in GNOME Shell
gnome-shell-extension-hide-activities (0.00~git20131024.1.6574986-2)
GNOME shell extension that hides the activities button
gnome-shell-extension-hide-veth (1.0.2-1.1)
hides veth devices typically used by docker and lxc
gnome-shell-extension-hijra (1.0-1) [non-free]
Hijri Islamic Calendar GNOME shell extension
gnome-shell-extension-impatience (0.4.5-4)
speed up the gnome-shell animation speed
gnome-shell-extension-kimpanel (0.0~git20201013.dcbca17-1)
KDE kimpanel protocol extension for GNOME shell
gnome-shell-extension-move-clock (1.01-2)
move clock extension for GNOME shell
gnome-shell-extension-multi-monitors (23-1)
Better support for additional monitors in GNOME shell
gnome-shell-extension-no-annoyance (0+20170928-f21d09a-2)
removes GNOME 'Window is ready' notifications
gnome-shell-extension-panel-osd (1.0.50.gc032923-1)
Configure the place where notifications are shown
gnome-shell-extension-pixelsaver (1.24-1)
pixel saver extension for GNOME shell
gnome-shell-extension-prefs (3.38.6-1~deb11u1)
tool to enable / disable GNOME Shell extensions
gnome-shell-extension-remove-dropdown-arrows (13-1)
removes drop down arrows from panel on GNOME shell
gnome-shell-extension-shortcuts (1.1.2-2)
Creates a shortcuts help pop-up in GNOME Shell
gnome-shell-extension-system-monitor (38+git20200414-32cc79e-1)
Display system information in GNOME Shell status bar
gnome-shell-extension-tilix-dropdown (7-1)
launch tilix in quake-mode from gnome-shell
gnome-shell-extension-top-icons-plus (27-2)
GNOME Shell extension to move system tray icons to top bar
gnome-shell-extension-trash (0.2.0-git20200326.3425fcf1-1)
trash applet for GNOME shell
gnome-shell-extension-volume-mixer (3.38.2+dfsg-1)
GNOME Shell Volume Mixer
gnome-shell-extension-weather (0.0~git20201103.d8be50f-1)
weather extension for GNOME Shell
gnome-shell-extension-xrdesktop (0.14.0-3)
GNOME Shell extension to control XR desktop.
gnome-shell-extensions (3.38.2-1)
Extensions to extend functionality of GNOME Shell
gnome-shell-extensions-gpaste (3.38.5-1)
transitional package
gnome-shell-mailnag (3.38.1-1)
mail notification extension for GNOME Shell
gnome-shell-pomodoro (0.18.0-0.1)
GNOME Shell time-management app
gnome-shell-pomodoro-data (0.18.0-0.1)
Data for GNOME Shell time-management app
gnome-shell-timer (0.3.20+20190726-1)
GNOME Shell extension providing a countdown timer in the top panel
gnome-software (3.38.1-1)
Software Center for GNOME
gnome-software-common (3.38.1-1)
Software Center for GNOME (common files)
gnome-software-dev (3.38.1-1)
Software Center for GNOME - development files
gnome-software-doc (3.38.1-1)
Software Center for GNOME - documentation
gnome-software-plugin-flatpak (3.38.1-1)
Flatpak support for GNOME Software
gnome-software-plugin-snap (3.38.1-1)
Snap support for GNOME Software
gnome-sound-recorder (3.38.1-1)
simple and modern sound recorder for GNOME
gnome-split (1.2-4)
GNOME Split - File splitter for GNOME desktop
gnome-subtitles (1.6-2.1)
Subtitle editor for the GNOME Desktop environment
gnome-sudoku (1:3.38.0-1)
Sudoku puzzle game for GNOME
gnome-sushi (3.38.0-1)
sushi is a quick previewer for nautilus
gnome-system-log (3.9.90-8)
system log viewer for GNOME
gnome-system-monitor (3.38.0-1)
Process viewer and system resource monitor for GNOME
gnome-system-tools (3.0.0-9.1)
Cross-platform configuration utilities
gnome-taquin (3.38.1-1)
game to move tiles so that they reach their places
gnome-terminal (3.38.3-1)
GNOME terminal emulator application
gnome-terminal-data (3.38.3-1)
Data files for the GNOME terminal emulator
gnome-tetravex (1:3.38.2-2)
put tiles on a board and match their edges together
gnome-theme-gilouche (11.1.2-2.1)
openSUSE Gilouche Theme
gnome-themes-extra (3.28-1)
Adwaita GTK+ 2 theme — engine
gnome-themes-extra-data (3.28-1)
Adwaita GTK+ 2 theme — common files
gnome-themes-standard (3.28-1)
Adwaita GTK+ 2 theme — engine - transitional package
gnome-todo (3.28.1-6)
minimalistic personal task manager designed to fit GNOME desktop
gnome-todo-common (3.28.1-6)
common files for GNOME To Do
gnome-tweak-tool (3.34.0-4)
adjust advanced settings for GNOME - transitional package
gnome-tweaks (3.34.0-4)
tool to adjust advanced configuration settings for GNOME
gnome-usage (3.38.0-1)
simple system monitor app for GNOME
gnome-user-docs (3.38.2-1)
GNOME user docs
gnome-user-guide (3.38.2-1)
GNOME user's guide (transitional package)
gnome-user-share (3.34.0-2)
User level public file sharing via WebDAV
gnome-video-arcade (0.8.8-5)
Simple MAME frontend
gnome-video-effects (0.5.0-1)
Collection of GStreamer effects
gnome-video-effects-dev (0.5.0-1)
Development files for gnome-video-effects
gnome-video-effects-frei0r (0.5.0-1)
GNOME Video Effects - frei0r plugins
gnome-weather (3.36.1-1)
access current conditions and forecasts
gnome-wine-icon-theme (5.5.1-2.1)
red variation of the GNOME-Colors icon theme
gnome-wise-icon-theme (5.5.1-2.1)
green variation of the GNOME-Colors icon theme
gnome-www-browser
本虛擬套件由這些套件填實: epiphany-browser, chromium, firefox-esr
gnomekiss (2.0-6.1)
KiSS paper doll viewer for GNOME
gnomint (1.3.0-3)
X.509 Certification Authority management tool for GNOME
gnote (3.38.0-1)
desktop note taking program using Wiki style links
gnss-sdr (0.0.14-1)
Global navigation satellite systems software defined receiver
gntp-send (0.3.4-6)
Command line application to send growl message with GNTP
gnu-efi (3.0.9-2)
Library for developing EFI applications
gnu-smalltalk (3.2.5-1.3+b2)
GNU Smalltalk interpreter and image
gnu-smalltalk-browser (3.2.5-1.3+b2)
GNU Smalltalk browser
gnu-smalltalk-common (3.2.5-1.3)
GNU Smalltalk class library sources and extras
gnu-smalltalk-doc (3.2.5-1.3)
GNU Smalltalk info documentation
gnu-smalltalk-el (3.2.5-1.3)
GNU Smalltalk Emacs front-end
gnu-standards (2010.03.11-1.1)
GNU coding and package maintenance standards
gnuais (0.3.3-9)
AIS receiver which uses the discriminator output of VHF receivers
gnuaisgui (0.3.3-9)
OpenStreetMap GUI for gnuais
gnuastro (0.14-1)
GNU Astronomy Utilities programs
gnubg (1.06.002-4+b2)
graphical or console backgammon program with analysis
gnubg-data (1.06.002-4)
data files for GNU Backgammon
gnubiff (2.2.17-3)
mail notification program for GNOME (and others)
gnubik (2.4.3-3+b2 [amd64], 2.4.3-3+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
3D Rubik's cube game
gnuboy-sdl (1.0.3-7.1) [contrib]
SDL binaries for gnuboy - Game Boy Emulator
gnuboy-x (1.0.3-7.1) [contrib]
X binaries for gnuboy - Game Boy Emulator
gnucap (1:0.36~20171003-1.1)
GNU Circuit Analysis package, main executable
gnucap-common (1:0.36~20171003-1.1)
GNU Circuit Analysis package, development headers
gnucap-default-plugins0 (1:0.36~20171003-1.1)
GNU Circuit Analysis package, default plugins
gnucap-python (0.0.2-1.2+b2)
GNU Circuit Analysis package, Python command plugin
gnucash (1:4.4-1)
personal and small-business financial-accounting software
gnucash-common (1:4.4-1)
common files for the financial-accounting software Gnucash
gnucash-docs (4.4-1)
Documentation for gnucash, a personal finance tracking program
gnuchess (6.2.7-1)
Plays a game of chess, either against the user or against itself
gnuchess-book (1.02-2)
Opening book for gnuchess
gnudatalanguage (0.9.9-13+b1)
Free IDL compatible incremental compiler
gnugo (3.8-11)
play the game of Go
gnuhtml2latex (0.4-3.1)
Convert HTML files to LaTeX
gnuit (4.9.5-3+b3)
GNU Interactive Tools, a file browser/viewer and process viewer/killer
gnujump (1.0.8-3.1)
platform game where you have to jump up to survive
gnujump-data (1.0.8-3.1)
platform game where you have to jump up to survive - data files
gnulib (20210102~ebaa53c-1)
GNU Portability Library
gnumach
本虛擬套件由這些套件填實: gnumach-image-1.8-486-dbg, gnumach-image-1.8-xen-486-dbg
gnumach-common (2:1.8+git20201129-4)
GNU version of the Mach microkernel, common files.
gnumach-dev (2:1.8+git20201129-4)
GNU version of the Mach microkernel
gnumach-image
本虛擬套件由這些套件填實: gnumach-image-1.8-xen-486, gnumach-image-1.8-486
gnumach-image-1-486 (2:1.8+git20201129-4)
GNU version of the Mach microkernel
gnumach-image-1-xen-486 (2:1.8+git20201129-4)
GNU version of the Mach microkernel
gnumach-image-1.8-486 (2:1.8+git20201129-4)
GNU version of the Mach microkernel
gnumach-image-1.8-486-dbg (2:1.8+git20201129-4)
GNU version of the Mach microkernel for debugging
gnumach-image-1.8-xen-486 (2:1.8+git20201129-4)
GNU version of the Mach microkernel for Xen
gnumach-image-1.8-xen-486-dbg (2:1.8+git20201129-4)
GNU version of the Mach microkernel for Xen for debugging
gnumail.app (1.3.0-1+b2)
Mail client for GNUstep
gnumail.app-common (1.3.0-1)
Mail client for GNUstep (common files)
gnumed-client (1.8.5+dfsg-2)
medical practice management - Client
gnumed-client-de (1.8.5+dfsg-2)
medical practice management - Client for German users
gnumed-common (1.8.5+dfsg-2)
medical practice management - common files
gnumed-doc (1.8.5+dfsg-2)
medical practice management - Documentation
gnumed-server (22.15-1)
medical practice management - server
gnumeric (1.12.48-1+b2)
spreadsheet application for GNOME - main program
gnumeric-common (1.12.48-1)
spreadsheet application for GNOME - common files
gnumeric-doc (1.12.48-1)
spreadsheet application for GNOME - documentation
gnumeric-plugins-extra (1.12.48-1+b2)
spreadsheet application for GNOME - additional plugins
gnuminishogi (1.4.2-7)
program to play minishogi, a shogi variant on a 5x5 board
gnunet (0.13.1-2)
GNU's framework for secure peer-to-peer networking (meta)
gnunet-dev (0.13.1-2)
GNU's framework for secure peer-to-peer networking (development)
gnunet-fuse (0.13.0-2)
secure, trust-based peer-to-peer framework (fuse filesystem client)
gnunet-gtk (0.13.1-2)
GNU's framework for secure peer-to-peer networking (GTK+ client)
gnunet-gtk-dev (0.13.1-2)
GNU's framework for secure peer-to-peer networking (GTK+ client development)
gnupg (2.2.27-2+deb11u2)
GNU privacy guard - a free PGP replacement
gnupg-agent (2.2.27-2+deb11u2)
GNU privacy guard - cryptographic agent (dummy transitional package)
gnupg-agent
本虛擬套件由這些套件填實: gpg-agent
gnupg-l10n (2.2.27-2+deb11u2)
GNU privacy guard - localization files
gnupg-pkcs11-scd (0.9.2-1+b1 [amd64], 0.9.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GnuPG smart-card daemon with PKCS#11 support
gnupg-pkcs11-scd-proxy (0.9.2-1+b1 [amd64], 0.9.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GnuPG smart-card daemon with PKCS#11 support, proxy
gnupg-utils (2.2.27-2+deb11u2)
GNU privacy guard - utility programs
gnupg1 (1.4.23-1.1)
GNU privacy guard - a PGP implementation (deprecated "classic" version)
gnupg1-curl
本虛擬套件由這些套件填實: gnupg1
gnupg1-l10n (1.4.23-1.1)
GNU privacy guard "classic" - localization files (deprecated)
gnupg2 (2.2.27-2+deb11u2)
GNU privacy guard - a free PGP replacement (dummy transitional package)
gnuplot (5.4.1+dfsg1-1+deb11u1)
Command-line driven interactive plotting program.
gnuplot
本虛擬套件由這些套件填實: gnuplot-qt, gnuplot-x11, gnuplot-nox
gnuplot-data (5.4.1+dfsg1-1+deb11u1)
Command-line driven interactive plotting program. Data-files
gnuplot-doc (5.4.1+dfsg1-1+deb11u1)
Command-line driven interactive plotting program. Doc-package
gnuplot-mode (1:0.7.0-2014-12-31-2)
Transition Package, gnuplot-mode to elpa-gnuplot-mode
gnuplot-mode
本虛擬套件由這些套件填實: elpa-gnuplot-mode
gnuplot-nox (5.4.1+dfsg1-1+deb11u1)
Command-line driven interactive plotting program. No-X package
gnuplot-nox
本虛擬套件由這些套件填實: gnuplot-x11, gnuplot-qt
gnuplot-qt (5.4.1+dfsg1-1+deb11u1)
Command-line driven interactive plotting program. QT-package
gnuplot-x11 (5.4.1+dfsg1-1+deb11u1)
Command-line driven interactive plotting program. X-package
gnuplot-x11
本虛擬套件由這些套件填實: gnuplot-qt
gnupod-tools (0.99.8-6)
command-line tools for the iPod family of portable music players
gnuradio (3.8.2.0-14)
GNU Radio Software Radio Toolkit
gnuradio-dev (3.8.2.0-14)
GNU Software Defined Radio toolkit development
gnuradio-doc (3.8.2.0-14)
GNU Software Defined Radio toolkit documentation
gnurobbo (0.68+dfsg-5)
logic game ported from ATARI XE/XL
gnurobbo-data (0.68+dfsg-5)
logic game ported from ATARI XE/XL - data files
gnuserv (3.12.8-7+b2 [amd64], 3.12.8-7+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Allows you to attach to an already running Emacs
gnushogi (1.4.2-7)
program to play shogi, the Japanese version of chess
gnusim8085 (1.4.1-1)
Graphical Intel 8085 simulator, assembler and debugger
gnustep (7.10)
User applications for the GNUstep Environment
gnustep-back
本虛擬套件由這些套件填實: gnustep-back0.28
gnustep-back-common (0.28.0-3)
GNUstep GUI Backend - common files
gnustep-back0.28 (0.28.0-3)
GNUstep GUI Backend
gnustep-back0.28-alt
本虛擬套件由這些套件填實: gnustep-back0.28-cairo, gnustep-back0.28-art, gnustep-back0.28-xlib
gnustep-back0.28-art (0.28.0-3)
GNUstep GUI Backend (art)
gnustep-back0.28-cairo (0.28.0-3)
GNUstep GUI Backend (cairo)
gnustep-back0.28-xlib (0.28.0-3)
GNUstep GUI Backend (xlib)
gnustep-base-common (1.27.0-3)
GNUstep Base library - common files
gnustep-base-doc (1.27.0-3)
Documentation for the GNUstep Base Library
gnustep-base-runtime (1.27.0-3)
GNUstep Base library - daemons and tools
gnustep-common (2.8.0-1)
Common files for the core GNUstep environment
gnustep-core-devel (7.10)
GNUstep Development Environment -- core libraries
gnustep-core-doc (7.10)
GNUstep Development Environment -- core documentation
gnustep-devel (7.10)
GNUstep Development Environment -- development tools
gnustep-dl2 (0.12.0-15.1+b4)
Objective-C Classes needed for Database Access
gnustep-dl2-postgresql-adaptor (0.12.0-15.1+b4)
gnustep-dl2 adaptor to connect to PostgreSQL
gnustep-dl2-sqlite-adaptor (0.12.0-15.1+b4)
gnustep-dl2 adaptor to connect to SQLite
gnustep-examples (1:1.4.0-3+b1)
GNUstep example applications
gnustep-fslayout-fhs
本虛擬套件由這些套件填實: gnustep-common
gnustep-games (7.10)
GNUstep based games
gnustep-gui-common (0.28.0-3)
GNUstep GUI Library - common files
gnustep-gui-doc (0.28.0-3)
Documentation for the GNUstep GUI Library
gnustep-gui-runtime (0.28.0-3)
GNUstep GUI Library - runtime files
gnustep-icons (1.0-9)
Several free icons for use with GNUstep and others
gnustep-make (2.8.0-1)
GNUstep build system
gnustep-make-doc (2.8.0-1)
Documentation for GNUstep Make
gnutls-bin (3.7.1-5+deb11u4)
GNU TLS library - commandline utilities
gnutls-dev
本虛擬套件由這些套件填實: libgnutls28-dev
gnutls-doc (3.7.1-5+deb11u4)
GNU TLS library - documentation and examples
go-bindata (3.0.7+git20151023.72.a0ff256-3+b17)
embed data in a Go program
go-compiler
本虛擬套件由這些套件填實: gccgo-9, gccgo, gccgo-10
go-cve-dictionary (0.3.1-4+b4)
builds a local copy of the NVD/JVN
go-exploitdb (0.0~git20181130.7c961e7-1.1+b5)
builds a local copy of the Exploit-DB (OffensiveSecurity)
go-internal (1.6.2-1+b6)
Selected Go-internal packages factored out from the standard library (binaries)
go-md2man (2.0.0+ds-5+b6)
utility to create manpages from markdown
go-mmproxy (2.0-1+b6)
Golang implementation of mmproxy
go-mtpfs (1.0.0-1+b5)
Mount MTP devices over FUSE
go-org (1.4.0-1+b5)
Org mode parser with HTML & pretty-printed Org rendering (program)
go-qrcode (0.0~git20190110.dc11ecd-2+b6)
go QR Code encoder
goaccess (1:1.4-1)
log analyzer and interactive viewer for the Apache Webserver
goattracker (2.75-3)
C64 music editor
gob2 (2.0.20-2)
GTK+ Object Builder
goban-original-games (1.1-6)
Original games set for the Goban screensaver
goban-ss (1.1-6)
Goban screensaver
gobby (0.6.0-1)
infinote-based collaborative text editor
gobgpd (2.25.0-2+b3)
BGP implemented in Go (daemon and client)
gobi-loader (0.6-1+b2) [contrib]
Firmware loader for Qualcomm Gobi USB chipsets.
gobjc (4:10.2.1-1)
GNU Objective-C compiler
gobjc++ (4:10.2.1-1)
GNU Objective-C++ compiler
gobjc++-10 (10.2.1-6)
GNU Objective-C++ compiler
gobjc++-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-alpha-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-hppa-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-i686-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-m68k-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mips-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-multilib (10.2.1-6)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-s390x-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-sh4-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Objective-C++ compiler
gobjc++-9 (9.3.0-22)
GNU Objective-C++ compiler
gobjc++-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-alpha-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-hppa-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-i686-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-m68k-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-multilib (9.3.0-22)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Objective-C++ compiler (multilib support)
gobjc++-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-s390x-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-sh4-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Objective-C++ compiler
gobjc++-aarch64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the arm64 architecture
gobjc++-alpha-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the alpha architecture
gobjc++-arm-linux-gnueabi (4:10.2.1-1)
GNU Objective-C++ compiler for the armel architecture
gobjc++-arm-linux-gnueabihf (4:10.2.1-1)
GNU Objective-C++ compiler for the armhf architecture
gobjc++-hppa-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the hppa architecture
gobjc++-i686-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the i386 architecture
gobjc++-m68k-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the m68k architecture
gobjc++-mingw-w64 (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64
gobjc++-mingw-w64-i686 (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64 targeting Win32
gobjc++-mingw-w64-i686-posix (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64, Win32/POSIX
gobjc++-mingw-w64-i686-win32 (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64, Win32/Win32
gobjc++-mingw-w64-x86-64 (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64 targeting Win64
gobjc++-mingw-w64-x86-64-posix (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64, Win64/POSIX
gobjc++-mingw-w64-x86-64-win32 (10.2.1-6+24.2)
GNU Objective-C++ compiler for MinGW-w64, Win64/Win32
gobjc++-mips-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mips architecture
gobjc++-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64 architecture
gobjc++-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64el architecture
gobjc++-mipsel-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mipsel architecture
gobjc++-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mipsr6 architecture
gobjc++-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mipsr6el architecture
gobjc++-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64r6 architecture
gobjc++-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64r6el architecture
gobjc++-multilib (4:10.2.1-1)
GNU Objective-C++ compiler (multilib files)
gobjc++-multilib-i686-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the i386 architecture
gobjc++-multilib-mips-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mips architecture
gobjc++-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64 architecture
gobjc++-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64el architecture
gobjc++-multilib-mipsel-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mipsel architecture
gobjc++-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mipsr6 architecture
gobjc++-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Objective-C++ compiler for the mipsr6el architecture
gobjc++-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64r6 architecture
gobjc++-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C++ compiler for the mips64r6el architecture
gobjc++-multilib-powerpc-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the powerpc architecture
gobjc++-multilib-powerpc64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the ppc64 architecture
gobjc++-multilib-s390x-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the s390x architecture
gobjc++-multilib-sparc64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the sparc64 architecture
gobjc++-multilib-x86-64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the amd64 architecture
gobjc++-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Objective-C++ compiler for the x32 architecture
gobjc++-powerpc-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the powerpc architecture
gobjc++-powerpc64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the ppc64 architecture
gobjc++-powerpc64le-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the ppc64el architecture
gobjc++-riscv64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the riscv64 architecture
gobjc++-s390x-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the s390x architecture
gobjc++-sh4-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the sh4 architecture
gobjc++-sparc64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the sparc64 architecture
gobjc++-x86-64-linux-gnu (4:10.2.1-1)
GNU Objective-C++ compiler for the amd64 architecture
gobjc++-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Objective-C++ compiler for the x32 architecture
gobjc-10 (10.2.1-6)
GNU Objective-C compiler
gobjc-10-aarch64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-alpha-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-arm-linux-gnueabi (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-arm-linux-gnueabihf (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-hppa-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-i686-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-m68k-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mips-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mipsel-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-multilib (10.2.1-6)
GNU Objective-C compiler (multilib support)
gobjc-10-multilib-i686-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for i386 architecture)
gobjc-10-multilib-mips-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mips architecture)
gobjc-10-multilib-mips64-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mips64 architecture)
gobjc-10-multilib-mips64el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mips64el architecture)
gobjc-10-multilib-mipsel-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mipsel architecture)
gobjc-10-multilib-mipsisa32r6-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mipsr6 architecture)
gobjc-10-multilib-mipsisa32r6el-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mipsr6el architecture)
gobjc-10-multilib-mipsisa64r6-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mips64r6 architecture)
gobjc-10-multilib-mipsisa64r6el-linux-gnuabi64 (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for mips64r6el architecture)
gobjc-10-multilib-powerpc-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for powerpc architecture)
gobjc-10-multilib-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for ppc64 architecture)
gobjc-10-multilib-s390x-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for s390x architecture)
gobjc-10-multilib-sparc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for sparc64 architecture)
gobjc-10-multilib-x86-64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for amd64 architecture)
gobjc-10-multilib-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Objective-C compiler (multilib support) (cross compiler for x32 architecture)
gobjc-10-powerpc-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-powerpc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-powerpc64le-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-riscv64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-s390x-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-sh4-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-sparc64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-x86-64-linux-gnu (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-10-x86-64-linux-gnux32 (10.2.1-6cross1)
GNU Objective-C compiler
gobjc-9 (9.3.0-22)
GNU Objective-C compiler
gobjc-9-aarch64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-alpha-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-arm-linux-gnueabi (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-arm-linux-gnueabihf (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-hppa-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-i686-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-m68k-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-multilib (9.3.0-22)
GNU Objective-C compiler (multilib support)
gobjc-9-multilib-i686-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for i386 architecture)
gobjc-9-multilib-powerpc-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for powerpc architecture)
gobjc-9-multilib-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for ppc64 architecture)
gobjc-9-multilib-s390x-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for s390x architecture)
gobjc-9-multilib-sparc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for sparc64 architecture)
gobjc-9-multilib-x86-64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for amd64 architecture)
gobjc-9-multilib-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Objective-C compiler (multilib support) (cross compiler for x32 architecture)
gobjc-9-powerpc-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-powerpc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-powerpc64le-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-riscv64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-s390x-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-sh4-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-sparc64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-x86-64-linux-gnu (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-9-x86-64-linux-gnux32 (9.3.0-22cross1)
GNU Objective-C compiler
gobjc-aarch64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the arm64 architecture
gobjc-alpha-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the alpha architecture
gobjc-arm-linux-gnueabi (4:10.2.1-1)
GNU Objective-C compiler for the armel architecture
gobjc-arm-linux-gnueabihf (4:10.2.1-1)
GNU Objective-C compiler for the armhf architecture
gobjc-hppa-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the hppa architecture
gobjc-i686-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the i386 architecture
gobjc-m68k-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the m68k architecture
gobjc-mingw-w64 (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64
gobjc-mingw-w64-i686 (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64 targeting Win32
gobjc-mingw-w64-i686-posix (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64, Win32/POSIX
gobjc-mingw-w64-i686-win32 (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64, Win32/Win32
gobjc-mingw-w64-x86-64 (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64 targeting Win64
gobjc-mingw-w64-x86-64-posix (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64, Win64/POSIX
gobjc-mingw-w64-x86-64-win32 (10.2.1-6+24.2)
GNU Objective-C compiler for MinGW-w64, Win64/Win32
gobjc-mips-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mips architecture
gobjc-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64 architecture
gobjc-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64el architecture
gobjc-mipsel-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mipsel architecture
gobjc-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mipsr6 architecture
gobjc-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mipsr6el architecture
gobjc-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64r6 architecture
gobjc-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64r6el architecture
gobjc-multilib (4:10.2.1-1)
GNU Objective-C compiler (multilib files)
gobjc-multilib-i686-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the i386 architecture
gobjc-multilib-mips-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mips architecture
gobjc-multilib-mips64-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64 architecture
gobjc-multilib-mips64el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64el architecture
gobjc-multilib-mipsel-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mipsel architecture
gobjc-multilib-mipsisa32r6-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mipsr6 architecture
gobjc-multilib-mipsisa32r6el-linux-gnu (4:10.2.0-1)
GNU Objective-C compiler for the mipsr6el architecture
gobjc-multilib-mipsisa64r6-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64r6 architecture
gobjc-multilib-mipsisa64r6el-linux-gnuabi64 (4:10.2.0-1)
GNU Objective-C compiler for the mips64r6el architecture
gobjc-multilib-powerpc-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the powerpc architecture
gobjc-multilib-powerpc64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the ppc64 architecture
gobjc-multilib-s390x-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the s390x architecture
gobjc-multilib-sparc64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the sparc64 architecture
gobjc-multilib-x86-64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the amd64 architecture
gobjc-multilib-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Objective-C compiler for the x32 architecture
gobjc-powerpc-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the powerpc architecture
gobjc-powerpc64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the ppc64 architecture
gobjc-powerpc64le-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the ppc64el architecture
gobjc-riscv64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the riscv64 architecture
gobjc-s390x-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the s390x architecture
gobjc-sh4-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the sh4 architecture
gobjc-sparc64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the sparc64 architecture
gobjc-x86-64-linux-gnu (4:10.2.1-1)
GNU Objective-C compiler for the amd64 architecture
gobjc-x86-64-linux-gnux32 (4:10.2.1-1)
GNU Objective-C compiler for the x32 architecture
gobject-introspection (1.66.1-1+b1)
Generate interface introspection data for GObject libraries
gobuster (2.0.1-1+b6)
Directory/file & DNS busting tool written in Go
goby (1.1+0.20180214-5)
WYSIWYG presentation tool for Emacs
gocr (0.52-3)
Command line OCR
gocr-dev (0.52-3)
Header files for OCR
gocr-tk (0.52-3)
tcl/tk wrapper around gocr
gocryptfs (1.8.0-1+b6)
Encrypted overlay filesystem written in Go
god
本虛擬套件由這些套件填實: ruby-god
godot3 (3.2.3-stable-1+b1 [amd64], 3.2.3-stable-1 [arm64, armel, armhf, i386, ppc64el, s390x])
Full 2D and 3D game engine with editor
godot3-runner (3.2.3-stable-1+b1 [amd64], 3.2.3-stable-1 [arm64, armel, armhf, i386, ppc64el, s390x])
Godot game engine run-time
godot3-server (3.2.3-stable-1+b1 [amd64], 3.2.3-stable-1 [arm64, armel, armhf, i386, ppc64el, s390x])
Headless Godot game engine run-time
gogglesmm (0.12.7-3+b2)
Goggles Music Manager
gogoprotobuf (1.3.2-1+b5)
alternative protocol buffer support for Golang - utilities
gogottrpc (1.0.2-1+b6)
GRPC for low-memory environments - utilities
goiardi (0.11.10-1+b6)
Chef server written in Go
goiardi-doc (0.11.10-1)
Documentation for Goiardi
gojay (1.2.13-5+b6)
Fast JSON code generator in golang
gokey (0.1.0-1+b6)
simple vaultless password manager in Go
golang (2:1.15~1)
Go programming language compiler - metapackage
golang-1.15 (1.15.15-1~deb11u4)
Go programming language compiler - metapackage
golang-1.15-doc (1.15.15-1~deb11u4)
Go programming language - documentation
golang-1.15-go (1.15.15-1~deb11u4)
Go programming language compiler, linker, compiled stdlib
golang-1.15-src (1.15.15-1~deb11u4)
Go programming language - source files
golang-android-soong-dev (0.0~git20201014.17e97d9-2)
Soong build system (library)
golang-any (2:1.15~1)
Go programming language -- gccgo on "non-Go" platforms
golang-barcode-dev (0.0~git20140830-2)
Barcode creation lib for golang
golang-bazil-fuse-dev (0.0~git20160811.0.371fbbd-3)
FUSE library for Go
golang-bindata-dev
本虛擬套件由這些套件填實: golang-github-jteeuwen-go-bindata-dev
golang-bitbucket-pkg-inflect-dev (0.0~hg20130829.20.8961c37-6.1)
Go library to transform words from singular to plural
golang-blackfriday-dev
本虛擬套件由這些套件填實: golang-github-russross-blackfriday-dev
golang-blitiri-go-log-dev (1.1.0-1)
Simple logging library in Go
golang-blitiri-go-spf-dev (1.1.0-1)
SPF (Sender Policy Framework) implementation in Go
golang-blitiri-go-systemd-dev (1.1.0-1)
Utilities to interact with systemd sockets in Go
golang-cfssl (1.2.0+git20160825.89.7fb22c8-3.1+b5)
CloudFlare's PKI and TLS toolkit
golang-check.v1-dev
本虛擬套件由這些套件填實: golang-gopkg-check.v1-dev
golang-chroma (0.8.2-3+b5)
general purpose syntax highlighter in pure Go (binaries)
golang-clockwork-dev (0.1.0-4.1)
Transitional package for golang-github-jonboulle-clockwork-dev
golang-code.cloudfoundry-bytefmt-dev (0.0~git20190818.854d396-2)
Human readable byte formatter
golang-code.gitea-sdk-dev (0.0~git20171220.79eee8f-3)
SDK implementation to interact with the Gitea API
golang-codegangsta-cli-dev (1.18.0-3)
Transitional package for golang-github-codegangsta-cli-dev
golang-codegangsta-cli-dev
本虛擬套件由這些套件填實: golang-github-codegangsta-cli-dev
golang-codesearch-dev (0.0~hg20120502-3)
regexp search over large bodies of source (development files)
golang-collectd-dev (0.3.0+git20181025.f80706d-2)
Utilities for using collectd together with Golang
golang-context-dev (1.1-3)
Transitional package for golang-github-gorilla-context-dev
golang-coreos-log-dev (0.0~git20140508-5)
simple logging library for Go
golang-dbus-dev (5.0.3-2)
Go client bindings for D-Bus
golang-dlib-dev (5.6.0.9+dfsg-2)
Go bindings for Deepin Desktop Environment (development files)
golang-dns-dev
本虛擬套件由這些套件填實: golang-github-miekg-dns-dev
golang-doc (2:1.15~1)
Go programming language - documentation
golang-docker-credential-helpers (0.6.3-1+b6)
native stores to safeguard Docker credentials
golang-easyjson (0.7.6-2+b6)
Fast JSON serializer for golang - command-line client
golang-eclipse-paho-dev
本虛擬套件由這些套件填實: golang-github-eclipse-paho.mqtt.golang-dev
golang-ed25519-dev (0~20140907.d2b94fd-4.1)
Go implementation of the Ed25519 signature algorithm
golang-etcd-server-dev (3.3.25+dfsg-6)
highly-available key value store -- source
golang-ginkgo-dev (1.14.2-1)
Transitional package for golang-github-onsi-ginkgo-dev
golang-ginkgo-dev
本虛擬套件由這些套件填實: golang-github-onsi-ginkgo-dev
golang-gir-generator (2.0.2-1)
generate library binding code which support gir for golang.
golang-gir-gio-2.0
本虛擬套件由這些套件填實: golang-gir-gio-2.0-dev
golang-gir-gio-2.0-dev (2.0.2-1)
Go bindings
golang-gir-glib-2.0
本虛擬套件由這些套件填實: golang-gir-glib-2.0-dev
golang-gir-glib-2.0-dev (2.0.2-1)
Go bindings
golang-gir-gobject-2.0
本虛擬套件由這些套件填實: golang-gir-gobject-2.0-dev
golang-gir-gobject-2.0-dev (2.0.2-1)
Go bindings
golang-gir-gudev-1.0-dev (2.0.2-1)
Go bindings
golang-gitaly-proto-dev (1.37.0+dfsg-1)
Protobuf specifications and client libraries for Gitaly
golang-github-14rcole-gopopulate-dev (0.0~git20171207.91c73a7-1.1)
Small library to populate a directory with random data
golang-github-a8m-tree-dev (0.0~git20201026.fce18e2-1)
implementation of the tree command
golang-github-aalpar-deheap-dev (0.0~git20200318.9a0c288-2)
Doubly ended heap implementation (library)
golang-github-abbot-go-http-auth-dev (0.4.0-4)
Basic and Digest HTTP Authentication for golang http
golang-github-abdullin-seq-dev (0.0~git20160510.d5467c1-3)
structural equality library
golang-github-abeconnelly-autoio-dev (0.0~git20150803.989b7b0-2)
functions that provide standard scanner interfaces for compressed files
golang-github-acarl005-stripansi-dev (0.0~git20180116.5a71ef0-2)
Little Go package for removing ANSI color escape codes from strings
golang-github-adam-hanna-arrayoperations-dev (0.2.6-2)
Small library for performing operations on slices in golang
golang-github-adrianmo-go-nmea-dev (1.3.0-1)
Go library for the NMEA 0183 protocol
golang-github-advancedlogic-goose-dev (0.0~git20200830.1225d53+ds-2)
Html Content / Article Extractor in Golang (library)
golang-github-aead-chacha20-dev (0.0~git20180709.8b13a72-4)
ChaCha20 and XChaCha20 stream ciphers go library
golang-github-aead-poly1305-dev (0.0~git20180717.3fee0db-1)
poly1305 message authentication code go library
golang-github-aelsabbahy-gonetstat-dev (0.0~git20160428.0.edf89f7-3)
Netstat implementation in Go
golang-github-agext-levenshtein-dev (1.2.3-1)
Levenshtein distance and similarity metrics
golang-github-agtorre-gocolorize-dev (1.0.0-3)
Stateful ANSI coloring for Go
golang-github-ajg-form-dev (1.5+git20160822.523a5da-1.1)
Form Encoding & Decoding Package for Go
golang-github-ajstarks-svgo-dev (2012-01-27-3)
generating online histograms and plotting them with golang
golang-github-akamai-akamaiopen-edgegrid-golang-dev (1.0.1-1)
Authentication handler for the Akamai OPEN EdgeGrid Authentication scheme
golang-github-akavel-rsrc-dev (1:0.8.0-1)
Go library for embedding binary resources in executables
golang-github-akrennmair-gopcap-dev (0.0~git20150728.0.00e1103-3)
simple wrapper around libpcap for Golang
golang-github-alangpierce-go-forceexport-dev (0.0~git20160317.8f1d694-2)
access unexported functions from other packages
golang-github-alcortesm-tgz-dev (0.0~git20161220.9c5fe88-2)
Go library to extract tgz files to temporal directories
golang-github-alecaivazis-survey-dev (2.2.7+ds1-2)
golang library for building interactive prompts
golang-github-alecthomas-assert-dev (0.0~git20170929.405dbfe-1.1)
Fork of stretchr/testify/assert that uses FailNow()
golang-github-alecthomas-binary-dev (0.0~git20171101.6e8df1b-2)
general purpose binary encoder/decoder
golang-github-alecthomas-chroma-dev (0.8.2-3)
general purpose syntax highlighter in pure Go (libraries)
golang-github-alecthomas-colour-dev (0.0~git20160524.60882d9-1.1)
Quake-style colour formatting for Unix terminals
golang-github-alecthomas-jsonschema-dev (0.0~git20210127.19bc6f2-1)
Generate JSON Schemas from Go types (library)
golang-github-alecthomas-kong-dev (0.2.4-1)
command-line parser for Go
golang-github-alecthomas-kong-hcl-dev (0.2.0-2)
Kong configuration loader for HCL (Go library)
golang-github-alecthomas-repr-dev (0.0~git20181024.d37bc2a-2)
Python's repr() for Go
golang-github-alecthomas-units-dev (0.0~git20201120.1786d5e-1)
Go package for parsing byte units
golang-github-aleksi-pointer-dev (1.1.0-2)
helpers to get pointers to values of built-in types
golang-github-alessio-shellescape-dev (1.4.1-2)
Escape arbitrary strings for use as command line arguments
golang-github-alexcesaro-log-dev (0.0~git20150915.61e6862-2)
Logging packages for Go (library)
golang-github-alexflint-go-arg-dev (1.3.0+ds-2)
Struct-based argument parsing in Go
golang-github-alexflint-go-filemutex-dev (1.1.0-1)
processes synchronization library
golang-github-alexflint-go-scalar-dev (1.0.0+ds-2)
scalar parsing library in Golang
golang-github-alicebob-miniredis-dev (2.2.1-5)
Pure Go Redis server for Go unittests
golang-github-aliyun-aliyun-oss-go-sdk-dev (1.5.0+dfsg1-2)
Alibaba Cloud OSS SDK for Go
golang-github-allegro-bigcache-dev (2.2.5-4)
efficient cache for gigabytes of data written in Go (library)
golang-github-anacrolix-dms-dev (1.1.0-1)
Go source for UPnP DLNA Digital Media Server
golang-github-anacrolix-envpprof-dev (1.0.0-2)
configures Go's pprof and default HTTP mux
golang-github-anacrolix-ffprobe-dev (1.0.0-2)
Go ffprobe wrapper
golang-github-anacrolix-missinggo-bin-static (2.1.0-6+b6)
Stuff that's missing in Go stdlib, or hasn't made it into its own repo.
golang-github-anacrolix-missinggo-dev (2.1.0-6)
Stuff that's missing in Go stdlib, or hasn't made it into its own repo.
golang-github-anacrolix-tagflag-dev (0.0.0-20180109-2146c8d-3)
declarative flag parsing for Go using struct tags
golang-github-andreyvit-diff-dev (0.0~git20170406.c7f18ee-2)
quick'n'easy string diffs for Golang
golang-github-andybalholm-cascadia-dev (0.0~git20161224.0.349dd02-1.1)
CSS selector library in Go
golang-github-anmitsu-go-shlex-dev (0.0~git20200514.38f4b40-1)
Library to make a lexical analyzer like Unix shell for golang
golang-github-antchfx-jsonquery-dev (1.1.4-2)
Golang XPath query for JSON query
golang-github-antchfx-xmlquery-dev (1.3.3-1)
Go XPath package for XML query
golang-github-antchfx-xpath-dev (1.1.2-2)
XPath package for Golang, supported HTML, XML, JSON query
golang-github-antonmedv-expr-dev (1.8.9-2)
expression evaluation engine for Go
golang-github-apex-log-dev (1.1.1-3)
structured logging package for Go
golang-github-apparentlymart-go-cidr-dev (1.1.0-1)
manipulations of CIDR netmasks and their associated addresses
golang-github-apparentlymart-go-dump-dev (0.0~git20190214.042adf3-3)
utility for formatting Go values in a pretty-printed way
golang-github-apparentlymart-go-openvpn-mgmt-dev (0.0~git20161009.9a305ae-1.1)
Go client library for OpenVPN's management protocol
golang-github-apparentlymart-go-rundeck-api-dev (0.0.1+git20170705.2c962ac-2)
Go client for the Rundeck HTTP API
golang-github-apparentlymart-go-textseg-dev (12.0.0-1)
Golang implementation of Unicode Text Segmentation
golang-github-apparentlymart-go-versions-dev (1.0.1-1)
version-wrangling library for Go
golang-github-appc-cni-dev (0.8.1-1)
container network interface
golang-github-appc-docker2aci-dev (0.17.2+dfsg-2.1)
library to convert Docker images to ACIs
golang-github-appc-goaci-dev (0.1.1-2)
build go projects into ACIs
golang-github-appc-spec-dev (0.8.11+dfsg-2.1)
App Container Specification (appc) - source
golang-github-appleboy-gin-jwt-dev (2.6.4-2)
JWT middleware for the Gin framework
golang-github-appleboy-gofight-dev (2.1.2-2)
API Handler Testing for Golang Web framework
golang-github-approvals-go-approval-tests-dev (0.0~git20180620.6ae1ec6-1)
ApprovalTests for go
golang-github-araddon-dateparse-dev (0.0~git20210207.0eec95c-1)
Go library used to parse date from string
golang-github-araddon-gou-dev (0.0~git20180509.7db4be5-1.1)
logging and json helpers for Go
golang-github-armon-circbuf-dev (0.0~git20190214.5111143-1)
Golang circular (ring) buffer
golang-github-armon-consul-api-dev (0.0~git20150107.0.dcfedd5-4.1)
[DEPRECATED] Golang API client for Consul
golang-github-armon-go-metrics-dev (0.3.4-1)
library for exporting performance and runtime metrics
golang-github-armon-go-proxyproto-dev (0.0~git20190211.68259f7-2)
Golang package to handle HAProxy Proxy Protocol
golang-github-armon-go-radix-dev (1.0.0-1)
Golang implementation of Radix trees
golang-github-armon-go-socks5-dev (0.0~git20160902.e753329-1.1)
SOCKS5 server in Golang
golang-github-asaskevich-govalidator-dev (9+git20180720.0.f9ffefc3-1.1)
Validators and sanitizers for strings, numerics, slices and structs
golang-github-atotto-clipboard-dev (0.1.2-1)
Clipboard for Go
golang-github-audriusbutkevicius-go-nat-pmp-dev (0.0~git20160522.452c976-3)
Go language client for the NAT-PMP internet
golang-github-audriusbutkevicius-kcp-go-dev (20160629+git20171025.8ae5f52-6)
full-featured reliable UDP communication library
golang-github-audriusbutkevicius-pfilter-dev (0.0.5-1)
filtering packets into multiple virtual connections
golang-github-avast-apkparser-dev (0.0~git20190516.3b8c5ef-3)
APK manifest & resources parsing in Golang.
golang-github-avast-apkverifier-dev (0.0~git20191015.7330a51-6.1)
Android APK Signature verification Go library
golang-github-avast-retry-go-dev (2.4.3-1)
retry mechanism library for Go
golang-github-aviau-gopass-dev (1.4.1-1)
pass implementation in Go - dev package
golang-github-awalterschulze-gographviz-dev (2.0.1-2)
Graphviz DOT language in golang
golang-github-aws-aws-sdk-go-dev (1.36.33-1)
AWS SDK for the Go programming language
golang-github-axgle-mahonia-dev (0.0~git20180208.3358181-2)
Character-set conversion library implemented in Go. (library)
golang-github-azure-azure-pipeline-go-dev (0.2.2-2)
HTTP request/response middleware pipeline
golang-github-azure-azure-sdk-for-go-dev (43.3.0-1)
Microsoft Azure SDK for Go
golang-github-azure-azure-storage-blob-go-dev (0.10.0-1)
Microsoft Azure Blob Storage Library for Go
golang-github-azure-go-ansiterm-dev (0.0~git20160622.0.fa152c5-2.1)
Go package for ANSI terminal emulation in Windows
golang-github-azure-go-autorest-dev (14.1.1-1)
HTTP request pipeline suitable for use across multiple go-routines
golang-github-azure-go-ntlmssp-dev (0.0~git20200615.6637195-1)
NTLM/Negotiate authentication over HTTP (Go library)
golang-github-azure-go-pkcs12-dev (0.0~git20150623.0.a635c06-3)
PCKS#12 implementation for Go
golang-github-badgerodon-collections-dev (0.0~git20130729.604e922-3)
Collection of Golang types
golang-github-beevik-etree-dev (1.0.0+git20171015.af219c0-1.1)
parse and generate XML easily in go
golang-github-benbjohnson-immutable-dev (0.2.0-2)
Immutable collections for Go (library)
golang-github-benbjohnson-tmpl-dev (0.0~git20160209.0.8e77bc5-7)
Command line interface to Go's text/template library - dev package
golang-github-beorn7-perks-dev (1.0.1-1)
effective computation of things
golang-github-bep-debounce-dev (1.2.0+really1.2.0-1)
Debouncer written in Go
golang-github-bep-gitmap-dev (1.1.2-1)
map all filenames to info objects for a given git revision
golang-github-bep-go-tocss-dev (0.6.0-1.1)
simple-to-use LibSass Go API
golang-github-bep-godartsass-dev (0.11.0-2)
Go API backed by the native Dart Sass Embedded executable
golang-github-bep-golibsass-dev (0.7.0-1)
Easy-to-use Go bindings for LibSass
golang-github-bep-inflect-dev (0.0~git20160408.0.b896c45-3)
inflection rules for the English language
golang-github-bep-tmc-dev (0.5.1-2)
provides round-trip serialization of typed Go maps
golang-github-bgentry-go-netrc-dev (0.0~git20140422.9fd32a8-1)
Golang package for reading and writing netrc files
golang-github-bgentry-speakeasy-dev (0.1.0-2)
Golang helpers for reading password input without cgo
golang-github-biogo-biogo-dev (1.0.3-1)
biogo is a bioinformatics library for Go (library)
golang-github-biogo-graph-dev (0.0~git20150317.057c198-2)
bíogo undirected graph analysis repository (library)
golang-github-biogo-hts-dev (1.1.0+dfsg1-2)
biogo high throughput sequencing repository
golang-github-biogo-store-dev (0.0~git20200525.8c94ae1-2)
bíogo data store repository (library)
golang-github-bitly-go-simplejson-dev (0.5.0-5)
Golang library to interact with arbitrary JSON
golang-github-bkaradzic-go-lz4-dev (1.0.0-4)
port of the LZ4 lossless compression algorithm to Go
golang-github-blang-semver-dev (3.6.1-2)
semantic versioning library
golang-github-blevesearch-bleve-dev (0.5.0+git20170912.278.6eea5b78-5)
modern text indexing library for go
golang-github-blevesearch-go-porterstemmer-dev (1.0.1+git20141230.9.23a2c8e-6)
Native Go implementation of the Porter Stemming algorithm
golang-github-blevesearch-segment-dev (0.0~git20160915.0.762005e-6)
Go library for performing Unicode Text Segmentation
golang-github-bluebreezecf-opentsdb-goclient-dev (0.0~git20160515.0.539764b-1.1)
Go client for OpenTSDB REST API
golang-github-bmatcuk-doublestar-dev (2.0.4-1)
support for double star matches in golang's path.Match and filepath.Glob
golang-github-bmatsuo-lmdb-go-dev (1.8.0+git20170215.a14b5a3-2)
Bindings for the LMDB C library
golang-github-bmizerany-assert-dev (0.0~git20120716-4)
Assertions library for Go tests
golang-github-bmizerany-pat-dev (0.0~git20170814.6226ea5-1)
Sinatra style pattern muxer for Go's net/http library
golang-github-bndr-gotabulate-dev (1.1.2-2)
Gotabulate - Easily pretty-print your tabular data with Go (library)
golang-github-boj-redistore-dev (1.2+git20180917.cd5dcc7-2)
session store backend for gorilla/sessions using Redis
golang-github-boltdb-bolt-dev (1.3.1-7)
low-level key/value database for Go
golang-github-bowery-prompt-dev (0.0~git20190916.fa82799-1)
Cross platform prompting library for Go
golang-github-bradfitz-gomemcache-dev (0.0~git20141109-3)
Go memcached client library
golang-github-bradfitz-iter-dev (0.0~git20191230.e8f45d3-1)
Range over integers [0,n). Seriously, that's it.
golang-github-brentp-bix-dev (0.0~git20190718.00aa7a7+ds-2)
Tabix file access with golang using biogo machinery (library)
golang-github-brentp-goluaez-dev (0.0~git20160116.dd35d08-2)
Easy embedding Lua in go
golang-github-brentp-gsort-dev (0.1.4-3)
library package for gsort
golang-github-brentp-irelate-dev (0.0.1+ds-1)
Streaming relation testing of sorted files of intervals
golang-github-brentp-vcfgo-dev (0.0~git20190824.654ed2e-2)
Golang library to read, write, manipulate VCF format files
golang-github-briandowns-spinner-dev (1.12.0-1)
Go library for providing a terminal spinner/progress indicator with options
golang-github-bruth-assert-dev (0.0+git20130823.de420fa-3)
Simple test assertions for Golang tests
golang-github-bshuster-repo-logrus-logstash-hook-dev (0.4.1-2)
Logstash hook for Logrus logging library for Go
golang-github-bsipos-thist-dev (1.0.0-2)
generating online histograms and plotting them with golang
golang-github-bsm-pool-dev (0.8.0-5)
simple connection pool library for Golang
golang-github-bsm-redeo-dev (2.1.1-4)
Framework for building redis-compatible TCP services
golang-github-bsphere-le-go-dev (0.0~git20170215.0.7a984a8-1.1)
Golang library for logentries.com
golang-github-btcsuite-btcd-btcec-dev (0.0~git20161101.0.g8343278-1.1)
Go library for Elliptic curve cryptography
golang-github-btcsuite-btcd-chaincfg-chainhash-dev (0.0+git20161209.0.7a4cc89-1.1)
generic hash types and functions for Go
golang-github-btcsuite-fastsha256-dev (0.0~git20160815.0.637e656-1.1)
Go alternative fast SHA256 implementation
golang-github-buger-goterm-dev (0.0+git20181115.c206103-2)
advanced terminal output in Golang
golang-github-buger-jsonparser-dev (1.1.1-1)
fast schemaless JSON parser for Go
golang-github-bugsnag-bugsnag-go-dev (1.7.0-1)
automatic panic monitoring for Go applications
golang-github-bugsnag-panicwrap-dev (1.2.0-1.1)
Go library for catching and handling panics in Go applications
golang-github-burntsushi-locker-dev (0.0~git20171006.a6e239e-2)
simple Go package for conveniently using named read/write locks
golang-github-burntsushi-toml-dev (0.3.1-1)
TOML parser and encoder for Go with reflection
golang-github-burntsushi-xgb-dev (0.0~git20210121.deaf085-1)
Low-level API to communicate with the X server. See the reference
golang-github-c-bata-go-prompt-dev (0.2.5-1)
building powerful interactive prompts in Go
golang-github-caarlos0-env-dev (6.4.0-1)
Go library for parse environment variables to structs
golang-github-cactus-go-statsd-client-dev (4.0.0-1)
statsd client for Go
golang-github-calmh-du-dev (1.0.1-4)
Get disk usage information like how much space is available, free, and used
golang-github-calmh-luhn-dev (2.0.0-3)
Luhn-mod-N implementation in Go
golang-github-calmh-xdr-dev (2.0.1-6)
Go XDR enc/decoder - dev package
golang-github-ccding-go-stun-dev (0.1.2-1)
implementation of the STUN client (RFC 3489 and RFC 5389)
golang-github-cenk-hub-dev (1.0.0+git20160321.17.b864404b5f99-1.1)
Simple PubSub (Publish/Subscribe) library in Go
golang-github-cenk-rpc2-dev (0.0~git20160427.0.7ab76d2e88c7-1.1)
bi-directional RPC in Go
golang-github-cenkalti-backoff-dev (3.1.1-1)
exponential backoff algorithm in Go
golang-github-census-instrumentation-opencensus-proto-dev (0.2.1+dfsg1-2)
language independent interface types for opencensus
golang-github-centurylinkcloud-clc-sdk-dev (0.0.2+git20161004.f62483c-2)
SDK for Century Link Cloud
golang-github-cespare-xxhash-dev (2.1.1-1)
implementation of the 64-bit xxHash algorithm (XXH64)
golang-github-chai2010-gettext-go-dev (0.0~git20191225.6b9f4b1-2)
GNU gettext for Go (library)
golang-github-checkpoint-restore-go-criu-dev (4.1.0-3)
CRIU bindings for Golang
golang-github-cheekybits-genny-dev (1.0.0-8)
Elegant generics for Go
golang-github-cheekybits-is-dev (0.0~git20150225.0.68e9c06-3)
Mini testing helper for Go
golang-github-chmduquesne-rollinghash-dev (4.0.0-1)
Implementation of some rolling checksums in golang
golang-github-christrenkamp-goxpath-dev (1.0~alpha3+git20170922.c385f95-1)
XPath 1.0 implementation written in Go
golang-github-chzyer-readline-dev (1.4.39.g2972be2-1)
Readline is a pure go implementation for a GNU-Readline like library
golang-github-cilium-ebpf-dev (0.2.0-1)
eBPF Library for Go
golang-github-circonus-labs-circonus-gometrics-dev (2.3.1-3)
Golang implementation of metrics reporting for Cirocnus
golang-github-circonus-labs-circonusllhist-dev (0.0~git20191022.ec08cde-1)
implementation of Circonus log-linear histograms
golang-github-cli-safeexec-dev (1.0.0-2)
safer version of exec.LookPath on Windows
golang-github-client9-reopen-dev (1.0.0-2)
freopen functionality for golang's io.Writers (library)
golang-github-cloudflare-cfssl-dev (1.2.0+git20160825.89.7fb22c8-3.1)
CloudFlare's PKI and TLS toolkit
golang-github-cloudflare-circl-dev (1.0.0+20200724-1)
Cloudflare Interoperable Reusable Cryptographic Library (golang)
golang-github-cloudflare-go-metrics-dev (0.0~git20151117.0.6a9aea3-3)
Cloudflare's fork of Go port of Coda Hale's Metrics library
golang-github-cloudflare-gokey-dev (0.1.0-1)
simple vaultless password manager in Go - dev package
golang-github-cloudflare-gortr-dev (0.14.7-1)
Cloudflare's RPKI to router library
golang-github-cloudflare-redoctober-dev (0.0~git20161017.0.78e9720-5)
Software-based two-man rule style (development files)
golang-github-cloudflare-sidh-dev (1.0+git20190228.d2f0f90-4)
Supersingular Isogeny Diffie-Hellman golang library
golang-github-cloudflare-tableflip-dev (1.2.1~git20200514.4baec98-3)
Graceful process restarts in Go (library)
golang-github-cloudfoundry-gosigar-dev (0.0~git20180907.50ddd08-1)
golang implementation of the sigar API
golang-github-cloudfoundry-jibber-jabber-dev (0.0~git20151120.bcc4c83-2)
Cross Platform locale detection for Golang
golang-github-cnf-structhash-dev (0.0~git20201127.e1b16c1-1)
get hash strings of arbitrary data structures
golang-github-cockroachdb-apd-dev (2.0.1-1)
arbitrary-precision decimals for Go
golang-github-cockroachdb-cmux-dev (0.0~git20170110.0.30d10be-1.1)
Payload-based connection multiplexer for Golang
golang-github-cockroachdb-cockroach-go-dev (0.0~git20170808.c806b48-1.1)
Packages for go clients.
golang-github-codahale-hdrhistogram-dev (0.0~git20161010.3a0bb77-2)
implementation of Gil Tene's HDR Histogram
golang-github-codegangsta-cli-dev (1.18.0-3)
simple library for building command line apps in Go
golang-github-codegangsta-negroni-dev (0.1-2)
idiomatic HTTP middleware for Golang
golang-github-confluentinc-confluent-kafka-go-dev (0.11.6-1)
Apache Kafka Golang client by Confluent
golang-github-containerd-btrfs-dev (0.0~git20201111.404b914-1)
Btrfs bindings for Go
golang-github-containerd-cgroups-dev (0.0~git20201119.4cbc285-4)
cgroups package for Go
golang-github-containerd-console-dev (1.0.1-2)
Console package for Go
golang-github-containerd-containerd-dev (1.4.13~ds1-1~deb11u4)
open and reliable container runtime (development files)
golang-github-containerd-continuity-dev (0.0~git20201208.1805252-2)
Externally reusable Go packages included with Continuity
golang-github-containerd-fifo-dev (0.0~git20201026.0724c46-1)
fifo pkg for Go
golang-github-containerd-go-cni-dev (1.0.1-1)
generic CNI library to provide APIs for CNI plugin interactions
golang-github-containerd-go-runc-dev (0.0~git20201020.16b287b-1)
runc bindings for Go
golang-github-containerd-ttrpc-dev (1.0.2-1)
GRPC for low-memory environments
golang-github-containerd-typeurl-dev (1.0.1-1)
Go package for managing marshaled types to protobuf.Any
golang-github-containernetworking-cni-dev
本虛擬套件由這些套件填實: golang-github-appc-cni-dev
golang-github-containernetworking-plugin-dnsname (1.1.1+ds1-4+b7)
name resolution for containers
golang-github-containernetworking-plugins-dev (0.9.0-1)
standard networking plugins - sources
golang-github-containers-buildah-dev (1.19.6+dfsg1-1)
tool to facilitate building OCI images
golang-github-containers-common (0.33.4+ds1-1+deb11u2)
Common files for github.com/containers repositories
golang-github-containers-common-dev (0.33.4+ds1-1+deb11u2)
Common files for github.com/containers repositories (library)
golang-github-containers-image (5.10.3-1)
Configuration files and manpages for github.com/containers repositories
golang-github-containers-image-dev (5.10.3-1)
golang library to work with containers' images
golang-github-containers-libpod-dev (3.0.1+dfsg1-3+deb11u5)
engine to run OCI-based containers in Pods (library)
golang-github-containers-ocicrypt-dev (1.0.3-1)
Encryption libraries for Encrypted OCI Container images (library)
golang-github-containers-psgo-dev (1.5.2-1+deb11u1)
ps(1) AIX-format compatible Golang library
golang-github-containers-storage-dev (1.24.8+dfsg1-1+deb11u1)
Go library for handling how containers are stored on disk
golang-github-coreos-bbolt-dev (1.3.5-1)
low-level key/value database for Go, especially CoreOS's etcd
golang-github-coreos-etcd-dev
本虛擬套件由這些套件填實: golang-etcd-server-dev
golang-github-coreos-gexpect-dev (0.1.1-2)
library for starting and controlling subprocesses
golang-github-coreos-go-iptables-dev (0.5.0-1)
Go bindings for iptables utility
golang-github-coreos-go-oidc-dev (2.1.0-2)
Go libraries for implementing OIDC clients and servers
golang-github-coreos-go-semver-dev (0.3.0-1)
Go library for semantic versioning
golang-github-coreos-go-systemd-dev (22.1.0-3)
Go client bindings for systemd socket activation, journal and D-Bus
golang-github-coreos-ioprogress-dev (0.0~git20151023.0.4637e49-2)
progress bars around io.Reader/Writers
golang-github-coreos-pkg-dev (4-3)
collection of Go utility packages
golang-github-corpix-uarand-dev (0.1.1-1)
random user-agent generator
golang-github-cosiner-argv-dev (0.1.0-2)
Argv allows splitting a command line string into an arguments slice
golang-github-couchbase-ghistogram-dev (0.0.0+git20170308.21.d910dd0-6)
simple int histogram for golang
golang-github-couchbase-moss-dev (0.0~git20170914.0.07c86e8-5)
moss provides a fast key/value storage library for golang
golang-github-cpuguy83-go-md2man-dev (1.0.10+ds-2)
utility to create manpages from markdown (source)
golang-github-cpuguy83-go-md2man-v2-dev (2.0.0+ds-5)
utility to create manpages from markdown (source)
golang-github-creack-pty-dev (1.1.11-1)
PTY interface for Golang
golang-github-creekorful-mvnparser-dev (1.4.0-1)
Go parser for maven (POM) file
golang-github-crewjam-httperr-dev (0.2.0-2)
golang error object that speaks HTTP
golang-github-crossdock-crossdock-go-dev (0.0~git20160816.049aabb-3)
Go client for Crossdock
golang-github-cryptix-wav-dev (0.0~git20180415.8bdace6-1)
Golang .wav reader and writer (development file)
golang-github-ctdk-chefcrypto-dev (0.2.0-1.1)
Go cryptographic routines to interact with chef servers
golang-github-ctdk-go-trie-dev (0.0~git20161027.0.6443fbc-1.1)
Trie implementation based on a minimal automaton for Go
golang-github-ctdk-goiardi-dev (0.11.10-1)
Golang library to interact with a chef server
golang-github-cupcake-rdb-dev (0.0~git20161107.0.43ba341-10)
Redis RDB parser for Go
golang-github-cyberdelia-go-metrics-graphite-dev (0.0~git20151204.0.7e54b5c-3)
Graphite client for the go-metrics
golang-github-cyberdelia-heroku-go-dev (5.2.1-1)
Heroku Platform API Go Client
golang-github-cyphar-filepath-securejoin-dev (0.2.2-2)
filepath.SecureJoin implementation
golang-github-cznic-b-dev (0.0~git20151027.0.01b13d7-2)
B+tree implementation
golang-github-cznic-bufs-dev (0.0~git20140818.0.3dcccbd-2)
simple buffer cache
golang-github-cznic-fileutil-dev (0.0~git20200808.2079183-4)
Golang file utility functions
golang-github-cznic-lldb-dev (1.0.1-1.1)
low level database engine
golang-github-cznic-mathutil-dev (0.0~git20201220.c6aa83b-2)
extensions to the Golang "rand" and "math" packages
golang-github-cznic-ql-dev (1.0.6-1.1)
pure Go embedded SQL database
golang-github-cznic-sortutil-dev (0.0~git20150617.0.4c73428-2)
extensions to standard Go "sort" package
golang-github-cznic-strutil-dev (0.0~git20150430.0.1eb03e3-2)
extensions to standard Go "strings" package
golang-github-cznic-zappy-dev (0.0~git20160305.0.4f5e6ef-3)
zappy block-based compression format
golang-github-d2g-dhcp4-dev (0.0~git20150413-3)
DHCP packet creation library for Go
golang-github-d2g-dhcp4client-dev (1.0.0-2)
DHCP client written in Go
golang-github-d2r2-go-bsbmp-dev (0.0~git20190515.3b4b3ae-2)
interact with Bosch Sensortec BMP180/BMP280/BME280/BMP388 sensors (library)
golang-github-d2r2-go-i2c-dev (0.0~git20191123.73a8a79-2)
I2C-bus interaction of peripheral sensors with single-board computers (library)
golang-github-d2r2-go-sht3x-dev (0.0~git20181222.074abc2-2)
interact with Sensirion SHT3x humidity and temperature sensor family (library)
golang-github-d4l3k-messagediff-dev (1.2.1-1)
library for doing diffs of arbitrary Golang structs
golang-github-daaku-go.zipexe-dev (1.0.1-1)
zipexe attempts to open an executable binary file as a zip file
golang-github-danverbraganza-varcaser-dev (0.0~git20190207.e3fb03e-1)
Go lib to transform between common variable casing conventions
golang-github-danwakefield-fnmatch-dev (0.0~git20160403.cbb64ac-3)
Updated clone of kballard’s fnmatch(3) implementation for Go
golang-github-data-dog-go-sqlmock-dev (1.4.1-1)
Sql mock driver for golang to test database interactions
golang-github-datadog-datadog-go-dev (2.1.0-3)
Go client library for datadog
golang-github-datadog-zstd-dev (1.4.5+patch1-1)
Zstd wrapper for Go
golang-github-dataence-porter2-dev (0.0~git20150829.56e4718-3)
native Go high-performance Porter2 stemmer for English
golang-github-davecgh-go-spew-dev (1.1.1-2)
Implements a deep pretty printer for Go data structures to aid in debugging
golang-github-daviddengcn-go-colortext-dev (0.0~git20150719.0.3b18c85-2)
change the color of console text in Go
golang-github-dchest-blake2b-dev (0.0~git20170307.0.060063-1-1.1)
Go implementation of BLAKE2 (b) cryptographic hash function
golang-github-dchest-cssmin-dev (0.0~git20151210.0.fb8d9b4-4.1)
Go package to minify CSS
golang-github-dchest-safefile-dev (0.0~git20151022.0.855e8d9-1.1)
Implements safe "atomic" saving of files for Go
golang-github-dchest-uniuri-dev (0.0~git20200228.7aecb25-1)
generator of random strings to identify unique objects
golang-github-dcso-bloom-cli (0.2.3-1.1+b5)
highly efficient bloom filter implementation for Go, CLI tool
golang-github-dcso-bloom-dev (0.2.3-1.1)
highly efficient bloom filter implementation for Go
golang-github-dcso-fluxline-dev (0.0~git20200907.78686e5-2)
Golang library to prepare sets of metrics in InfluxDB's Line Protocol format
golang-github-ddevault-go-libvterm-dev (0.0~git20190526.b7d861d-2)
aerc fork of go-libvterm (library)
golang-github-deanthompson-ginpprof-dev (0.0~git20201112.007b1e5-1)
wrapper for golang web framework gin to use net/http/pprof
golang-github-deckarep-golang-set-dev (1.5-2)
simple set type for the Go language
golang-github-denisenkom-go-mssqldb-dev (0.0~git20170717.0.8fccfc8-6)
Microsoft SQL server driver written in go language
golang-github-denverdino-aliyungo-dev (0.0~git20180921.13fa8aa-2)
Go SDK for Aliyun (Alibaba Cloud)
golang-github-dghubble-sling-dev (1.3.0-1)
HTTP client library for creating and sending API requests
golang-github-dgraph-io-badger-dev (2.2007.2-2)
Fast key-value DB in Go - development files for embedding.
golang-github-dgraph-io-ristretto-dev (0.0.3-1)
high performance memory-bound Go cache
golang-github-dgrijalva-jwt-go-dev (3.2.0-3)
Golang implementation of JSON Web Tokens (JWT)
golang-github-dgryski-go-bits-dev (0.0~git20180112.bd8a69a-2)
amd64 optimized bit operations
golang-github-dgryski-go-bitstream-dev (0.0~git20180412.3522498-3)
read and write bits from io.Reader and io.Writer
golang-github-dgryski-go-farm-dev (0.0~git20171119.ac7624ea8da3-1.1)
pure-Go FarmHash implementation
golang-github-dgryski-go-metro-dev (0.0~git20200812.85c65e2-2)
metrohash library in golang
golang-github-dhowett-go-plist-dev (0.0~git20181124.0.591f970-2)
pure Go Apple Property List transcoder
golang-github-digitalocean-go-qemu-dev (0.0~git20200529.1b453d0-2)
Go packages to interact with QEMU using the QEMU Machine Protocol
golang-github-digitalocean-godo-dev (1.37.0-1)
DigitalOcean API V2 client library for Google Go
golang-github-dimchansky-utfbom-dev (1.1.1-1)
Detection of the BOM and removing as necessary
golang-github-disintegration-gift-dev (1.2.1-2)
Go Image Filtering Toolkit
golang-github-disintegration-imaging-dev (1.6.2-1)
Simple Go image processing package
golang-github-disposaboy-jsonconfigreader-dev (0.0~git20171218.5ea4d0d-2)
proxy for golang's io.Reader allowing you to use json as a config format
golang-github-djherbis-times-dev (1.0.1+git20170215.d25002f-1.1)
file times (atime, mtime, ctime, btime)
golang-github-dkolbly-wl-dev (0.0~git20180220.b06f57e-2)
Golang wayland protocol implementation. (library)
golang-github-dlclark-regexp2-dev (1.2.0-1)
Regex engine for Go based on the .NET engine
golang-github-dnaeon-go-vcr-dev (0.0~git20180814.aafff18-2.1)
Record and replay your HTTP interactions for tests
golang-github-dnstap-golang-dnstap-cli (0.3.0-1+b6)
dnstap command line tool
golang-github-dnstap-golang-dnstap-dev (0.3.0-1)
flexible, structured event replication format for DNS servers
golang-github-docker-distribution-dev (2.7.1+ds2-7+deb11u1)
Docker toolset to pack, ship, store, and deliver content (source)
golang-github-docker-docker-credential-helpers-dev (0.6.3-1)
native stores to safeguard Docker credentials - library
golang-github-docker-docker-dev (20.10.5+dfsg1-1+deb11u2)
reusable Go packages included with Docker
golang-github-docker-go-connections-dev (0.4.0-3)
Golang utility package to work with network connections
golang-github-docker-go-dev (0.0~git20160303.0.d30aec9-3)
Go packages with small patches autogenerated (used for canonical/json)
golang-github-docker-go-events-dev (0.0~git20190806.e31b211-1)
Composable event distribution for Go
golang-github-docker-go-metrics-dev (0.0.1-1)
Package for metrics collection in Docker projects
golang-github-docker-go-units-dev (0.4.0-3)
parse and print size and time units in human-readable format
golang-github-docker-leadership-dev (0.1.0-1.1)
distributed leader election using docker/libkv
golang-github-docker-libkv-dev (0.2.1-2)
Key/Value store abstraction library
golang-github-docker-libtrust-dev (0.0~git20150526.0.9cbd2a1-3.1)
Primitives for identity and authorization
golang-github-docker-notary-dev (0.6.1~ds2-6)
library for running and interacting with trusted collections
golang-github-docker-spdystream-dev (0.2.0-1)
multiplexed stream library using spdy
golang-github-docopt-docopt-go-dev (0.6.2+git20180111.ee0de3b-1)
Implementation of docopt in the Go programming language
golang-github-dop251-goja-dev (0.0~git20170430.0.d382686-2)
ECMAScript 5.1(+) implementation written in Go
golang-github-dpotapov-go-spnego-dev (0.0~git20190506.c2c6091-2)
Golang library to make HTTP calls with Kerberos authentication
golang-github-dreamitgetit-statuscake-dev (0.0~git20201021.4e32615-1)
Go client for statuscake.com
golang-github-dropbox-dropbox-sdk-go-unofficial-dev (5.4.0-2)
Unofficial Go SDK for integrating with the Dropbox API v2
golang-github-dsnet-golib-dev (0.0~git20171103.1ea1667-1.1)
Collection of mostly unrelated helper Go packages
golang-github-dustin-go-humanize-dev (1.0.0-2)
format units to human friendly sizes
golang-github-dvsekhvalnov-jose2go-dev (1.5-1)
Go implementation of Javascript Object Signing and Encryption
golang-github-dylanmei-iso8601-dev (0.1.0-6)
pure Go ISO8601 parser and formatter
golang-github-dylanmei-winrmtest-dev (0.0~git20151226.0256178-4)
testing addon for golang-github-masterzen-winrm
golang-github-eapache-go-xerial-snappy-dev (0.0~git20160609.bb955e0-1.1)
Xerial-compatible Snappy framing support for Go
golang-github-eclipse-paho.mqtt.golang-dev (1.1.1-1.1)
Go package implementing MQTT client library by Eclipse Paho
golang-github-edsrzf-mmap-go-dev (0.0~git20170320.0.0bce6a6-6)
portable mmap package for Go
golang-github-eknkc-amber-dev (0.0~git20171010.cdade1c-1)
Elegant HTML templating engine for Go, inspired from HAML and Jade (Go library)
golang-github-elazarl-go-bindata-assetfs-dev (1.0.0-1.1)
Go library to serve embedded binary files with net/http
golang-github-elazarl-goproxy-dev (1.1-1)
HTTP proxy library for Go
golang-github-elisescu-pty-dev (1.0.2-2)
Golang package for using Unix pseudo-terminals
golang-github-elithrar-simple-scrypt-dev (1.3.0-1.1)
various purpose password hashes library using the scrypt KDF
golang-github-emersion-go-imap-dev (1.0.4-3)
Go IMAP library for clients and servers
golang-github-emersion-go-imap-idle-dev (0.0~git20190519.2704abd-2)
IDLE extension for go-imap (library)
golang-github-emersion-go-maildir-dev (0.2.0-2)
Maildir library for Go (library)
golang-github-emersion-go-message-dev (0.10.7-2)
Streaming Go library for the Internet Message Format and mail
golang-github-emersion-go-sasl-dev (0.0~git20191210.430746e-2)
SASL library written in Go
golang-github-emersion-go-smtp-dev (0.11.2-2)
ESMTP client & server library written in Go (library)
golang-github-emersion-go-textwrapper-dev (0.0~git20160606.d0e65e5-2)
Go writer that wraps long text lines to a specified length
golang-github-emicklei-go-restful-dev (2.11.1-2)
build REST-style Web Services using Google Go
golang-github-emicklei-go-restful-swagger12-dev (1.0.1-3)
Swagger 1.2 extension to the go-restful package
golang-github-emirpasic-gods-dev (1.12.0-2)
Implementation of various data structures and algorithms in Go
golang-github-enescakir-emoji-dev (1.0.0-2)
minimalistic emoji package for Go
golang-github-ensighten-udnssdk-dev (1.3.4-2)
ultradns SDK for golang
golang-github-erikdubbelboer-gspt-dev (0.0~git20161002.0.6ce3e9d-1)
setproctitle for Go
golang-github-erikstmartin-go-testdb-dev (0.0~git20160219.0.8d10e4a-1.1)
Framework for stubbing responses from go's driver.Driver interface
golang-github-euank-go-kmsg-parser-dev (2.0.1-2)
simpler parser for the /dev/kmsg format (library)
golang-github-evanphx-json-patch-dev (5.2.0-1)
Go library to apply RFC6902 patches and create and apply RFC7386 patches
golang-github-evanw-esbuild-dev (0.8.39-1)
extremely fast JavaScript bundler and minifier (library)
golang-github-evilsocket-ftrace-dev (1.2.0-2.1)
trace Linux syscalls using the FTRACE kernel framework
golang-github-evilsocket-islazy-dev (1.10.6-2)
Set of opinionated packages, objects, helpers and functions
golang-github-evilsocket-recording-dev (0.0~git20190408.3ce1dcf-2)
Allows reading and writing bettercap's session recordings
golang-github-exponent-io-jsonpath-dev (0.0~git20151013.0.d6023ce-1.1)
Extension for Go's json.Decoder enabling navigation of a stream of tokens
golang-github-facebook-ent-dev (0.5.4-2)
entity framework for Go
golang-github-facebookgo-atomicfile-dev (0.0~git20151019.2de1f20-2)
Provides an atomically written/replaced file
golang-github-facebookgo-clock-dev (0.0~git20150410.0.600d898-6)
Clock is a small Go library for mocking time
golang-github-facebookgo-ensure-dev (0.0~git20160127.0.b4ab57d-6)
Provides utilities for testing to ensure conditions are met
golang-github-facebookgo-freeport-dev (0.0~git20150612.0.d4adf43-6)
Go library to find a free TCP port for binding
golang-github-facebookgo-grace-dev (0.0~git20170218.0.4afe952-7)
Graceful restart & zero downtime deploy for Go servers
golang-github-facebookgo-httpdown-dev (0.0~git20160323.0.a3b1354-8)
Gracefully shut down daemon without terminating connections
golang-github-facebookgo-inject-dev (0.0~git20180706.f23751c-3)
reflect based injector
golang-github-facebookgo-pidfile-dev (0.0~git20150612.f242e29-3)
Package pidfile manages pid files.
golang-github-facebookgo-stack-dev (0.0~git20160209.0.7517733-8)
Stack provides utilities to capture and handle stack traces
golang-github-facebookgo-stats-dev (0.0~git20151006.0.1b76add-6)
defines a lightweight interface for collecting statistics
golang-github-facebookgo-structtag-dev (0.0~git20150214.217e25f-3)
provides parsing of the defacto struct tag style
golang-github-facebookgo-subset-dev (0.0~git20150612.0.8dac2c3-6)
Check if a value is a subset of another
golang-github-farsightsec-go-nmsg-dev (0.0~git20190917.04d2174-2)
Go implementation of the nmsg network message encapsulation library
golang-github-farsightsec-golang-framestream-dev (0.3.0+ds-2)
Frame Streams implementation in Go
golang-github-fatih-color-dev (1.7.0-1)
console colors for Go
golang-github-fatih-set-dev (0.2.1-2)
Set data structure for Go (library)
golang-github-fatih-structs-dev (1.0.0-1.1)
Utilities for Go structs
golang-github-fernet-fernet-go-dev (0.0~git20180830.9eac43b-2)
Go library for generates/verifies HMAC-based authentication tokens
golang-github-fhs-go-netrc-dev (1.0.0-3)
netrc file parser for Go programming language
golang-github-fhs-gompd-dev (2.0.3-1.1)
MPD client library
golang-github-fluent-fluent-logger-golang-dev (1.5.0-1)
Go structured logger for Fluentd
golang-github-fluffle-goirc-dev (1.1.1+ds-1)
Event-based stateful IRC client framework for Go.
golang-github-flynn-json5-dev (0.0~git20160717.7620272-2)
Go JSON5 decoder package based on encoding/json
golang-github-fogleman-gg-dev (1.3.0-3)
Go Graphics - 2D rendering in Go with a simple API
golang-github-fortytw2-leaktest-dev (1.3.0-1.1)
Goroutine Leak Detector
golang-github-francoispqt-gojay-dev (1.2.13-5)
JSON encoder/decoder golang library with powerful stream API
golang-github-franela-goblin-dev (0.0.1+git20160123.62.889391d-1.1)
minimal and beautiful Go testing framework
golang-github-franela-goreq-dev (0.0~git20160121.0.3ddeded-2.1)
minimal and simple request library for Go language
golang-github-frankban-quicktest-dev (1.11.2-1)
quick helpers for testing Go applications
golang-github-fsnotify-fsnotify-dev (1.4.9-2)
File system notifications for Go
golang-github-fsouza-go-dockerclient-dev (1.6.6-1)
Docker client library in Go
golang-github-fullsailor-pkcs7-dev (0.0~git20190404.d7302db-2)
Implements a subset of PKCS#7/Crytpographic Message Syntax (rfc2315, rfc5652) (library)
golang-github-fvbommel-sortorder-dev (1.0.2-2)
sort orders and comparison functions
golang-github-fzambia-sentinel-dev (1.0.0-2)
Redis Sentinel support for redigo library
golang-github-garyburd-redigo-dev (0.0~git20150901.0.d8dbe4d-2.1)
Go client for Redis
golang-github-gcla-deep-dev (1.0.2-2)
Golang deep variable equality test that returns human-readable differences
golang-github-gcla-gowid-dev (1.2.0-1)
Compositional widgets for terminal user interfaces, inspired by urwid
golang-github-gdamore-encoding-dev (1.0.0-2)
Various character map encodings missing from golang.org/x/net/encoding
golang-github-gdamore-tcell-dev (1.3.0-2)
cell based view for text terminals
golang-github-gdamore-tcell.v2-dev (2.1.0-5)
cell based view for text terminals
golang-github-gedex-inflector-dev (0.0~git20170307.0.16278e9-1.1)
Go library that pluralizes and singularizes English nouns
golang-github-geertjohan-go.incremental-dev (1.0.0-1)
Go package providing type- and concurrency-safe incremental counters
golang-github-geertjohan-go.rice-dev (1.0.2-1)
Go package for embedding web resources into Go executables
golang-github-getkin-kin-openapi-dev (0.32.0-2)
OpenAPI 3.0 implementation for Go
golang-github-getlantern-context-dev (0.0~git20190109.c447772-1.1)
goroutine-based context state
golang-github-getlantern-hex-dev (0.0~git20160523.083fba3-2)
Configurable hex encoding
golang-github-getlantern-hidden-dev (0.0~git20190325.f02dbb0-2)
Hide text in text
golang-github-getsentry-raven-go-dev (0.0~git20150721.0.74c334d-5)
Go client for the Sentry event/error logging system
golang-github-getsentry-sentry-go-dev (0.9.0-2)
Official Sentry SDK for Go (library)
golang-github-ghodss-yaml-dev (1.0.0-1.1)
better way to marshal and unmarshal YAML in Golang
golang-github-gigawattio-window-dev (0.0~git20180317.0f5467e-2)
Go library to generate a rolling window for a sequence of strings
golang-github-gin-contrib-cors-dev (1.3.1-1)
Gin middleware/handler to enable CORS support
golang-github-gin-contrib-gzip-dev (0.0.3-1)
Gin middleware to enable GZIP support
golang-github-gin-contrib-sse-dev (0.1.0-1)
Server-Sent Events implementation in Go
golang-github-gin-contrib-static-dev (0.0~git20200916.d45d9a3-1)
webserver for static files
golang-github-gin-gonic-gin-dev (1.6.3-3)
HTTP web framework written in Go
golang-github-git-lfs-git-lfs-dev (2.13.2-1)
Git Large File Support (library)
golang-github-git-lfs-gitobj-dev (2.0.0-1)
gitobj reads and writes Git objects.
golang-github-git-lfs-go-netrc-dev (0.0~git20180525.e0e9ca4-1.1)
netrc file parser for Go programming language
golang-github-git-lfs-wildmatch-dev (1.0.4-1)
Wildmatch is a pattern matching language for filepaths compatible with Git.
golang-github-glacjay-goini-dev (0.0~git20150730-2)
INI file parser library for Go
golang-github-gliderlabs-ssh-dev (0.3.0-1)
Easy SSH servers in Golang
golang-github-glycerine-go-unsnap-stream-dev (0.0~git20210130.47dfef3-1)
library for decoding the snappy streaming format
golang-github-go-acme-lego-dev
本虛擬套件由這些套件填實: golang-github-xenolf-lego-dev
golang-github-go-check-check-dev
本虛擬套件由這些套件填實: golang-gopkg-check.v1-dev
golang-github-go-chef-chef-dev (0.0.1+git20161023.60.deb8c38-1.2~deb11u1)
Chef-Server API client in golang
golang-github-go-chi-chi-dev (1.5.1-2)
lightweight, idiomatic and composable router for building Go HTTP services
golang-github-go-chi-cors-dev (1.1.1-2)
CORS net/http middleware for Go
golang-github-go-co-op-gocron-dev (0.5.0-2)
easy and fluent Go cron scheduling
golang-github-go-debos-fakemachine-dev (0.0~git20201127.9e6ee78-1+b6)
create and spawn virtual machines for building images with debos.
golang-github-go-easygen-easygen-dev (4.1.0-1)
Easy to use universal code/text generator -- source
golang-github-go-errors-errors-dev (1.0.1-4)
errors with stacktraces for go
golang-github-go-ini-ini-dev (1.32.0-2.1)
Go package for control of INI file
golang-github-go-kit-kit-dev (0.6.0-3)
Programming toolkit for microservices
golang-github-go-ldap-ldap-dev (3.2.3-1)
Basic LDAP v3 functionality for the Go programming language
golang-github-go-logfmt-logfmt-dev (0.5.0-2)
Marshals and unmarshals logfmt messages
golang-github-go-logr-logr-dev (0.4.0-1)
simple logging interface for Go (library)
golang-github-go-macaron-bindata-dev (0.0~git20161222.0.85786f5-5)
in-memory static and template files for Macaron
golang-github-go-macaron-binding-dev (0.0~git20170611.0.ac54ee2-3.1)
request data binding and validation middleware for Macaron
golang-github-go-macaron-csrf-dev (0.0~git20170207.0.428b7c6-5)
generate and validate csrf tokens for Macaron
golang-github-go-macaron-gzip-dev (0.0~git20151014.0.4938e9b-2)
Gzip middleware for Macaron
golang-github-go-macaron-i18n-dev (0.0~git20160612.0.ef57533-7)
Internationalization and Localization of Macaron
golang-github-go-macaron-inject-dev (0.0~git20160627.0.d8a0b86-4)
utilities for mapping and injecting dependencies
golang-github-go-macaron-session-dev (0.0~git20151014.0.66031fc-2)
session management middleware for Macaron
golang-github-go-macaron-toolbox-dev (0.0~git20170220.0.6766b8f-6)
health check, pprof, profile and statistic services for Macaron
golang-github-go-openapi-analysis-dev (0.15.0-1)
OpenAPI Specification object model analyser
golang-github-go-openapi-errors-dev (0.15.0-1)
Common error handling code for OpenAPI
golang-github-go-openapi-inflect-dev (0.19.0-2)
golang library providing functions applying grammar rules to English words
golang-github-go-openapi-jsonpointer-dev (1:0.0~git20160704.0.46af16f-1.1)
fork of gojsonpointer with support for structs
golang-github-go-openapi-jsonreference-dev (1:0.0~git20160704.0.13c6e35-1.1)
Implementation of gojsonreference with struct support
golang-github-go-openapi-loads-dev (0.15.0-1)
OpenAPI Specification object model
golang-github-go-openapi-runtime-dev (0.15.0-1)
OpenAPI runtime interfaces
golang-github-go-openapi-spec-dev (1:0.15.0-1)
OpenAPI specification object model
golang-github-go-openapi-strfmt-dev (0.15.0-1)
OpenAPI string formatting library
golang-github-go-openapi-swag-dev (1:0.15.0-1)
goodie bag in use in the go-openapi projects
golang-github-go-openapi-validate-dev (0.0~git20160704.0.deaf2c9-1.1)
OpenAPI toolkit validation helpers
golang-github-go-ping-ping-dev (0.0~git20210312.d90f377-1)
simple but powerful ICMP echo (ping) library for Go
golang-github-go-playground-assert-v2-dev (2.0.1-2)
basic assertion library for Go
golang-github-go-playground-locales-dev (0.13.0-2)
set of locales generated from the CLDR Project
golang-github-go-playground-universal-translator-dev (0.17.0-2)
translator for Go using CLDR data and pluralization rules
golang-github-go-playground-validator-v10-dev (10.4.1-2)
Go library for struct and field validation
golang-github-go-redis-redis-dev (6.15.0-1)
Type safe Redis client for Go
golang-github-go-resty-resty-dev (2.4.0-1)
Simple HTTP and REST client library for Go
golang-github-go-sql-driver-mysql-dev (1.5.0-1)
MySQL-Driver for Go's database/sql package
golang-github-go-stack-stack-dev (1.8.0-1)
capture, manipulate, and format call stacks
golang-github-go-test-deep-dev (1.0.3-1)
Golang deep variable equality test that returns human-readable differences
golang-github-go-xorm-builder-dev (0.3.3-2)
SQL builder for XORM written in Go
golang-github-go-xorm-core-dev (0.6.2-3)
lightweight wrapper of database/sql
golang-github-gobuffalo-envy-dev (1.6.4-2)
simplify working with ENV variables
golang-github-goburrow-modbus-dev (0.1.0-2)
fault-tolerant implementation of modbus protocol
golang-github-goburrow-serial-dev (0.1.0-2)
serial library for modbus written in Go
golang-github-gobwas-glob-dev (0.2.3+git20180208.19c076c-2)
Go glob pattern library
golang-github-gocarina-gocsv-dev (0.0~git20180113.45cbb9c-1.1)
easy CSV serialization and deserialization golang package
golang-github-gocql-gocql-dev (0.0~git20191102.0.9faa4c0-2)
fast and robust Cassandra client for Go
golang-github-godbus-dbus-dev
本虛擬套件由這些套件填實: golang-dbus-dev
golang-github-gofrs-flock-dev (0.8.0-1)
thread-safe file locking library in Golang
golang-github-gofrs-uuid-dev (3.2.0-2)
UUID library for Golang
golang-github-gogetdata-ggd-utils-dev (0.0.7+ds-3)
library for use in ggd
golang-github-gogits-chardet-dev (0.0~git20150115.0.2404f77+dfsg-5)
Charset detector library for Go
golang-github-gogits-cron-dev (0.0~git20170309.60.c803a08-4)
Gogs cron library in Go
golang-github-gogits-go-gogs-client-dev (0.0~git20160830.0.d8aff57-2)
Gogs API client in Go
golang-github-gogo-googleapis-dev (1.4.0-1)
googleapis generated with gogoprotobuf
golang-github-gogo-protobuf-dev (1.3.2-1)
alternative protocol buffer support for Golang - sources
golang-github-goji-httpauth-dev (0.0~git20160601.2da839a-3)
HTTP Authentication middleware
golang-github-goji-param-dev (0.0~git20160927.d7f49fd-6)
Rack / jQuery style parameter parsing for Go
golang-github-gokyle-fswatch-dev (0.0~git20121217.1dbdf83-2)
simple UNIX file system watching library in Go
golang-github-gokyle-twofactor-dev (1.0.1-1.1)
Two-factor authentication
golang-github-golang-freetype-dev (0.0~git20170609.e2365df+dfsg-2)
Freetype font rasterizer in the Go programming language
golang-github-golang-groupcache-dev (0.0~git20200121.8c9f03a-1)
caching and cache-filling library, a replacement for memcached
golang-github-golang-leveldb-dev (0.0~git20161231.0.3435554-2)
Implementation of the LevelDB key/value database in Go
golang-github-golang-mock-dev (1.3.1-2)
mocking framework for the Go programming language
golang-github-golang-snappy-dev (0.0.2-2)
Implementation of the Snappy compression format in Go
golang-github-gomodule-oauth1-dev (0.0~git20181215.9a59ed3-2)
OAuth 1.0 client package for Go
golang-github-gomodule-redigo-dev (1:1.8.3-1)
Go client for Redis
golang-github-google-blueprint-dev (0.0~git20201007.25128be-2)
Blueprint meta build system (library)
golang-github-google-btree-dev (1.0.0-1)
BTree implementation for Go
golang-github-google-cadvisor-dev (0.38.7+ds1-2)
analyze resource usage and performance of running containers
golang-github-google-certificate-transparency-dev (0.0~git20160709.0.0f6e3d1~ds1-3)
Framework for monitoring and auditing SSL certificates
golang-github-google-go-cmp-dev (0.5.4-1)
Package for comparing Go values in tests
golang-github-google-go-dap-dev (0.4.0-2)
Go implementation of the Debug Adapter Protocol (library)
golang-github-google-go-github-dev (32.1.0-2)
Go library for accessing the GitHub API
golang-github-google-go-querystring-dev (1.0.0-1)
library for encoding structs into URL query parameters
golang-github-google-gofuzz-dev (1.2.0-1)
Fuzz testing for Go
golang-github-google-gopacket-dev (1.1.19-1)
packet capturing and decoding library for Go
golang-github-google-jsonapi-dev (0.0~git20171108.0.e0fc4ee-2.1)
jsonapi.org style payload serializer and deserializer
golang-github-google-martian-dev (2.1.0+git20181219.d0b5ad3-3)
Martian is a library for building custom HTTP/S proxies
golang-github-google-pprof-dev (0.0~git20200905.acf8798-2)
pprof is a tool for visualization and analysis of profiling data
golang-github-google-renameio-dev (0.1.0-2)
provides a way to atomically create or replace a file or symbolic link
golang-github-google-shlex-dev (0.0~git20191202.e7afc7f-1)
Simple lexer for Go that supports shell-style rules
golang-github-google-subcommands-dev (1.2.0-1)
go package for add some subcommands to single command
golang-github-google-uuid-dev (1.2.0-1)
generates and inspects UUIDs based on RFC 4122
golang-github-google-wire-dev (0.4.0-3)
Compile-time Dependency Injection for Go (library)
golang-github-googleapis-gax-go-dev (2.0.5-1)
Google API Extensions for Go
golang-github-googleapis-gnostic-dev (0.2.0-2)
compiler for OpenAPI specification - library
golang-github-googlecloudplatform-cloudsql-proxy-dev (1.17.0-5)
connect securely to a 2nd generation Cloud SQL DB (Go library)
golang-github-goombaio-namegenerator-dev (0.0.2-2)
random name generator implementation in Golang
golang-github-gophercloud-gophercloud-dev (0.12.0-1)
OpenStack SDK for Go
golang-github-gophercloud-utils-dev (0.0~git20200508.b0167b9-2)
utils for OpenStack Go library
golang-github-gopherjs-gopherjs-dev (0.0~git20170927.0.4152256-6)
Go to Javascript compiler
golang-github-gorhill-cronexpr-dev (1.0.0+git20180427.88b0669-2)
cron expression parser
golang-github-gorilla-context-dev (1.1-3)
General purpose registry for global request variables
golang-github-gorilla-csrf-dev (1.6.2-2)
Cross Site Request Forgery (CSRF) prevention middleware for Go
golang-github-gorilla-css-dev (1.0.0-2)
CSS3 tokenizer for Go (library)
golang-github-gorilla-handlers-dev (1.4.2-1)
collection of useful handlers for Go's net/http package
golang-github-gorilla-mux-dev (1.7.4-1)
powerful URL router and dispatcher for golang
golang-github-gorilla-pat-dev (0.0~git20160413.0.cf955c3-5)
request router and dispatcher with a pat-like interface
golang-github-gorilla-rpc (0.0~git20160927.22c016f-3)
Transitional package for golang-github-gorilla-rpc-dev
golang-github-gorilla-rpc-dev (0.0~git20160927.22c016f-3)
golang foundation for RPC over HTTP services
golang-github-gorilla-securecookie-dev (1.1.1-1)
provides authenticated and encrypted cookie values
golang-github-gorilla-sessions-dev (1.1+git20170802.7.b61c93c-4)
save cookie and filesystem sessions and allow custom session backends
golang-github-gorilla-websocket-dev (1.4.2-1)
Go package implementing the WebSocket protocol
golang-github-gosexy-gettext-dev (0~git20130221-2.1)
Gettext support for the Go language
golang-github-gosnmp-gosnmp-dev (1.29.0-2)
SNMP library written in Go
golang-github-gosuri-uilive-dev (0.0~git20170323.ac356e6-1.1)
Go library for updating terminal output in realtime
golang-github-gosuri-uiprogress-dev (0.0~git20170224.d0567a9-1.1)
Go library to render progress bars in terminal applications
golang-github-gosuri-uitable-dev (0.0~git20170830.36ee7e94-2.1)
go library to improve readability in terminal apps using tabular data
golang-github-gotestyourself-gotest.tools-dev (3.0.3-1)
collection of go packages to support common testing patterns
golang-github-gotk3-gotk3-dev (0.0~GOTK3~0~2~0+git20170418.0.96d4110-3.1)
Go bindings for GTK3
golang-github-grafana-grafana-plugin-model-dev (0.0~git20200514.df1eb6b-1)
models for Grafana backend plugins
golang-github-graph-gophers-graphql-go-dev (0.0~git20180609.bb97385-2.1)
GraphQL server with a focus on ease of use
golang-github-graylog2-go-gelf-dev (0.0+git20191017.1550ee6-1)
GELF library and writer for Go
golang-github-greatroar-blobloom-dev (0.4.0-3)
Blocked Bloom filters for Go
golang-github-gregjones-httpcache-dev (0.0~git20180305.9cad4c3-1.1)
Transport for http.Client that will cache responses
golang-github-grokify-html-strip-tags-go-dev (0.0~git20180907.e9e4496-1.1)
Golang library to HTML StripTags
golang-github-grpc-ecosystem-go-grpc-middleware-dev (1.2.2-2)
Go gRPC Middlewares: interceptor chaining, auth, logging, retries and more
golang-github-grpc-ecosystem-go-grpc-prometheus-dev (1.2.0+git20191002.6af20e3-3)
Prometheus monitoring for gRPC Go servers
golang-github-grpc-ecosystem-grpc-gateway-dev (1.6.4-2)
gRPC to JSON proxy generator for Golang -- sources
golang-github-grpc-ecosystem-grpc-opentracing-dev (0.0~git20180507.8e809c8-2)
expressive vendor-neutral APIs for distributed tracing and context propagation
golang-github-grpc-grpc-go-dev
本虛擬套件由這些套件填實: golang-google-grpc-dev
golang-github-gtank-cryptopasta-dev (0.0~git20170601.1f550f6-1.1)
copy & paste-friendly golang crypto
golang-github-gucumber-gucumber-dev (0.0~git20160715.0.71608e2-1.1)
Cucumber BDD-style testing for Go -- source
golang-github-guptarohit-asciigraph-dev (0.4.1-2)
Make lightweight ASCII line graph in CLI apps with no other dependencies
golang-github-h2non-parth-dev (2.0.1-2)
Path parsing for segment unmarshaling and slicing
golang-github-hailocab-go-hostpool-dev (0.0~git20160125.0.e80d13c-1.1)
flexibly pool among multiple hosts from Go application
golang-github-hansrodtang-randomcolor-dev (0.0~git20160512.d27108b-3)
random color generator
golang-github-hanwen-go-fuse-dev (2.0.3-1)
Native Go bindings for the FUSE kernel module
golang-github-hanwen-usb-dev (0.0~git20141217.69aee45-2)
CGO bindings for libusb
golang-github-hashicorp-atlas-go-dev (0.0~git20170808.8261ea0-3)
official Go client for HashiCorp's Atlas
golang-github-hashicorp-consul-dev (1.8.7+dfsg1-2)
tool for service discovery, monitoring and configuration (source)
golang-github-hashicorp-errwrap-dev (1.1.0-1)
Errwrap is a Go (golang) library for wrapping and querying errors
golang-github-hashicorp-go-azure-helpers-dev (0.13.1-2)
various helpers and wrappers for working with Azure
golang-github-hashicorp-go-bexpr-dev (0.1.2-2)
generic boolean expression evaluation in Golang
golang-github-hashicorp-go-checkpoint-dev (0.5.0-1)
Go Checkpoint Client
golang-github-hashicorp-go-cleanhttp-dev (0.5.2-1)
Functions for accessing "clean" Go http.Client values
golang-github-hashicorp-go-discover-dev (0.0+git20190905.34a6505-2)
discover nodes in cloud environments
golang-github-hashicorp-go-gcp-common-dev (0.6.0-2)
common code shared by GCP-maintained plugins
golang-github-hashicorp-go-getter-dev (1.4.1-1)
download from a URL using a variety of protocols
golang-github-hashicorp-go-hclog-dev (0.11.0-1)
common logging package for HashiCorp tools
golang-github-hashicorp-go-immutable-radix-dev (1.3.0-1)
immutable radix tree implementation in Golang
golang-github-hashicorp-go-memdb-dev (1.2.1-1)
in-memory database built on immutable radix trees
golang-github-hashicorp-go-msgpack-dev (0.5.5-1)
encode/decode and rpc library for msgpack and Binc
golang-github-hashicorp-go-multierror-dev (1.1.0-1)
Go package for representing a list of errors as a single error
golang-github-hashicorp-go-plugin-dev (1.0.1-3)
Golang plugin system over RPC
golang-github-hashicorp-go-raftchunking-dev (0.6.2-2)
chunking middleware for use by Raft clients
golang-github-hashicorp-go-reap-dev (0.0~git20160113.0.2d85522-3.1)
child process reaping utilities for Go
golang-github-hashicorp-go-retryablehttp-dev (0.6.4-2)
retryable HTTP client in Go
golang-github-hashicorp-go-rootcerts-dev (1.0.2-2)
functions for loading root certificates for TLS connections
golang-github-hashicorp-go-safetemp-dev (1.0.0-2)
Functions for working safely with temporary files and directories
golang-github-hashicorp-go-slug-dev (0.5.0-2)
functions to create slug archives
golang-github-hashicorp-go-sockaddr-dev (0.0~git20170627.41949a1+ds-2)
IP address/UNIX socket convenience functions for Go
golang-github-hashicorp-go-syslog-dev (1.0.0-1)
Golang syslog wrapper, cross-compile friendly
golang-github-hashicorp-go-tfe-dev (0.11.1+git20201207.19dc0b8-2)
Terraform Cloud/Enterprise API Client/SDK in Golang
golang-github-hashicorp-go-uuid-dev (1.0.2-1)
generate UUID-format strings using high quality random bytes
golang-github-hashicorp-go-version-dev (1.2.0-1)
library for parsing and verifying versions and version constraints
golang-github-hashicorp-golang-lru-dev (0.5.4-2)
Golang LRU cache
golang-github-hashicorp-hcl-dev (1.0.0-1.1)
Go implementation of HashiCorp Configuration Language
golang-github-hashicorp-hil-dev (0.0~git20160711.1e86c6b-1)
small embedded language for string interpolations
golang-github-hashicorp-logutils-dev (1.0.0-1)
Utilities for slightly better logging in Go
golang-github-hashicorp-mdns-dev (1.0.3-2)
simple mDNS client/server library in Golang
golang-github-hashicorp-memberlist-dev (0.2.2-1)
Golang package for gossip based membership and failure detection
golang-github-hashicorp-net-rpc-msgpackrpc-dev (0.0~git20151116.0.a14192a-1.1)
Library for creating Go RPC client/server
golang-github-hashicorp-raft-boltdb-dev (0.0~git20171010.6e5ba93-3)
Raft backend implementation using BoltDB
golang-github-hashicorp-raft-dev (1.1.2-1)
implementation of the Raft consensus protocol
golang-github-hashicorp-scada-client-dev (0.0~git20160601.0.6e89678-2.1)
Golang client to the HashiCorp SCADA system
golang-github-hashicorp-serf-dev (0.9.4~ds1-1)
Service orchestration and management tool (source)
golang-github-hashicorp-terraform-json-dev (0.5.0-2)
helper types for the Terraform external data representation
golang-github-hashicorp-terraform-plugin-test-dev (1.3.0+git20200503.328f99a-2)
helper library for testing Terraform plugins
golang-github-hashicorp-terraform-svchost-dev (0.0~git20200729.f050f53-2)
handling of friendly hostnames for terraform
golang-github-hashicorp-yamux-dev (0.0+git20190923.df201c7-1)
Golang connection multiplexing library
golang-github-hawkular-hawkular-client-go-dev (0.6.1-1)
Golang client for Hawkular
golang-github-hectane-go-acl-dev (0.0~git20190604.da78bae-4)
Go library for manipulating ACLs on Windows
golang-github-henrydcase-nobs-dev (0.1+git20200305.7d891c7-5)
NOBS cryptographic primitives library in golang
golang-github-heroku-rollrus-dev (0.0~git20190402.fde2a6b-2)
Logrus Rollbar Hook
golang-github-hetznercloud-hcloud-go-dev (1.17.0-1)
library for the Hetzner Cloud API
golang-github-hillu-go-yara-dev (4.0.4-1)
Go bindings for YARA
golang-github-hinshun-vt10x-dev (0.0~git20180809.d55458d+ds1-2)
vt10x terminal emulation backend
golang-github-hjfreyer-taglib-go-dev (0.0~git20201229.d150ea9-3)
audio tag library in the spirit of taglib
golang-github-hlandau-buildinfo-dev (0.0~git20160722.0.b25d4b0-3)
Go build information utilities
golang-github-hlandau-dexlogconfig-dev (0.0~git20161112.0.244f29b-2)
logging configuration package for Go
golang-github-hlandau-goutils-dev (0.0~git20160722.0.0cdb66a-3)
miscellaneous Go utility packages
golang-github-hlandau-xlog-dev (1.0.0-2)
logging library for Go
golang-github-hmrc-vmware-govcd-dev (0.0.2+git20190404.eea2584-2)
vCloud Air API bindings for Golang
golang-github-howeyc-gopass-dev (0.0~git20190910.7cb4b85+dfsg.1-1)
getpasswd for Go
golang-github-hpcloud-tail-dev
本虛擬套件由這些套件填實: golang-github-influxdata-tail-dev
golang-github-htcat-htcat-dev (1.0.2-1.1)
Parallel and Pipelined HTTP GET Utility for golang
golang-github-huandu-xstrings-dev (1.2.1-1)
Package xstrings: A collection of useful string functions in Go.
golang-github-huin-goupnp-dev (0.0~git20171001.0.b388724-1.1)
UPnP library for Go
golang-github-hydrogen18-stalecucumber-dev (0.0~git20161112.0.1e918be-2.1)
Reader and writer for Python's pickle format in Golang
golang-github-hydrogen18-stoppablelistener-dev (0.0~git20151210.0.dadc9cc-1.1)
stoppable TCP listener in Go
golang-github-iafan-cwalk-dev (0.0~git20191125.dd7f505-2)
Concurrent filepath.Walk replacement
golang-github-ianbruene-go-difflib-dev (1.2.0-2)
partial port of Python difflib package to Go
golang-github-iancoleman-orderedmap-dev (0.2.0-1)
Golang map where the keys keep the order that they're added
golang-github-ianlancetaylor-demangle-dev (0.0~git20200824.28f6c0f-1)
C++ symbol name demangler written in Go
golang-github-icrowley-fake-dev (0.0~git20180203.4178557-3)
fake data generator for Golang
golang-github-imdario-mergo-dev (0.3.8-3)
Functions to merge structs and maps in Go
golang-github-inconshreveable-go-update-dev (0.0~git20160112.0.8152e7e+ds-3)
Build self-updating Golang programs
golang-github-inconshreveable-log15-dev (2.15-1)
structured, composable logging for Go
golang-github-inconshreveable-mousetrap-dev (1.0.0-1)
Go library to detect starting from Windows Explorer
golang-github-inconshreveable-muxado-dev (0.0~git20140312.0.f693c7e-2.1)
Stream multiplexing for Go
golang-github-influxdata-go-syslog-dev (2.0.1-1)
Go parser for syslog messages
golang-github-influxdata-influxql-dev (1.1.0-1)
parser for the InfluxDB query language
golang-github-influxdata-line-protocol-dev (0.0~git20181118.934b9e6-1.1)
InfluxDB line protocol implementation
golang-github-influxdata-tail-dev (1.0.0+git20180327.c434825-4)
Go package for reading from continuously updated files (tail -f)
golang-github-influxdata-tdigest-dev (0.0~git20180711.a7d76c6-1.1)
Ted Dunning's t-digest in Go
golang-github-influxdata-toml-dev (0.0~git20160905.0.ad49a5c-1.1)
TOML parser and encoder library for Golang
golang-github-influxdata-wlog-dev (0.0~git20160411.7c63b0a-1.1)
simple log level based Golang logger
golang-github-influxdata-yamux-dev (0.0~git20171107.1f58ded-5)
Golang connection multiplexing library
golang-github-influxdata-yarpc-dev (0.0.1-1)
Yet Another RPC for Go - dev package
golang-github-influxdb-enterprise-client-dev (0.0~git20151113.0.25665cb-5)
Golang client for speaking to the InfluxDB Enterprise application
golang-github-influxdb-influxdb-dev (1.6.7~rc0-1)
Scalable datastore for metrics, events, and real-time analytics. Dev package
golang-github-influxdb-usage-client-dev (0.0~git20151204.0.475977e-7)
library for speaking to the InfluxDB Anonymous Usage Reporting API
golang-github-insomniacslk-dhcp-dev (0.0~git20200621.d74cd86-1)
DHCPv6 and DHCPv4 packet library, client and server written in Go (library)
golang-github-integrii-flaggy-dev (1.4.0-1)
Idiomatic Go input parsing with subcommands, positional values, and flags
golang-github-intel-tfortools-dev (0.2.0-1.1)
template scripting support to go programs
golang-github-ishidawataru-sctp-dev (0.0+git20190723.7c296d4-3)
SCTP library for the Go programming language
golang-github-issue9-assert-dev (0.0~git20170908.0.ceac1aa-4)
Simple extension to test a series of assert functions
golang-github-issue9-identicon-dev (0.0~git20170630.0.5196591-5)
generate an icon from identity information
golang-github-ivpusic-grpool-dev (1.0.0-1.1)
Lightweight Goroutine pool
golang-github-j-keck-arping-dev (1.0.0-1)
library to ping a host per arp datagram or query a host mac address
golang-github-jackc-fake-dev (0.0~git20150926.812a484-3)
fake data generator for Golang
golang-github-jackc-pgx-dev (3.6.2-2)
PostgreSQL driver and toolkit for Golang
golang-github-jackpal-gateway-dev (1.0.7-1)
library for discovering the address of a LAN gateway
golang-github-jackpal-go-nat-pmp-dev (1.0.2-2)
client for the NAT-PMP internet protocol
golang-github-jacobsa-bazilfuse-dev (0.0~git20150622-4)
fork of bazil.org/fuse for gcsfuse
golang-github-jacobsa-crypto-dev (0.0~git20190317.9f44e2d+dfsg1-3)
Some Go cryptography routines that are not included in the Go standard library
golang-github-jacobsa-fuse-dev (0.0~git20150806.0.9a7512a-4)
writing and mounting user-space file systems from Go
golang-github-jacobsa-gcloud-dev (0.0~git20150709-3)
convenience wrapper around google cloud platform APIs
golang-github-jacobsa-oglematchers-dev (0.0~git20150320-3)
matchers for testing/mocking frameworks such as ogletest
golang-github-jacobsa-oglemock-dev (0.0~git20150428-5)
mocking framework for Go
golang-github-jacobsa-ogletest-dev (0.0~git20170503.80d50a7-2)
unit testing framework for Go
golang-github-jacobsa-reqtrace-dev (0.0~git20150505-6)
simple request tracing
golang-github-jacobsa-syncutil-dev (0.0~git20150615-3)
code that supplements the Go stdlib sync package
golang-github-jacobsa-timeutil-dev (0.0~git20150615-2)
code supplementing Go's time package
golang-github-jacobsa-util-dev (0.0~git20150504-2)
utility code for jacobsa projects
golang-github-jaguilar-vt100-dev (0.0~git20201024.81de19c-2)
raw-mode vt100 screen reader
golang-github-jamesclonk-vultr-dev (2.0.1-1)
Vultr API client library
golang-github-jarcoal-httpmock-dev (1.0.6-1)
HTTP mocking for Golang
golang-github-jasonish-go-idsrules-dev (0.0~git20170503.0.c646b91-2.1)
Go IDS rule parser
golang-github-jaytaylor-html2text-dev (0.0~git20200412.3577fbd-2)
Turns HTML into a plain-text equivalent
golang-github-jbenet-go-context-dev (0.0~git20150711.d14ea06-2)
context.Context extensions
golang-github-jcmturner-gofork-dev (1.0.0-2)
forked and modified go standard library packages to work around issues
golang-github-jdkato-prose-dev (1.2.0-1)
Golang library for text processing
golang-github-jdkato-syllables-dev (0.1.0+git20170409.10.8961fa0-1.1)
Go syllable counter
golang-github-jedisct1-dlog-dev (0.7-1)
Super simple logger for Go
golang-github-jedisct1-go-clocksmith-dev (0.0~git20210101.da382b9-1)
Go sleep-aware sleep() function
golang-github-jedisct1-go-dnsstamps-dev (0.1.3-1)
DNS Stamps library for Go
golang-github-jedisct1-go-minisign-dev (0.1.0-2)
Minisign library for Golang
golang-github-jedisct1-xsecretbox-dev (1.0.1-1)
Go implementation of crypto_secretbox_xchacha20poly1305
golang-github-jeffail-gabs-dev (2.3.0-1)
For parsing, creating and editing unknown or dynamic JSON in Go
golang-github-jefferai-jsonx-dev (1.0.1-2)
Object or JSON -> JSONx
golang-github-jeromer-syslogparser-dev (0~20150717.ff71fe7a-1.1)
syslog parser library
golang-github-jesseduffield-asciigraph-dev (0.4.1+git20190605.6d88e39-2)
Go package to make lightweight ASCII line graph without dependencies
golang-github-jesseduffield-go-getter-dev (0.0~git20180822.906e156-4)
download from a URL using a variety of protocols
golang-github-jesseduffield-gocui-dev (0.3.0+git20190803.ad0cd60-1)
minimalist console user interfaces Go library
golang-github-jesseduffield-pty-dev (1.1.3+git20191112.07ed706-1)
Go package for using Unix pseudo-terminals
golang-github-jesseduffield-roll-dev (0.0~git20190629.695be2e-2)
Simple(er) Rollbar client for Go
golang-github-jesseduffield-rollrus-dev (0.0~git20190701.dd028cb-2)
Logrus Rollbar Hook
golang-github-jesseduffield-termbox-go-dev (0.0~git20180919.1e272ff-2)
pure Go implementation of termbox library
golang-github-jesseduffield-yaml-dev (2.2.2+git20190702.b900b7e-2)
YAML support for the Go language
golang-github-jfbus-httprs-dev (0.0~git20180614.7861a11-1.1)
ReadSeeker for http.Response.Body
golang-github-jfrazelle-go-dev (0.0~git20160303.0.d30aec9-3)
Transitional package for golang-github-docker-go-dev
golang-github-jfrazelle-go-dev
本虛擬套件由這些套件填實: golang-github-docker-go-dev
golang-github-jhoonb-archivex-dev (0.0+20170409-1.1)
archives folders (recursively) and files to zip and tar formats
golang-github-jimstudt-http-authentication-dev (0.0~git20140401.3eca13d-1.1)
Go implementation of RFC 2617 HTTP Authentication
golang-github-jinzhu-gorm-dev (1.9.6-1)
ORM library for Golang
golang-github-jinzhu-inflection-dev (1.0.0-1)
pluralize and singularize English nouns
golang-github-jinzhu-now-dev (1.1.1-1)
time toolkit for golang
golang-github-jlaffaye-ftp-dev (0.0~git20200812.39e3779-1)
FTP client package for Go
golang-github-jmespath-go-jmespath-dev (0.4.0-1)
Golang implementation of JMESPath
golang-github-jmhodges-clock-dev (1.0-3)
Go package for testing time-dependent code
golang-github-jmoiron-sqlx-dev (1.1+git20160206.61.398dd58-2.1)
General purpose extensions to Golang's database/sql library
golang-github-joho-godotenv-dev (1.2.0+git20180115.6bb0851-1)
Go port of Ruby's dotenv library
golang-github-jonas-p-go-shp-dev (0.1.1-2)
library for reading and writing ESRI Shapefiles
golang-github-jonboulle-clockwork-dev (0.1.0-4.1)
Simple fake clock for Go
golang-github-josharian-intern-dev (1.0.0-3)
Intern string golang library
golang-github-jouyouyun-hardware-dev (0.1.6-2+b5)
Golang Library for Get hardware info
golang-github-joyent-gocommon-dev (0.0~git20161202.b787089-3)
common Go library for Joyent's Triton and Manta
golang-github-joyent-gosdc-dev (0.0~git20161202.ec8b350-3)
Go library for interaction with the Joyent CloudAPI
golang-github-joyent-gosign-dev (0.0~git20161114.9abcee2-3)
Go HTTP signing library for Joyent's Triton and Manta
golang-github-jpillora-backoff-dev (1.0.0-1.1)
backoff algorithm in Golang
golang-github-jroimartin-gocui-dev (0.4.0-2)
Minimalist Go package aimed at creating Console User Interfaces
golang-github-json-iterator-go-dev (1.1.10-2)
High-performance drop-in replacement of "encoding/json"
golang-github-jsternberg-zap-logfmt-dev (1.0.0-1.1)
logfmt for zap
golang-github-jtacoma-uritemplates-dev (1.0.0-2)
URI Templates (RFC 6570) implemented in Go
golang-github-jteeuwen-go-bindata-dev (3.0.7+git20151023.72.a0ff256-3)
embed data in a Go program - library package
golang-github-jtolds-gls-dev (4.20.0-2)
Goroutine local storage
golang-github-juju-ansiterm-dev (0.0~git20161107.35c59b9-3)
colored writers and tabwriters
golang-github-juju-errors-dev (0.0~git20170703.0.c7d06af-5)
Common juju errors and functions to annotate errors
golang-github-juju-httpprof-dev (0.0~git20141217.14bf14c-3)
fork of net/http/pprof which works when not at the server's root
golang-github-juju-loggo-dev (0.0~git20170605.8232ab8-4)
logging library for Go
golang-github-juju-ratelimit-dev (1.0.1-1.1)
Efficient token-bucket-based rate limiter module for Go
golang-github-juju-retry-dev (0.0~git20160928.1998d01-3)
encapsulates the mechanism around retrying commands
golang-github-juju-utils-dev (0.0~git20171220.f38c0b0-6)
General utility functions
golang-github-juju-version-dev (0.0~git20161031.1f41e27-4)
intelligent version comparisons
golang-github-julienschmidt-httprouter-dev (1.3.0-1)
High performance HTTP request router for Go that scales well
golang-github-jung-kurt-gofpdf-dev (2.17.2+ds-3)
PDF document generator with high level support for text, drawing and images
golang-github-justinas-alice-dev (1.2.0-2)
Painless middleware chaining for Go
golang-github-jwilder-encoding-dev (0.0~git20170811.b4e1701-4)
library for working with compressed arrays of integers
golang-github-jzelinskie-whirlpool-dev (0.0~git20170603.c19460b-2)
whirlpool cryptographic hashing library
golang-github-k-sone-critbitgo-dev (1.4.0-2)
crit-bit for golang and its applications (sorted map, IP routing table)
golang-github-k0kubun-colorstring-dev (0.0~git20150214.0.9440f19-2.1)
Go library for colorizing strings for terminal output
golang-github-k0kubun-pp-dev (2.3.0-4.1)
Go library to colored pretty printer on terminal
golang-github-k0swe-wsjtx-go-dev (1.1.0-2)
Golang binding for the WSJT-X amateur radio software's UDP interface (library)
golang-github-kardianos-osext-dev (0.0~git20190222.2bc1f35-2)
Extend "os" package with Executable and ExecutableFolder
golang-github-kardianos-service-dev (1.2.0-2)
run Go programs as a service on major platforms
golang-github-karlseguin-ccache-dev (2.0.3-1)
Golang LRU Cache for high concurrency
golang-github-karlseguin-expect-dev (1.0.1+git20160716.12.5c2eadb-2)
Testing framework for Go with more concise syntax
golang-github-karrick-godirwalk-dev (1.15.3-2)
Fast directory traversal for Golang (library)
golang-github-karrick-goswarm-dev (1.4.7-1.1)
Memoization with asynchronous revalidation for Go
golang-github-kballard-go-shellquote-dev (0.0~git20180428.95032a8-1)
Go utilities for performing shell-like word splitting/joining
golang-github-kelseyhightower-envconfig-dev (1.3.0-1.1)
decode environment variables based on a user defined specification
golang-github-keltia-archive-dev (0.8.0-2)
Small Go library for handling archives of various types.
golang-github-kelvins-sunrisesunset-dev (1.0-2)
Go package that provides the sunrise and sunset equation. (library)
golang-github-kentik-patricia-dev (0.0~git20201202.f9447a6-1)
Garbage collector-sensitive patricia tree (library)
golang-github-kevinburke-ssh-config-dev (1.0-1)
Go parser for ssh_config files
golang-github-kimor79-gollectd-dev (1.0.0-6)
go parser for the collectd binary protocol
golang-github-kisielk-gotool-dev (1.0.0-1)
library of some utility functions provided by cmd/go
golang-github-kisielk-sqlstruct-dev (0.0~git20150917.0.0b86a3e-2.1)
convenience functions for using structs with the database/sql package
golang-github-kisom-goutils-dev (0.0~git20161101.0.858c9cb-2.1)
Various TLS certificate tools and other utility libraries for Golang
golang-github-kjk-lzma-dev (1.0.0-7)
port of the lzma compression algorithm
golang-github-klauspost-compress-dev (1.11.7-2)
optimized compression packages
golang-github-klauspost-cpuid-dev (1.3.1+ds-1)
CPU feature identification for Go
golang-github-klauspost-crc32-dev (1.2.0-1)
CRC32 hash with x64 optimizations
golang-github-klauspost-pgzip-dev (1.2.5-1)
parallel gzip (de)compression library for Go
golang-github-klauspost-reedsolomon-dev (1.9.9-1)
Reed-Solomon Erasure Coding in Go
golang-github-knadh-koanf-dev (0.10.0-2)
extensible library for reading config (file, S3 etc.) in Go applications
golang-github-knqyf263-go-cpe-dev (0.0~git20180327.659663f6-4)
golang library for CPE (A Common Platform Enumeration 2.3)
golang-github-knqyf263-go-deb-version-dev (0.0~git20190517.09fca49-1)
golang library for parsing deb package versions
golang-github-knqyf263-go-dep-parser-dev (0.0~git20190521.1ef8521-2)
Golang library for dependency parser
golang-github-knqyf263-go-rpm-version-dev (0.0~git20170716.74609b8-1.1)
golang library for parsing rpm package versions
golang-github-knqyf263-go-version-dev (1.1.1-3)
Go library for parsing and verifying versions, and version constraints
golang-github-knqyf263-gost-dev (0.1.0+git20181204.5afeda5e-1.1)
local copy tool of Security Tracker (Red Hat/Debian) written in go
golang-github-knqyf263-nested-dev (0.0.1-2)
Golang library for easier way to handle the nested data structure
golang-github-kolo-xmlrpc-dev (0.0~git20200310.e035052-1)
Implementation of the XMLRPC client protocol in Go
golang-github-kong-go-kong-dev (0.15.0-1)
Go binding for Kong's admin API (library)
golang-github-konsorten-go-windows-terminal-sequences-dev (1.0.2-3)
Enable support for Windows Terminal Colors
golang-github-koofr-go-httpclient-dev (0.0~git20190818.e0dc8fd-2)
Go HTTP client
golang-github-koofr-go-koofrclient-dev (0.0~git20190724.8e5366d-1)
Go Koofr client
golang-github-kori-go-listenbrainz-dev (0.0~git20190329.2d7276a-2)
Go wrapper for the ListenBrainz API.
golang-github-kotakanbe-go-cve-dictionary-dev (0.3.1-4)
builds a local copy of the NVD/JVN (source)
golang-github-kotakanbe-go-pingscanner-dev (0.1.0+git20160420.1.58e188a-3.1)
Go library to scan live hosts by ping command
golang-github-kotakanbe-goval-dictionary-dev (0.2.0-4)
Go library for create DB of data written in OVAL (source)
golang-github-kotakanbe-logrus-prefixed-formatter-dev (0.0~git20170801.0.75edb2e8-4.1)
Go package for Logrus Prefixed Log Formatter
golang-github-kr-binarydist-dev (0.1.0-1)
Go implementation of the bspatch algorithm
golang-github-kr-fs-dev (0.1.0-1)
Provides filesystem-related functions for Go
golang-github-kr-pretty-dev (0.2.1+git20200831.59b4212-1)
Pretty printing for Go values
golang-github-kr-pty-dev (1.1.6-1)
Go package for using unix pseudo-terminals
golang-github-kr-text-dev (0.2.0-1)
Go package for manipulating paragraphs of text
golang-github-kubernetes-gengo-dev (0.0~git20170531.0.c79c13d-1)
Library for generating code based on Go files
golang-github-kurin-blazer-dev (0.4.4-1.1)
Go library for Backblaze's B2
golang-github-kylelemons-godebug-dev (1.1.0-1)
Debugging helper utilities for Go
golang-github-kyoh86-xdg-dev (1.2.0-2)
helper functions in golang to get xdg config, data and cache files
golang-github-kyokomi-emoji-dev (2.2.8-1)
Go library for printing emoji as Unicode characters
golang-github-la5nta-wl2k-go-dev (0.7.3+really0.7.2-1)
Winlink framework for Go (library)
golang-github-labstack-echo.v2-dev (2.2.0-2)
Echo is a fast and unfancy HTTP server framework for Golang
golang-github-labstack-echo.v3-dev (3.3.10-1)
Echo is a fast and unfancy HTTP server framework for Golang
golang-github-labstack-gommon-dev (0.2.6-2)
common package that provides parser, coloring, logging for go
golang-github-leemcloughlin-gofarmhash-dev (0.0~git20160919.0.0a055c5-5)
Implements Google's Farmhash in Golang
golang-github-leemcloughlin-jdn-dev (0.0~git20201102.6f88db6-2)
Julian Day Numbers for Go (library)
golang-github-leodido-go-urn-dev (1.2.1-2)
Go parser for Uniform Resource Names
golang-github-leodido-ragel-machinery-dev (0.0~git20181214.299bdde-1.1)
Machineries for development of ragel parsers
golang-github-lestrrat-go-pdebug-dev (0.0~git20160817.0.2e6eaaa-1.1)
Print debugging library for Go
golang-github-letsencrypt-challtestsrv-dev (1.2.0+ds-2)
ACME challenge mock server
golang-github-lib-pq-dev (1.3.0-1)
Pure Go Postgres driver for Go’s database/sql package
golang-github-libvirt-libvirt-go-dev (6.0.0+git20200210.224cad8-2)
Go bindings for libvirt
golang-github-linuxdeepin-go-x11-client-dev (0.6.3-1)
deepin go x11 client
golang-github-linuxkit-virtsock-dev (0.0~git20170720.0.0416e3d-1.1)
Go bindings for Hyper-V and virtio sockets
golang-github-lk4d4-joincontext-dev (0.0+git20171026.1724345-2)
Golang library to join contexts
golang-github-logrusorgru-aurora-dev (3.0.0-1)
Golang ultimate ANSI-colors that supports Printf/Sprintf methods (library)
golang-github-logrusorgru-grokky-dev (0.0~git20180829.47edf01-2)
pure Golang Grok-like library
golang-github-lpabon-godbc-dev (1.0+git20140613.1.9577782-1.1)
Design-by-contract library for Go
golang-github-lsegal-gucumber-dev (0.0~git20160715.0.71608e2-1.1)
Transitional package for golang-github-gucumber-gucumber-dev
golang-github-lsegal-gucumber-dev
本虛擬套件由這些套件填實: golang-github-gucumber-gucumber-dev
golang-github-lucas-clemente-quic-go-dev (0.19.3-1)
QUIC golang library
golang-github-lucasb-eyer-go-colorful-dev (1.0.3-1)
Library for handling color spaces in Go
golang-github-lunixbochs-vtclean-dev (1.0.0-1)
strips terminal escapes from text, can preserve color
golang-github-lunny-log-dev (0.0~git20160921.0.7887c61-6)
Logging library with sqlite support for Go
golang-github-lunny-nodb-dev (0.0~git20160621.0.fc1ef06-5)
Nosql database with kv, list, hash, zset, bitmap, set
golang-github-machinebox-graphql-dev (0.2.2-1.1)
simple low-level GraphQL HTTP client for Go
golang-github-magiconair-properties-dev (1.8.4-1)
Java properties scanner for Go
golang-github-mailru-easyjson-dev (0.7.6-2)
Fast JSON serializer for golang - development files
golang-github-makenowjust-heredoc-dev (0.0~git20140704.0.1d91351-1.1)
Convert strings to here documents in Go
golang-github-malfunkt-iprange-dev (0.9.0-2)
IPv4 address parser for the nmap format
golang-github-manifoldco-promptui-dev (0.8.0-2)
Interactive prompt for command-line applications (library)
golang-github-manyminds-api2go-dev (1.0-RC4-1)
JSONAPI.org implementation for Go
golang-github-maraino-go-mock-dev (0.0~git20180321.4c74c43-2)
mocking framework for the Go programming language
golang-github-markbates-goth-dev (1.42.0-6)
Multi-provider authentication for Go
golang-github-markbates-inflect-dev (1.0.4-1)
Go library to transform words from singular to plural (Git fork)
golang-github-marstr-collection-dev (0.3.3+git20171004.e631537-1.1)
implementation of a few basic data structures
golang-github-marten-seemann-qpack-dev (0.2.1+ds-1)
minimal QPACK implementation in Go
golang-github-marten-seemann-qtls-dev (0.10.0-1)
TLS 1.3 implementation, modified for QUIC
golang-github-marten-seemann-qtls-go1-15-dev (0.1.1-2)
Go standard library TLS 1.3 implementation, modified for QUIC (library)
golang-github-martinlindhe-base36-dev (1.0.0-2)
Base36 implementation in golang (library)
golang-github-maruel-panicparse-dev (1.3.0-4)
Crash your app in style (Golang)
golang-github-masterminds-semver-dev (1.4.2-2)
Semantic versions library in Go
golang-github-masterminds-vcs-dev (1.12.0-4)
VCS Repository Management for Go
golang-github-masterzen-simplexml-dev (0.0~git20190410.31eea30-1)
Go library to generate XML content from a naive DOM
golang-github-masterzen-winrm-dev (0.0~git20200615.c42b513-1)
Windows remote command execution library for Go
golang-github-masterzen-xmlpath-dev (0.0~git20140218.13f4951-4)
subset of the XPath specification for Go (deprecated)
golang-github-matryer-is-dev (1.4.0-1)
professional lightweight testing mini-framework for Go
golang-github-matryer-try-dev (1+git20161228.6.9ac251b-2.1)
Simple idiomatic retry package for Go
golang-github-mattetti-filebuffer-dev (1.0.1-1)
implementation of a few file-like interfaces
golang-github-mattn-go-colorable-dev (0.1.7-1)
Golang library to colorable writer for windows
golang-github-mattn-go-ieproxy-dev (0.0~git20191113.7c0f686-2)
Go package to detect the proxy settings on Windows platform (library)
golang-github-mattn-go-isatty-dev (0.0.12-1)
Golang library to implementation isatty interface
golang-github-mattn-go-pointer-dev (0.0~git20180825.49522c3-2)
utility for cgo
golang-github-mattn-go-runewidth-dev (0.0.9-1)
functions to get fixed width of the character or string
golang-github-mattn-go-shellwords-dev (1.0.10-2)
parse line as shell words in Golang
golang-github-mattn-go-sqlite3-dev (1.6.0~ds1-2)
sqlite3 driver for go that using database/sql
golang-github-mattn-go-tty-dev (0.0.3-2)
Go tty library
golang-github-mattn-go-zglob-dev (0.0~git20171230.4959821-1.1)
glob library that descends into other directories
golang-github-mcuadros-go-gin-prometheus-dev (0.1.0+git20190723.c7374e9-3)
Gin Web Framework Prometheus metrics exporter
golang-github-mcuadros-go-lookup-dev (0.0~git20171110.5650f26-2)
Small library on top of reflect for make lookups to any Structs or Maps
golang-github-mcuadros-go-version-dev (0.0~git20161105.0.257f7b9-5)
version normalizer and comparison library for Go
golang-github-mdlayher-arp-dev (0.0~git20191213.f72070a-2)
Go module for the ARP protocol
golang-github-mdlayher-ethernet-dev (0.0~git20190606.0394541-2)
Marshaling and unmarshaling of Ethernet frames and VLAN tags
golang-github-mdlayher-raw-dev (0.0~git20191009.50f2db8-2)
Read and write data at the raw network interface device level
golang-github-mendersoftware-mender-artifact-dev (3.4.0-1)
Library for managing Mender artifact files
golang-github-mendersoftware-openssl-dev (1.1.0-2)
OpenSSL bindings for Go.
golang-github-mesos-mesos-go-dev (0.0.6+dfsg-2)
Go language bindings for Apache Mesos
golang-github-mgutz-ansi-dev (0.0~git20170206.9520e82-5)
Small, fast library to create ANSI colored strings and codes
golang-github-mgutz-str-dev (1.2.0-2)
comprehensive set of string functions
golang-github-mgutz-to-dev (1.0.0-2)
Convenient type conversion utilities for Go
golang-github-michaeltjones-walk-dev (0.0~git20161122.4748e29-2)
Fast parallel version of golang filepath.Walk()
golang-github-microcosm-cc-bluemonday-dev (1.0.2-4)
Go library for scrubbing user generated data of unapproved html
golang-github-miekg-dns-dev (1.1.35-1)
DNS protocol library for Go
golang-github-miekg-mmark-dev (1.3.6+dfsg-2)
Markdown processor in Go geared for the IETF (Go library)
golang-github-miekg-pkcs11-dev (1.0.3+dfsg1-1)
pkcs11 wrapper for Go
golang-github-minio-blake2b-simd-dev (0.0~git20160723.3f5f724-2)
Fast hashing using pure Go implementation of BLAKE2b with SIMD instructions
golang-github-minio-dsync-dev (0.0~git20170209.0.b9f7da7-2)
Distributed sync package for Go
golang-github-minio-md5-simd-dev (1.1.1-1)
SIMD accelerated MD5 package
golang-github-minio-minio-go-dev (6.0.53-1)
Go library for Amazon S3 compatible cloud storage
golang-github-minio-minio-go-v7-dev (7.0.5-2)
Go library for Amazon S3 compatible cloud storage
golang-github-minio-sha256-simd-dev (0.1.1-1)
implementation of SHA256 using SIMD instructions for Intel and ARM
golang-github-miolini-datacounter-dev (1.0.2-2)
Golang counters for readers/writers (library)
golang-github-miscreant-miscreant.go-dev (0.0~git20200214.26d3763-3)
misuse-resistant encryption library
golang-github-mitch000001-go-hbci-dev (0.3.2-2)
client library to use the HBCI standard
golang-github-mitchellh-cli-dev (1.1.1-1)
library for implementing command-line interfaces
golang-github-mitchellh-colorstring-dev (0.0~git20150917.0.8631ce9-1.1)
Golang library for colorizing strings for terminal output
golang-github-mitchellh-copystructure-dev (0.0~git20161013.0.5af94ae-2.1)
library for deep copying values in Go
golang-github-mitchellh-go-fs-dev (0.0~git20180402.b7b9ca4-1)
Filesystem library for Go, implementing FAT filesystems so far
golang-github-mitchellh-go-homedir-dev (1.1.0-1)
Go library for detecting and expanding the user's home directory
golang-github-mitchellh-go-linereader-dev (0.0~git20190213.1b945b3-3)
read lines from an io.Reader and puts them onto a channel
golang-github-mitchellh-go-ps-dev (0.0~git20150710.0.e6c6068-1.1)
Find, list, and inspect processes from Go (golang)
golang-github-mitchellh-go-testing-interface-dev (1.14.1-1)
library to expose *testing.T as an interface
golang-github-mitchellh-go-vnc-dev (0.0~git20150629.723ed98-1)
VNC client and server library for Go
golang-github-mitchellh-go-wordwrap-dev (1.0.1-1)
Go package for wrapping words into multiple lines
golang-github-mitchellh-hashstructure-dev (1.0.0-1.1)
generate hash values for arbitrary values in Golang
golang-github-mitchellh-iochan-dev (1.0.0-1)
Go library for turning `io.Reader` into channels
golang-github-mitchellh-mapstructure-dev (1.3.3-1)
library for decoding generic map values into native Go structures
golang-github-mitchellh-multistep-dev (0.0~git20170316.391576a-3)
library for building up complex actions using discrete steps
golang-github-mitchellh-panicwrap-dev (0.0~git20191104.b3f3dc3-1)
library for catching and handling panics in Go applications
golang-github-mitchellh-prefixedio-dev (0.0~git20190213.5733675-1)
library that demultiplexes line-oriented data from an io.Reader
golang-github-mitchellh-reflectwalk-dev (1.0.1-1)
library for "walking" complex structures, similar to walking a filesystem
golang-github-mkrautz-goar-dev (0.0~git20150919.282caa8-1.1)
library for reading and writing ar archives in Go
golang-github-mmcdole-gofeed-dev (1.1.0-1)
Parse RSS and Atom feeds in Go (library)
golang-github-mmcdole-goxpp-dev (0.0~git20200921.2f3784f-1)
Go XML Pull Parser
golang-github-mmcloughlin-avo-dev (0.0~git20200523.4439b6b-6)
Generate x86 Assembly with Go (library)
golang-github-moby-spdystream-dev
本虛擬套件由這些套件填實: golang-github-docker-spdystream-dev
golang-github-moby-sys-dev (0.0~git20201113.5a29239-1)
Library to parse mount info and mount filesystems
golang-github-moby-term-dev (0.0~git20201110.bea5bbe-1)
utilities for dealing with terminals
golang-github-modern-go-concurrent-dev (1.0.3-1.1)
Modern-go concurrency utilities
golang-github-modern-go-reflect2-dev (1.0.1-1)
Reflection API without runtime reflect.Value cost
golang-github-mohae-deepcopy-dev (0.0~git20170929.c48cc78-2)
create deep copies of things
golang-github-montanaflynn-stats-dev (0.6.4-2)
Statistics package for Go
golang-github-morikuni-aec-dev (1.0.0-2)
Golang wrapper for ANSI escape code
golang-github-moul-http2curl-dev (0.0~git20161031.0.4e24498+dfsg-1.1)
Go package for convert Golang's http.Request to CURL command line
golang-github-mozillazg-go-httpheader-dev (0.2.1-2)
Go library for encoding structs into header fields
golang-github-mozillazg-go-pinyin-dev (0.18.0+ds.1-1)
Chinese pinyin conversion library for Golang.
golang-github-mozqnet-go-exploitdb-dev (0.0~git20181130.7c961e7-1.1)
builds a local copy of the Exploit-DB (OffensiveSecurity) (source)
golang-github-mreiferson-go-httpclient-dev (0.0~git20160630.0.31f0106-2)
Go HTTP client with timeouts
golang-github-mreiferson-go-snappystream-dev (0.2.3-2)
Go package for framed snappy streams
golang-github-mrjones-oauth-dev (0.0~git20170225.0.3f67d9c-5)
OAuth 1.0 Library for Go
golang-github-mrunalp-fileutils-dev (0.5.0-1)
collection of utilities for file manipulation in golang
golang-github-mssola-user-agent-dev (0.5.1-1)
HTTP User Agent parser for Golang
golang-github-msteinert-pam-dev (0.0~git20170830.0.f4cd9f5-4)
Go wrapper module for the PAM API
golang-github-muesli-crunchy-dev (0.2-2)
finds common flaws in passwords
golang-github-muesli-goprogressbar-dev (0.1+git20180221.8ba3888-2)
Print progress bars on the console with Go
golang-github-muesli-smartcrop-dev (0.3.0+dfsg1-1)
smartcrop finds good image crops for arbitrary crop sizes
golang-github-muesli-termenv-dev (0.7.4-1)
Advanced ANSI style & color support for your terminal applications
golang-github-munnerz-goautoneg-dev (0.0~git20191010.a7dc8b6-2)
golang functions for HTTP Content-Type autonegotiation (library)
golang-github-mvdan-fdroidcl-dev (0.5.0-3)
fdroidcl develpoment files
golang-github-mvdan-xurls-dev (1.1.0-1)
extract urls from text
golang-github-mvo5-goconfigparser-dev (0.2.2-1)
Python compatible INI parser
golang-github-mvo5-uboot-go-dev (0.4+git256976a-2)
Read/write uboot environment
golang-github-mwitkow-go-conntrack-dev (0.0~git20190716.2f06839-2)
Go middleware for net.Conn tracking
golang-github-mxk-go-flowrate-dev (0.0~git20140419.0.cca7078-1.1)
Go package for limiting and monitoring data flow rate
golang-github-namsral-flag-dev (1.7.4~alpha+git20170814.67f268f-3)
Parse flags, environment variables and config files
golang-github-naoina-go-stringutil-dev (0.1.0-4)
Faster string utilities implementation for Go
golang-github-nats-io-go-nats-dev (1.6.0+git20181112.9089d12-1.1)
Golang client for NATS, the cloud native messaging system
golang-github-nats-io-nkeys-dev (0.0~git20181103.f9a6cff-1.1)
public-key signature system based on Ed25519
golang-github-nats-io-nuid-dev (1.0.0+git20180712.3024a71-1.1)
NATS Unique Identifiers
golang-github-nbio-st-dev (0.0~git20140626.e9e8d98-2)
Simple Test micro-framework for Go
golang-github-nbutton23-zxcvbn-go-dev (0.1-2.1)
Strong password generator in Go
golang-github-ncabatoff-go-seq-dev (0.0~git20180805.b08ef85-3)
sequence go values to allow sorting them (library)
golang-github-ncw-go-acd-dev (0.0~git20171120.887eb06-1)
Go library for accessing Amazon Cloud Drive
golang-github-ncw-swift-dev (1.0.52-1)
Go language interface to Swift / Openstack / Rackspace cloud files
golang-github-nebulouslabs-bolt-dev (1.0+git20170823.0.a22e934-1.1)
low-level key/value database for Go
golang-github-nebulouslabs-demotemutex-dev (0.0~git20151003.0.235395f-1.1)
Allow an RWMutex writelock to be demoted to a readlock.
golang-github-nebulouslabs-ed25519-dev (0.0~git20150728.0.0e573b1-1.1)
Go implementation of the Ed25519 signature algorithm
golang-github-nebulouslabs-entropy-mnemonics-dev (0.0~git20170316.0.7b01a64-1.1)
Human readable entropy.
golang-github-nebulouslabs-errors-dev (0.0~git20170430.98e1f05-1.1)
errors with context, composition, and easy error checking
golang-github-nebulouslabs-fastrand-dev (0.0~git20170512.60b6156-1)
10x faster than crypto/rand
golang-github-nebulouslabs-go-upnp-dev (0.0~git20171003.0.1be4557-1.1)
Opinionated interface to Internet Gateway Devices
golang-github-nebulouslabs-merkletree-dev (0.0~git20170901.0.8482d02-1.1)
Go package for working with Merkle trees
golang-github-neelance-astrewrite-dev (0.0~git20160511.0.9934826-5)
Go tool to walk & rewrite AST
golang-github-neelance-sourcemap-dev (0.0~git20151028.0.8c68805-5)
Javascript source map reader and writer for Go
golang-github-neowaylabs-wabbit-dev (0.0~git20180530.0.d089945-1.1)
Golang AMQP mocking library
golang-github-nesv-go-dynect-dev (0.6.0+git20190806.63e11f6-2)
Go client library for Dyn's DynECT API
golang-github-netflix-go-expect-dev (0.0~git20201125.85d881c-2)
expect-like golang library to automate terminal/console interactions
golang-github-nfnt-resize-dev (0.0~git20180221.83c6a99-3)
Pure golang image resizing
golang-github-ngaut-deadline-dev (0.0~git20170224.0.71c16b1-7)
deadline reader/writer
golang-github-ngaut-go-zookeeper-dev (0.0~git20150813.0.9c3719e-6)
Native ZooKeeper client for Go
golang-github-ngaut-log-dev (0.0~git20170307.0.d2af3a6-5)
Provides a simple log wrapper for ngaut libraries
golang-github-ngaut-pools-dev (0.0~git20141008.0.6352e00-5)
provides pools for resources
golang-github-ngaut-sync2-dev (0.0~git20141008.0.7a24ed7-7)
Additional synchronization primitives extracted from Vitess
golang-github-nicksnyder-go-i18n.v2-dev (2.1.1-2)
Translate Go program into multiple languages
golang-github-nightlyone-lockfile-dev (0.0~git20170804.6a197d5-1.1)
Golang library to handle locking via pid files
golang-github-niklasfasching-go-org-dev (1.4.0-1)
Org mode parser with HTML & pretty-printed Org rendering (Go library)
golang-github-nkovacs-streamquote-dev (1.0.0-2)
Go package providing a streaming version of strconv.Quote
golang-github-nlopes-slack-dev (0.1.0-1.1)
Go library for Slack API
golang-github-nozzle-throttler-dev (1.1-2)
Fill the gap between WaitGroup and manually monitor goroutines with channels
golang-github-nrdcg-desec-dev (0.5.0-2)
Go library for accessing the deSEC API
golang-github-nrdcg-goinwx-dev (0.8.1-1.1)
INWX Go API client
golang-github-nsf-termbox-go-dev (0.0~git20160914-3)
pure Go implementation of termbox library
golang-github-nu7hatch-gouuid-dev (0.0~git20131221.0.179d4d0-5)
pure Go UUID implementation as specified in RFC 4122
golang-github-nwidger-jsoncolor-dev (20161209-2)
Produce colorized json output for Go
golang-github-nxadm-tail-dev (1.4.5+ds1-4)
Go package for reading from continuously updated files
golang-github-nytimes-gziphandler-dev (1.1.1-1)
Golang middleware to gzip HTTP responses
golang-github-odeke-em-cache-dev (0.0~git20151107.0.baf8e436-2)
Simple cache with expirable values
golang-github-odeke-em-cli-spinner-dev (0.0~git20150423.610063b-4)
Simple spinner library for commandline
golang-github-odeke-em-command-dev (0.0~git20151021.0.91ca5ec-2)
cli subcommands for Go
golang-github-odeke-em-ripper-dev (0.0~git20150415.0.bd1a682-3)
scrape licenses out of files -- library
golang-github-ogier-pflag-dev (0.0~git20160129.0.45c278a-4)
POSIX/GNU-style command-line flags for Go
golang-github-oklog-run-dev (1.1.0-1)
Universal mechanism to manage goroutine lifecycles
golang-github-oklog-ulid-dev (2.0.2+ds-2)
ULID generation library for Golang
golang-github-okzk-sdnotify-dev (0.0~git20180710.d9becc3-3)
systemd's service notification protocol (sd_notify)
golang-github-oleiade-reflections-dev (0.1.2+git20131121.2.632977f-2)
high level abstractions over Golang reflect library
golang-github-olekukonko-tablewriter-dev (0.0.4-1)
generate ASCII tables in Golang
golang-github-olekukonko-ts-dev (0.0~git20140412.0.ecf753e-2)
Simple go library to get Terminal Size
golang-github-oneofone-xxhash-dev (1.2.4-1.1)
native implementation of the excellent XXHash hashing algorithm
golang-github-onsi-ginkgo-dev (1.14.2-1)
BDD Testing Framework for Go (development files)
golang-github-op-go-logging-dev (1+git20160315.970db52-2)
Golang logging library
golang-github-opencontainers-go-digest-dev (1.0.0-1)
Common digest package used across the container ecosystem
golang-github-opencontainers-image-spec-dev (1.0.1-5)
Open Container Initiative (OCI) Image Format Specification
golang-github-opencontainers-runc-dev (1.0.0~rc93+ds1-5+deb11u3)
Open Container Project - development files
golang-github-opencontainers-runtime-spec-dev
本虛擬套件由這些套件填實: golang-github-opencontainers-specs-dev
golang-github-opencontainers-runtime-tools-dev (0.9.0+dfsg-2)
OCI Runtime Tools
golang-github-opencontainers-selinux-dev (1.8.0-1)
common selinux implementation
golang-github-opencontainers-specs-dev (1.0.2.41.g7413a7f-1+deb11u1)
Open Container Specifications
golang-github-opennota-urlesc-dev (0.0~git20160726.0.5bd2802-1.1)
Proper URL escaping as per RFC3986 for Go
golang-github-openpeedeep-xdg-dev (0.2.0-2)
Cross platform package that follows the XDG Standard
golang-github-openprinting-goipp-dev (1.0.0-1)
IPP core protocol in pure Go Library (RFC 8010)
golang-github-openshift-api-dev (4.0+git20190508.81d064c-4)
OpenShift API definitions
golang-github-openshift-imagebuilder-dev (1.1.6-2)
Builds container images using Dockerfile as imput
golang-github-opensuse-umoci-dev (0.4.7+ds-2)
umoci development files
golang-github-opentracing-contrib-go-stdlib-dev (1.0.0-1)
OpenTracing instrumentation for packages in the Go stdlib
golang-github-opentracing-opentracing-go-dev (1.2.0-1)
Go platform API for OpenTracing
golang-github-openzipkin-zipkin-go-dev (0.1.5+git20190103.2fd7f4a-1.1)
Zipkin tracer library for go
golang-github-optiopay-kafka-dev (2.1.1-1)
Go client library for Apache Kafka
golang-github-oschwald-geoip2-golang-dev (1.4.0-1)
Unofficial MaxMind GeoIP2 Reader for Go
golang-github-oschwald-maxminddb-golang-dev (1.8.0-1)
MaxMind DB Reader for Go
golang-github-osrg-gobgp-dev (2.25.0-2)
BGP implemented in Go (source)
golang-github-ostreedev-ostree-go-dev (0.0+git20190702.759a8c1-4)
Golang bindings for httt://github.com/ostreedev/ostree
golang-github-otiai10-copy-dev (1.2.0-2)
Golang copy directory recursively (library)
golang-github-ovh-go-ovh-dev (0.0~git20181109.ba5adb4-5)
Simple go wrapper for the OVH API
golang-github-oxtoacart-bpool-dev (0.0~git20150712.4e1c556-1.1)
Buffer/Byte pool for Go
golang-github-packer-community-winrmcp-dev (0.0~git20180921.c76d91c-1)
copy files to remote hosts using WinRM (Go library)
golang-github-packethost-packngo-dev (0.2.0-2)
Golang API client library for Packet (cloud provider)
golang-github-parnurzeal-gorequest-dev (0.2.15-1.1)
Go library for simplified HTTP client
golang-github-pascaldekloe-goe-dev (0.1.0-2)
enterprise tooling library for Golang
golang-github-patrickmn-go-cache-dev (2.1.0-1.1)
in-memory key:value store/cache (similar to Memcached)
golang-github-paulbellamy-ratecounter-dev (0.2.0+git20170719.a803f0e-4)
thread-safe RateCounter implementation in Golang
golang-github-paulrosania-go-charset-dev (0.0~git20151028.0.621bb39-3)
character set conversion for Go
golang-github-paypal-gatt-dev (0.0~git20151011.4ae819d-3)
building Bluetooth Low Energy peripherals
golang-github-pborman-uuid-dev (1.2.0-2)
Go bindings to work with UUIDs
golang-github-pd0mz-go-maidenhead-dev (0.0~git20170221.faa09c2-3)
Maidenhead Locator system in Golang (library)
golang-github-pearkes-cloudflare-dev (0.0~git20160103.765ac18-3)
Cloudflare library written in Go
golang-github-pearkes-dnsimple-dev (0.0~git20161003.0adf7d0-3)
DNSimple library written in Go
golang-github-pelletier-go-buffruneio-dev (0.2.0-1)
wrapper around bufio to provide buffered runes access
golang-github-pelletier-go-toml (1.8.1-1+b6)
Go library for the TOML format — command-line programs
golang-github-pelletier-go-toml-dev (1.8.1-1)
Go library for the TOML format
golang-github-petar-gollrb-dev (0.0~git20130427.0.53be0d3+dfsg-5)
LLRB implementation of balanced binary search trees for Go
golang-github-peterbourgon-diskv-dev (3.0.0-2)
disk-backed key-value store
golang-github-peterh-liner-dev (0.0~git20171122.3681c2a-3)
Command line editor with history
golang-github-peterhellberg-link-dev (1.0.0-4)
Go package for parsing link headers
golang-github-philhofer-fwd-dev (1.1.1-1)
Buffered Reader/Writer
golang-github-phpdave11-gofpdi-dev (1.0.13-1)
Go Free PDF Document Importer
golang-github-pierrec-lz4-dev (2.5.2-1)
LZ4 compression and decompression in pure Go
golang-github-pierrec-xxhash-dev (0.1.1-4)
pure Go implementation of xxHash (32 and 64 bits versions)
golang-github-pingcap-check-dev (0.0~git20170902.0.4c65d06-5)
rich testing extension for Go's testing package
golang-github-pires-go-proxyproto-dev (0.4.2-1)
golang library of PROXY protocol for v1 and v2
golang-github-pivotal-golang-clock-dev (0.0~git20151018.0.3fd3c19-2.1)
Time provider and clock testing library for Go
golang-github-pkg-errors-dev (0.9.1-1)
Simple error handling primitives for Go
golang-github-pkg-profile-dev (1.2.1-2)
Simple profiling for Go
golang-github-pkg-sftp-dev (1.10.1-1)
SFTP support for the golang.org/x/crypto/ssh package
golang-github-pkg-term-dev (1.1.0-4~deb11u1)
package term manages POSIX terminals
golang-github-pkg-xattr-dev (0.4.2-1)
Extended attribute support for Go
golang-github-pmezard-go-difflib-dev (1.0.0-3)
partial port of Python difflib package to Go
golang-github-pmylund-go-cache-dev (0.0~git20150304-2)
Go library for in-memory key/value store similar to memcached
golang-github-pointlander-compress-dev (1.1.0-5)
parallelized modular compression library
golang-github-pointlander-jetset-dev (1.0.0-4)
JetSet is a compressed set of numbers
golang-github-pointlander-peg-dev (1.0.0-5)
implementation of a Packrat parser generator - dev package
golang-github-posener-complete-dev (1.2.3-2)
bash completion written in go
golang-github-powerman-check-dev (1.2.1-2)
Helpers to complement Go testing package
golang-github-pquerna-cachecontrol-dev (0.0~git20171018.0dec1b3-1.1)
HTTP Cache-Control Parser and Interpretation
golang-github-pquerna-ffjson-dev (0.0~git20181028.e517b90-1.1)
faster JSON serialization for Go
golang-github-pquerna-otp-dev (1.0.0+git20170913.6.c70cbf6-4)
Google Authenticator compatible one time passwords for Go
golang-github-proglottis-gpgme-dev (0.1.1-1)
Go wrapper for the GPGME library
golang-github-prometheus-alertmanager-dev (0.21.0+ds-4)
handle and deliver alerts created by Prometheus -- source
golang-github-prometheus-client-golang-dev (1.9.0-2)
Prometheus instrumentation library for Go applications
golang-github-prometheus-client-model-dev (0.2.0-2)
data model artifacts for Prometheus
golang-github-prometheus-common-dev (0.15.0-2)
common libraries for Prometheus components
golang-github-prometheus-exporter-toolkit-dev (0.5.1-2+deb11u2)
Go library for Prometheus exporters
golang-github-prometheus-procfs-dev (0.3.0-2)
Golang library to retrieve metrics from the proc pseudo-filesystem
golang-github-prometheus-prom2json-dev (1.3.0+ds1-2)
tool to scrape a Prometheus client and dump the result as JSON (library)
golang-github-protonmail-go-autostart-dev (0.0~git20181114.c527205-2.1)
Go library to run a command after login
golang-github-puerkitobio-goquery-dev (1.1.0+git20170324.3.ed7d758-1.1)
jQuery-style HTML manipulation in Go
golang-github-puerkitobio-purell-dev (1.1.1-1)
tiny Go library to normalize URLs
golang-github-puerkitobio-urlesc-dev
本虛擬套件由這些套件填實: golang-github-opennota-urlesc-dev
golang-github-putdotio-go-putio-dev (0.0~git20190822.19b9c63-2)
Put.io Go API client
golang-github-pzhin-go-sophia-dev (0.0~git20191015.0.afcd224-5+b6)
Golang binding for the Sophia database
golang-github-qor-inflection-dev (0.0~git20151009.0.3272df6-2)
Pluralizes and singularizes English nouns
golang-github-quobyte-api-dev (0.0~git20160913.0.bf713b5-1.1)
Quobyte API client for Go
golang-github-racksec-srslog-dev (0.0~git20180709.a4725f0-1)
replacement for the standard Golang library "log/syslog"
golang-github-raintank-met-dev (0.0~git20161103.0.05a94bb-2.1)
wrapper around metric client libraries for Go
golang-github-rainycape-unidecode-dev (0.0~git20150906.0.c9cf8cd-6)
replace non-ASCII characters with their ASCII approximations
golang-github-rakyll-globalconf-dev (0.0~git20140819-2)
Effortlessly persist/retrieve flags in Go programs
golang-github-rakyll-statik-dev (0.1.1-5)
embed static files into a Go executable. Dev package
golang-github-rclone-rclone-dev (1.53.3-1)
go source code of rclone
golang-github-rcrowley-go-metrics-dev (0.0~git20180125.8732c61-3)
Application level metrics capturing library for Go
golang-github-remeh-sizedwaitgroup-dev (0.0~git20180822.5e7302b-1.1)
Go library for limiting the amount of concurrent goroutines
golang-github-remyoudompheng-bigfft-dev (0.0+git20190728.6a916e3-1)
Golang big integer multiplication library
golang-github-remyoudompheng-go-liblzma-dev (0.0~git20190506.81bf2d4-3)
Go bindings for XZ Utils/liblzma
golang-github-renekroon-ttlcache-dev (2.3.0+ds-1)
In-memory string-interface{} map with expiration for Golang
golang-github-renstrom-dedent-dev (1.0.0+git20150819.3.020d11c-1.1)
Remove any common leading whitespace from multiline strings
golang-github-restic-chunker-dev (0.4.0-2)
implementation of Content Defined Chunking (CDC) in Go
golang-github-retailnext-hllpp-dev (1.0.0+git20170901.6e8b6d3-3)
HyperLogLog++ cardinality estimation algorithm
golang-github-revel-pathtree-dev
本虛擬套件由這些套件填實: golang-github-robfig-pathtree-dev
golang-github-revel-revel-dev (1.0.0-2)
high productivity, full-stack web framework for the Go language
golang-github-rfjakob-eme-dev (1.1.1-1)
EME wide-block encryption for Go
golang-github-rhnvrm-simples3-dev (0.6.1-1)
AWS S3 Golang Library
golang-github-rican7-retry-dev (0.1.0-2)
Go library to perform actions repetitively
golang-github-rickb777-plural-dev (1.2.1-2)
Simple Go API for pluralisation. (library)
golang-github-rifflock-lfshook-dev (2.2-1.1)
local filesystem hook for logrus (Go library)
golang-github-rivo-tview-dev (0.0~git20210122.745e4ce-1)
Rich interactive widgets for terminal-based UIs in Go
golang-github-rivo-uniseg-dev (0.2.0-1)
Unicode Text Segmentation for Go
golang-github-riywo-loginshell-dev (0.0~git20190610.2ed199a-3)
Golang library to get the login shell of the current user
golang-github-rjeczalik-notify-dev (0.9.2-2)
file system event notification library on steroids
golang-github-roaringbitmap-roaring-dev (0.4.21-1)
Roaring bitmaps in Go
golang-github-robertkrimen-otto-dev (0.0~git20200922.ef014fd-1)
JavaScript parser and interpreter in Go
golang-github-robfig-config-dev (0.0~git20141208-4)
Configuration file parser for INI format
golang-github-robfig-cron-dev (3.0.1-1)
cron library for go
golang-github-robfig-go-cache-dev (0.0.0+git20130306.9fc39e0-3)
in-memory key:value store/cache library for Go
golang-github-robfig-pathtree-dev (0.0~git20140121-4)
Go library for fast lookups using trees
golang-github-rogpeppe-fastuuid-dev (0.0~git20150106.0.6724a57-2.1)
fast generation of 192-bit UUIDs
golang-github-rogpeppe-go-charset-dev
本虛擬套件由這些套件填實: golang-github-paulrosania-go-charset-dev
golang-github-rogpeppe-go-internal-dev (1.6.2-1)
Selected Go-internal packages factored out from the standard library
golang-github-rootless-containers-proto-dev (0.1.0-2)
Protobuf descriptions for rootless containers purposes
golang-github-rootless-containers-rootlesskit-dev (0.14.2-1)
Linux-native "fake root" for rootless containers (library)
golang-github-rs-cors-dev (1.7.0-2)
net/http configurable handler to handle CORS requests
golang-github-rs-xid-dev (1.1-5)
globally unique id generator thought for the web
golang-github-rs-zerolog-dev (1.20.0-1)
Zero Allocation JSON Logger
golang-github-rsc-devweb (0.0.0+2016.01.15.git.29cc9e1b05-2+b6)
Development web server
golang-github-rubenv-sql-migrate-dev (0.0~git20191213.0633851-2)
SQL schema migration tool for Go (library)
golang-github-rubyist-tracerx-dev (0.0~git20170927.0.7879593-1)
Output tracing information in your Go app based on environment variables
golang-github-russellhaering-goxmldsig-dev (1.1.0-1+deb11u1)
Pure Go implementation of XML Digital Signatures
golang-github-russross-blackfriday-dev (1.6.0-1)
Blackfriday: a markdown processor for Go
golang-github-russross-blackfriday-v2-dev (2.0.1-3)
Blackfriday: a markdown processor for Go version 2
golang-github-ruudk-golang-pdf417-dev (0.0~git20181029.1af4ab5-2)
PDF417 barcodes in Golang
golang-github-rwcarlsen-goexif-dev (0.0~git20190401.9e8deec-1)
Decode embedded EXIF meta data from image files with Go
golang-github-ryanuber-columnize-dev (2.1.1-2)
Easy column formatted output for golang
golang-github-ryanuber-go-glob-dev (1.0.0-2)
Basic string globs in golang
golang-github-ryszard-goskiplist-dev (0.0~git20150312.2dfbae5-2)
A skip list implementation in Go
golang-github-sabhiram-go-gitignore-dev (1.0.2-2)
gitignore parser for go
golang-github-safchain-ethtool-dev (0.0~git20201023.874930c-1)
simple ethtool-like library for Go
golang-github-sahilm-fuzzy-dev (0.1.0-1.1)
Go library for fuzzy string matching
golang-github-saintfish-chardet-dev
本虛擬套件由這些套件填實: golang-github-gogits-chardet-dev
golang-github-samalba-dockerclient-dev (0.0~git20160531.0.a303626-2)
Docker client library in Go
golang-github-samuel-go-zookeeper-dev (0.0~git20180130.c4fab1a-1)
native ZooKeeper client for Go
golang-github-sanity-io-litter-dev (1.3.0-1)
Pretty printer library for Go data structures to aid in debugging and testing
golang-github-sap-go-hdb-dev (0.100.10-2)
SAP HANA Database Client for Go
golang-github-saracen-walker-dev (0.1.2-1)
walker is a faster, parallel version, of filepath.Walk
golang-github-sasha-s-go-deadlock-dev (0.1.0-4)
Online deadlock detection in go
golang-github-satori-go.uuid-dev (1.2.0-2)
Go package for creating and parsing UUID
golang-github-satta-ifplugo-dev (0.0~git20200508.ca679be-2)
ifplugd-powered network link status notification for Go
golang-github-schollz-closestmatch-dev (2.1.0-2)
Golang library for fuzzy matching within a set of strings
golang-github-scylladb-termtables-dev (0.0~git20191203.c4c0b6d-2)
Fast and simple ASCII table generation.
golang-github-sean--pager-dev (0.0~git20180208.666be9b-3)
pager support for Go
golang-github-sean--seed-dev (0.0~git20170313.e2103e2-3)
securely seed Go's random number generator
golang-github-seandolphin-bqschema-dev (1.0.0-1)
Go library to process Google Big Query
golang-github-sebest-xff (0.0~git20160910.6c115e0-1.1+b5)
Golang Middleware to handle X-Forwarded-For Header
golang-github-sebest-xff-dev (0.0~git20160910.6c115e0-1.1)
Golang Middleware to handle X-Forwarded-For Header (development files)
golang-github-seccomp-containers-golang-dev (0.3.2-1)
libseccomp mappings in Golang
golang-github-seccomp-libseccomp-golang-dev (0.9.1-2)
golang bindings for libseccomp
golang-github-segmentio-kafka-go-dev (0.2.1-1.1)
Kafka library in Go
golang-github-seiflotfy-cuckoofilter-dev (0.0~git20201222.bc60055-1)
Bloom filter replacement using cuckoo hashing
golang-github-serenize-snaker-dev (0.0~git20170425.0.1c7f653-1.1)
Convert camel cased strings to snake case and back
golang-github-sergi-go-diff-dev (1.1.0-1)
Diff, match and patch text in Go
golang-github-sethvargo-go-fastly-dev (1.2.1+git20190805.5c6c8bd-2)
Fastly API client library
golang-github-sevlyar-go-daemon-dev (0.1.5-1)
library for writing system daemons
golang-github-shenwei356-bio-dev (0.0~git20201213.18e3e64-1)
lightweight and high-performance bioinformatics package in Golang (library)
golang-github-shenwei356-bpool-dev (0.0~git20160710.f9e0ee4-2)
Buffer/Byte pool for Go (library)
golang-github-shenwei356-breader-dev (0.0~git20170924.21f0a70-2)
buffered file reader library for Go
golang-github-shenwei356-bwt-dev (0.0~git20200418.ae79c98-2)
Burrows-Wheeler Transform and FM-index in golang (library)
golang-github-shenwei356-natsort-dev (0.0~git20190418.600d539-2)
natural strings sorting in Go (library)
golang-github-shenwei356-util-dev (0.0~git20201231.861956c-1)
Golang utility packages (library)
golang-github-shenwei356-xopen-dev (0.0~git20181203.f4f16dd-2)
open files for buffered reading and writing in Go (library)
golang-github-shibukawa-configdir-dev (0.0~git20170330.e180dbd-1.1)
multi platform library of configuration directories for Golang
golang-github-shiena-ansicolor-dev (0.0~git20151119.0.a422bbe-3)
Ansicolor library for Golang
golang-github-shirou-gopsutil-dev (2.19.11-4)
Go package implementing psutils
golang-github-shogo82148-go-shuffle-dev (0.0~git20180218.27e6095-1)
Primitives for shuffling slices and user-defined collections in Go
golang-github-shopify-logrus-bugsnag-dev (0.0~git20171204.577dee2-2)
logrus-bugsnag is a hook that interfaces Logrus with Bugsnag
golang-github-shopify-sarama-dev (1.22.1-1)
Go library for Apache Kafka
golang-github-shopspring-decimal-dev (1.2.0-1)
arbitrary-precision fixed-point decimal numbers in go
golang-github-showmax-go-fqdn-dev (1.0.0-4)
Golang library to provide local machine FQDN
golang-github-shurcool-gopherjslib-dev (0.0~git20200209.30f639d-3)
library that provides helpers for in-process GopherJS compilation
golang-github-shurcool-httpfs-dev (0.0~git20190707.8d4bc4b-2)
Go library for working with the http.FileSystem interface
golang-github-shurcool-httpgzip-dev (0.0~git20190516.1c7afaa-2)
go library for use gzip compression when serving HTTP requests
golang-github-shurcool-sanitized-anchor-name-dev (1.0.0-1)
Go package for creating sanitized anchor names
golang-github-siddontang-go-dev (0.0~git20170517.0.cb568a3-5)
Collection of siddontang libraries for Go
golang-github-siddontang-go-snappy-dev (0.0~git20140704.0.d8f7bb8-5)
Snappy compression format in Go
golang-github-siddontang-goredis-dev (0.0~git20150324.0.760763f-5)
Redis client implementation in Go
golang-github-siddontang-rdb-dev (0.0~git20150307.0.fc89ed2-6)
Read Redis rdb files in Go
golang-github-sirupsen-logrus-dev (1.7.0-2)
Logrus: a logging library for Go
golang-github-sjoerdsimons-ostree-go-dev (0.0~git20201014.8fae757-2)
Golang bindings for OSTree
golang-github-skarademir-naturalsort-dev (0.0~git20150715.0.69a5d87-1.1)
simple natural sorter for Go Strings
golang-github-skratchdot-open-golang-dev (0.0~git20160302.0.75fb7ed-2)
library to open an URI in the user's preferred application
golang-github-smallfish-simpleyaml-dev (0.0~git20170911.a320310-2)
Go package to interact with arbitrary YAML
golang-github-smartystreets-assertions-dev (1.10.1+ds-1)
fluent assertion-style functions
golang-github-smartystreets-go-aws-auth-dev (1.0.0-1)
Go library to signs requests to Amazon Web Services
golang-github-smartystreets-goconvey-dev (1.6.4+dfsg-1)
Go testing in browser - sources
golang-github-smartystreets-gunit-dev (1.2.0+git20180314.6f0d627-2.1)
xUnit-style test fixture adapter for go test
golang-github-smira-commander-dev (0.0~git20140515.f408b00-1.1)
library to provide command-line commands and sub-commands
golang-github-smira-flag-dev (0.0~git20170926.695ea5e-1.1)
flag with a Get() interface{} method
golang-github-smira-go-aws-auth-dev (0.0~git20160320.0070896-1.1)
signs requests to Amazon Web Services
golang-github-smira-go-ftp-protocol-dev (0.0~git20140829.066b75c-2)
plugin for http.Transport with support for ftp:// protocol
golang-github-smira-go-xz-dev (0.0~git20150414.0c531f0-2.1)
simple .xz decompression using external program (xz --decompress)
golang-github-snapcore-snapd-dev (2.49-1+deb11u2)
snappy development go packages.
golang-github-socketplane-libovsdb-dev (0.1+git20160503.9.d4b9e7a53548-2.1)
OVSDB client library written in Go
golang-github-soheilhy-cmux-dev (0.1.4-2)
serve different services on the same port
golang-github-soniah-dnsmadeeasy-dev (1.1+git20150117.5578a8c-2)
DNSMadeEasy library written in Go
golang-github-soniah-gosnmp-dev (1.29.0-2)
Transitional dummy package
golang-github-spacejam-loghisto-dev (0.0~git20150819.0.3233097-2)
counters and logarithmically bucketed histograms for distributed systems
golang-github-spaolacci-murmur3-dev (1.1-3)
Native MurmurHash3 Go implementation
golang-github-spf13-afero-dev (1.5.1-1)
FileSystem Abstraction System for Go
golang-github-spf13-cast-dev (1.3.1-1)
Safe and easy casting from one type to another in Go
golang-github-spf13-cobra-dev (1.1.2-1)
Go library for creating powerful modern Go CLI applications
golang-github-spf13-fsync-dev (0.9.0-1)
Keeps files or directories in sync for Go
golang-github-spf13-jwalterweatherman-dev (1.1.0+really1.1.0-1)
Go library for both printing to the terminal and logging to files
golang-github-spf13-nitro-dev (0.0~git20131003.0.24d7ef3-4.1)
Quick and easy performance analyzer library for Go
golang-github-spf13-pflag-dev (1.0.5-2)
Drop-in replacement for Go's flag package, implementing POSIX/GNU-style --flags
golang-github-spf13-viper-dev (1.7.1-1)
Go configuration with fangs
golang-github-spkg-bom-dev (0.0~git20160624.59b7046-2)
Strip UTF-8 byte order marks
golang-github-src-d-gcfg-dev (1.4.0-2)
reads INI-style configuration files into Go structs
golang-github-ssgelm-cookiejarparser-dev (1.0.0-2)
Go library that parses a curl cookiejar file into a Go http.CookieJar
golang-github-ssor-bom-dev (0.0~git20170718.0.6386211-5)
small Go library to clean bom from byte array or reader
golang-github-stacktic-dropbox-dev (0.0~git20160424.0.58f839b-2)
Go client library for the Dropbox core and Datastore API
golang-github-stathat-go-dev (0.0~git20130314.0.01d012b-2.1)
Go package for reporting stat counts and values to StatHat
golang-github-steveyen-gtreap-dev (0.0~git20150807.0.0abe01e-5)
gtreap is an immutable treap implementation in the Go Language
golang-github-stevvooe-resumable-dev (0.0~git20150521.0.51ad441-2.1)
Subset of the Go `crypto` Package with a Resumable Hash Interface
golang-github-stevvooe-ttrpc-dev
本虛擬套件由這些套件填實: golang-github-containerd-ttrpc-dev
golang-github-streadway-amqp-dev (0.0~git20200716.e6b33f4-2)
Go client for AMQP 0.9.1
golang-github-stretchr-objx-dev (0.3.0-1)
Go package for dealing with maps, slices, JSON and other data
golang-github-stretchr-testify-dev (1.6.1-2)
sacred extension to the standard Go testing package
golang-github-stvp-go-udp-testing-dev (0.0~git20150316.0.abcd331-3)
UDP test helpers for Go
golang-github-stvp-roll-dev (0.0~git20170522.3627a5c-2)
Simple(er) Rollbar client for Go
golang-github-stvp-tempredis-dev (0.0~git20160122.0.83f7aae-2.1)
Go package to start and stop temporary redis-server processes
golang-github-suapapa-go-eddystone-dev (1.3.1-1)
golang library for supporting Eddystone
golang-github-subosito-gotenv-dev (1.2.0+git20190917.de67a66-2)
Load environment variables from `.env` or `io.Reader` in Go.
golang-github-surma-gocpio-dev (1.1.0+git20160926.fcb6877-1.1)
Go package for cpio archives
golang-github-svanharmelen-jsonapi-dev (1.0.0+git20180618.0c0828c-2)
jsonapi.org style payload serializer and deserializer
golang-github-svent-go-flags-dev (1-2)
go library for parsing command line arguments
golang-github-svent-go-nbreader-dev (0~20150201-3)
non-blocking io.Reader for go
golang-github-sylabs-json-resp-dev (0.6.0-2)
marshall and unmarshall response data and errors in JSON format
golang-github-sylabs-sif-dev (1.0.9-2.1)
reference implementation of the Singularity Image Format (SIF)
golang-github-syncthing-notify-dev (0.0~git20190709.69c7a95-1)
File system event notification library on steroids
golang-github-syncthing-syncthing-dev (1.12.1~ds1-4)
decentralized file synchronization - dev package
golang-github-syndtr-goleveldb-dev (0.0~git20200815.5c35d60-1)
LevelDB key/value database in Go
golang-github-tarm-serial-dev (0.0+git20151113-5.1)
Go package for serial port communucation
golang-github-tatsushid-go-prettytable-dev (0.0~git20141013.ed2d14c-2)
Builds a simple text table with a multibyte, doublewidth character support
golang-github-tchap-go-patricia-dev (2.2.6-5)
golang-go-patricia is a generic patricia trie (also called radix tree).
golang-github-tcnksm-go-gitconfig-dev (0.1.2-1.1)
Golang library for gitconfig values
golang-github-tdewolff-minify-dev (2.7.2-1)
Go minifiers for web formats
golang-github-tdewolff-parse-dev (2.4.2-1)
Go parsers for web formats
golang-github-tdewolff-test-dev (1.0.6-1)
Go test helper functions
golang-github-tealeg-xlsx-dev (1.0.3+git20181024.dbf71b6-1.1)
golang library for reading and writing XLSX files
golang-github-teambition-rrule-go-dev (1.6.0-2)
Go library for working with recurrence rules for calendar dates. (library)
golang-github-templexxx-cpufeat-dev (0.0~git20170927.0.3794dfb-5)
internal/cpu in Go
golang-github-templexxx-reedsolomon-dev (0.1.1+git20170927.7092926-4)
Reed-Solomon Erasure Code engine in Go
golang-github-templexxx-xor-dev (0.1.2-5)
XOR in Go, more than 10GB/s per core
golang-github-tent-canonical-json-go-dev (0.0~git20130607.0.96e4ba3-3)
Canonical JSON marshaling in Go
golang-github-tent-http-link-go-dev (0.0~git20130702.0.ac974c6-6)
HTTP Link parsing in Go
golang-github-teris-io-shortid-dev (1.0+git20171029.771a37c-3)
auper short, fully unique, non-sequential and URL friendly Ids
golang-github-terra-farm-udnssdk-dev (1.3.5-1)
ultradns SDK
golang-github-tevino-abool-dev (0.0~git20170917.9b9efcf-2)
Atomic Boolean library, optimized for performance yet simple to use
golang-github-thcyron-uiprogress-dev (0.0~git20171218.25e98ff-2)
render progress bars in terminal applications
golang-github-thecreeper-go-notify-dev (0.0~git20160203.0.b5cd147-4.1)
implementation of the GNOME DBus Notifications Specification
golang-github-thedevsaddam-gojsonq-dev (2.5.2-2)
Simple Go package to query over JSON data (library)
golang-github-thejerf-suture-dev (4.0.0-2)
supervisor trees for Go
golang-github-theupdateframework-notary-dev
本虛擬套件由這些套件填實: golang-github-docker-notary-dev
golang-github-thomsonreuterseikon-go-ntlm-dev (0.0~git20151030.0.b00ec39-1.1)
NTLM Implementation for Go
golang-github-throttled-throttled-dev (2.2.4-1)
rate limiting access to resources such as HTTP endpoints
golang-github-tideland-golib-dev (4.24.2-1)
collection of misc Go packages
golang-github-tidwall-btree-dev (0.3.0-1)
B-Tree implementation for Go
golang-github-tidwall-buntdb-dev (1.1.7-1)
embeddable, in-memory key/value database for Go
golang-github-tidwall-gjson-dev (1.6.7-1)
JSON parser for Go
golang-github-tidwall-grect-dev (0.1.0-1)
Get the outer rectangle from GeoJSON, WKT, WKB
golang-github-tidwall-match-dev (1.0.3-1)
simple string pattern matcher for Go
golang-github-tidwall-pretty-dev (1.0.5-1)
Efficient JSON beautifier and compactor for Go
golang-github-tidwall-rtree-dev (0.0~git20180113.6cd4270-2)
RTree implementation for Go
golang-github-tidwall-tinyqueue-dev (0.1.1-2)
Binary heap priority queues in Go
golang-github-timberio-go-datemath-dev (0.1.0+git20200323.74ddef6-2)
Go library for parsing Elasticsearch datemath expressions
golang-github-tinylib-msgp-dev (1.0.2-3)
Go code generator for MessagePack (source)
golang-github-tjfoc-gmsm-dev (1.3.0+ds-1)
GM SM2/3/4 library based for Golang
golang-github-tmc-grpc-websocket-proxy-dev (0.0~git20200427.3cfed13-2)
proxy to upgrade grpc-gateway streaming endpoints to use websockets
golang-github-tmc-scp-dev (0.0+20170825-1.1)
basic implementation of scp for go
golang-github-tobi-airbrake-go-dev (0.0~git20150109-2)
Go library to report exceptions and errors to the Airbrake service
golang-github-tonistiigi-fifo-dev (0.0~git20161203.0.fe870cc-1.1)
Go package for handling FIFOs in a sane way
golang-github-tonistiigi-fsutil-dev (0.0~git20200331.f427cf1-2)
Incremental file directory sync tools (library)
golang-github-tonistiigi-units-dev (0.0~git20180711.6950e57-2)
Simple byte size formatting library
golang-github-toqueteos-webbrowser-dev (1.2.0+git20190725.dc97d39-2)
Convenient Web-browser controller library for Go
golang-github-ttacon-chalk-dev (0.1-2)
Go library for prettifying terminal/console output
golang-github-tv42-httpunix-dev (0.0~git20150427.b75d861-2)
library to talk HTTP over Unix domain sockets
golang-github-twinj-uuid-dev (0.10.0+git20160909.96.7bbe408-6)
RFC 4122 and DCE 1.1 compliant UUIDs in Go
golang-github-twstrike-gotk3adapter-dev (0.0~git20170505.0.901a95d+ds-3.1)
adapters and interfaces for gotk3
golang-github-twstrike-otr3-dev (0.0~git20161015.0.744856d-3.1)
Go implementation of the OTR 3 protocol
golang-github-ua-parser-uap-go-dev (0.0~git20200325.e1c09f1-2)
Go implementation of ua-parser
golang-github-uber-go-atomic-dev (1.7.0-1)
Wrapper types for sync/atomic which enforce atomic access
golang-github-ubuntu-core-snappy-dev (2.49-1+deb11u2)
transitional dummy package
golang-github-ugorji-go-codec (1.1.7-2+b6)
idiomatic codec and rpc lib for msgpack, cbor, json (codecgen)
golang-github-ugorji-go-codec-dev (1.1.7-2)
idiomatic codec and rpc lib for msgpack, cbor, json (library)
golang-github-ugorji-go-msgpack-dev (0.0~git20130605.792643-5)
encode/decode and rpc library for msgpack and Binc
golang-github-ulikunitz-xz-dev (0.5.6-2)
Pure golang package for reading and writing xz-compressed files
golang-github-ulule-limiter-dev (3.3.3-1)
Dead simple rate limit middleware for Go
golang-github-ungerik-go-sysfs-dev (0.0~git20190613.7f098dd-1)
Go package for Linux sysfs
golang-github-unknwon-com-dev (1+git20170819.7677a1d-2)
commonly used functions for Golang
golang-github-unknwon-goconfig-dev (0.0~git20160828.0.5aa4f8c-3)
configuration file (.ini) parser
golang-github-unknwon-i18n-dev (0.0~git20170218.0.8372b90-8)
Internationalization and Localization in Go
golang-github-unknwon-paginater-dev (0.0~git20170405.0.45e5d63-6)
Helper module for custom pagination calculation
golang-github-urfave-cli-dev (1.22.4-2)
package for building command line apps in Go
golang-github-urfave-cli-v2-dev (2.2.0-3)
package for building command line apps in Go (version 2)
golang-github-urfave-negroni-dev (0.2.0-1.1)
Idiomatic HTTP Middleware for Golang
golang-github-valyala-bytebufferpool-dev (1.0.0-2)
Anti-memory-waste byte buffer pool for go
golang-github-valyala-fasthttp-dev (20160617-2.1)
Fast HTTP library for Go
golang-github-valyala-fastjson-dev (1.6.3-3)
fast JSON parser and validator for Go (library)
golang-github-valyala-fastrand-dev (1.0.0-3)
fast and scalable pseudorandom generator for Go (library)
golang-github-valyala-fasttemplate-dev (1.2.1-1)
Simple and fast template engine for Go
golang-github-valyala-gozstd-dev (1.9.0+ds-7)
go wrapper for zstd (library)
golang-github-valyala-histogram-dev (1.1.2+ds-3)
fast histograms for Go (library)
golang-github-valyala-quicktemplate-dev (1.6.3+ds-3)
fast, powerful, yet easy to use template engine for Go (lborary)
golang-github-valyala-tcplisten-dev (0.0~git20161114.ceec8f9-2)
Customizable TCP net.Listener for Go (library)
golang-github-varlink-go-dev (0.4.0-1)
Golang implementation of the Varlink protocol
golang-github-vaughan0-go-ini-dev (0.0~git20130923.0.a98ad7e-3)
INI parsing library for Go
golang-github-vbatts-go-mtree-dev (0.4.4-2)
file systems verification library, in likeness of mtree(8)
golang-github-vbatts-tar-split-dev (0.11.1-2)
tar archive assembly/disassembly (source)
golang-github-vbauerster-mpb-dev (5.0.3-2)
multi progress bar for Go cli applications
golang-github-viant-assertly-dev (0.5.4-1)
Arbitraty datastructure validation golang library
golang-github-viant-toolbox-dev (0.33.2-3)
Toolbox - golang utility library
golang-github-victoriametrics-fastcache-dev (1.5.7-2)
fast thread-safe in-memory cache for big number of entries in Go (library)
golang-github-victoriametrics-metrics-dev (1.13.1+ds-1)
lightweight alternative to prometheus/client_golang (library)
golang-github-victoriametrics-metricsql-dev (0.10.0+ds-1)
standalone PromQL and MetricsQL parser (library)
golang-github-victoriametrics-victoriametrics-dev (1.53.1+ds-1)
fast, cost-effective and scalable time series database (library)
golang-github-viki-org-dnscache-dev (0.0~git20130720.0.c70c1f2-1.1)
DNS cache for Go
golang-github-vimeo-go-magic-dev (1.0.0-1.1)
Go bindings for libmagic
golang-github-vishvananda-netlink-dev (1.1.0-2)
netlink library for go
golang-github-vishvananda-netns-dev (0.0~git20200728.db3c7e5-1)
network namespaces in go
golang-github-vitrun-qart-dev (0.1-4)
generates not-so-ugly qr codes
golang-github-vividcortex-ewma-dev (1.1.1-2)
Exponentially Weighted Moving Average algorithms for Go
golang-github-vividcortex-godaemon-dev (0.0~git20201215.eda9777-1)
Daemonize Go applications deviously
golang-github-vividcortex-mysqlerr-dev (0.0~git20200629.c28746d-1)
MySQL Server Error Constants
golang-github-vjeantet-grok-dev (1.0.0-3)
simple library to use/parse grok patterns with Go
golang-github-vmihailenco-tagparser-dev (0.1.1-2)
Golang tag parser
golang-github-vmware-govmomi-dev (0.23.0-1)
Go library for the VMware vSphere API
golang-github-vmware-photon-controller-go-sdk-dev (0.0~PROMOTED-339-1.1)
VMware Photon Controller API library
golang-github-voxelbrain-goptions-dev (2.5.11-2.1)
flexible Go parser for command line options
golang-github-vultr-govultr-dev (0.4.2-2)
Vultr Go API client
golang-github-weaveworks-mesh-dev (0.1+git20180323.0c91e69-1)
go library to build distributed systems
golang-github-wellington-go-libsass-dev (0.9.2+git20181130.4ef5b9d-1.1)
Go wrapper for libsass, the only Sass 3.5 compiler for Go
golang-github-weppos-dnsimple-go-dev (0.0~git20160204.0.65c1ca7-2)
DNSimple API v1 client for Go
golang-github-wildducktheories-go-csv-dev (0.0~git20170625.a843eda-3)
tools for manipulating CSV files
golang-github-willf-bitset-dev (1.1.11-1)
Implements bitsets, a mapping between non-negative integers and boolean values
golang-github-willf-bloom-dev (2.0.3+git20190228.25ba46e-2)
Go package implementing Bloom filters
golang-github-willfaught-gockle-dev (0.0~git20160623.4f254e1-1.1)
simpler and mockable gocql
golang-github-wsxiaoys-terminal-dev (0.0~git20160513.0.0940f3f-1.1)
Colorful terminal output for Golang
golang-github-x86kernel-htmlcolor-dev (0.0~git20190529.c589f58-2)
HTML syntax highlighter for Go
golang-github-xanzy-go-cloudstack-dev (2.9.0-1)
Golang API client to interact with Apache CloudStack
golang-github-xanzy-ssh-agent-dev (0.2.1-1)
Go library for creating SSH agents
golang-github-xeipuuv-gojsonpointer-dev (0.0~git20190905.02993c4-1)
JSON Pointer implementation in Golang
golang-github-xeipuuv-gojsonreference-dev (0.0~git20180127.bd5ef7b-2)
JSON Reference implementation in Golang
golang-github-xeipuuv-gojsonschema-dev (1.2.0-1)
implementation of JSON Schema, draft v4
golang-github-xenolf-lego-dev (3.2.0-3.1)
Let's Encrypt ACME library
golang-github-xi2-xz-dev (0.0~git20171230.48954b6-1.1)
native Go XZ decompression
golang-github-xiang90-probing-dev (0.0.2-1)
Go library for HTTP probing
golang-github-xiaq-persistent-dev (0.0~git20200820.3175cfb-1)
Persistent data structure in Go
golang-github-xlab-handysort-dev (0.0~git20150421.fb3537e-3)
Alphanumeric string sorting algorithm implementation in Go
golang-github-xlab-treeprint-dev (0.0~git20181112.a009c39-1)
simple ASCII tree composing tool
golang-github-xlzd-gotp-dev (0.0~git20181030.c8557ba-3)
Golang OTP (One-Time Password) Library
golang-github-xo-terminfo-dev (0.0~git20210125.ca9a967-1)
terminfo package in pure go
golang-github-xorcare-pointer-dev (1.1.0+git20200211.75cc9bc-2)
for simplifying the creation of optional fields of basic type
golang-github-xordataexchange-crypt (0.0.2+git20170626.21.b2862e3-3+b5)
Store/retrieve encrypted configs from etcd or Consul (CLI tool)
golang-github-xordataexchange-crypt-dev (0.0.2+git20170626.21.b2862e3-3)
Store/retrieve encrypted configs from etcd or Consul (Go library)
golang-github-xorpaul-uiprogress-dev (0.0~git20170224.d0567a9-1.1)
Go library to render progress bars in terminal applications
golang-github-xrash-smetrics-dev (0.0~git20170218.a3153f7-2)
String metrics library written in Go
golang-github-xtaci-kcp-dev (5.6.1-1)
Full-Featured Reliable-UDP Library for golang
golang-github-xtaci-smux-dev (1.5.15+ds-1)
Simple Multiplexing for golang
golang-github-xtaci-tcpraw-dev (1.2.25-2)
Packet-oriented connection golan library by simulating TCP
golang-github-xyproto-pinterface-dev (2.0-2)
Interface types for simple* and permission* packages
golang-github-xyproto-simpleredis-dev (2.0-3)
easy way to use Redis from Go
golang-github-yl2chen-cidranger-dev (1.0.2-1)
Fast IP to CIDR lookup in Golang
golang-github-ymomoi-goval-parser-dev (0.0~git20170813.0.0a0be1d-2.1)
OVAL parser written in go
golang-github-yohcop-openid-go-dev (0.0~git20170901.0.cfc72ed-4)
OpenID 2.0 implementation in Go
golang-github-yosssi-ace-dev (0.0.5-3.1)
HTML template engine for Go (library package)
golang-github-yosssi-ace-proxy-dev (0.0~git20141007.0.ecd9b78-5.1)
Proxy for the Ace template engine (Go library)
golang-github-yosssi-gohtml-dev (0.0~git20180130.97fbf36-1.1)
HTML formatter for Go
golang-github-youmark-pkcs8-dev (1.1-2)
Go package to parse and convert private keys in PKCS#8 format (library)
golang-github-yourbasic-graph-dev (1.0.5-2)
graph algorithms and data structures
golang-github-yudai-gojsondiff-dev (1.0.0+git20180504.0525c87-2)
compare two JSON strings
golang-github-yudai-golcs-dev (0.0~git20170316.ecda9a5-3)
Go Longest Common Subsequence
golang-github-yuin-gluare-dev (0.0~git20170607.d7c94f1-2)
Regular expression library for the GopherLua
golang-github-yuin-goldmark-dev (1.3.2-1)
CommonMark compliant and extensible Markdown parser written in Go
golang-github-yuin-goldmark-highlighting-dev (0.0~git20200218.d1af22c-1)
syntax highlighting extension for the goldmark Markdown parser
golang-github-yuin-gopher-lua-dev (0.0~git20170915.0.eb1c729-4)
virtual machine and compiler for Lua in Go
golang-github-yvasiyarov-newrelic-platform-go-dev (0.0~git20160601.9c099fb-3)
Newrelic Platform SDK for Go
golang-github-zclconf-go-cty-dev (1.5.1-1)
type system for dynamic values in Golang applications
golang-github-zclconf-go-cty-yaml-dev (1.0.2-2)
YAML marshalling and unmarshalling for go-cty
golang-github-zenazn-goji-dev (1.0.1-1)
minimalistic web framework for Golang
golang-github-zenhack-go.notmuch-dev (0.0~git20190821.5a19619-2)
Go language bindings for notmuch mail (library)
golang-github-ziutek-mymysql-dev (1.5.4+git20170206.23.0582bcf-2)
MySQL Client API
golang-github-zorkian-go-datadog-api-dev (2.30.0-1)
Go implementation of the Datadog API
golang-github-zyedidia-clipboard-dev (1.0.3-1)
clipboard for golang
golang-github-zyedidia-glob-dev (0.0~git20170209.dd4023a-1.1)
Go package for glob matching
golang-github-zyedidia-pty-dev (1.1.1+git20180126.3036466-3)
Go package for using Unix pseudo-terminals
golang-github-zyedidia-tcell-dev (2.0.6-1)
Cell based view for text terminals
golang-github-zyedidia-terminal-dev (0.0~git20180726.533c623-2)
vt10x terminal emulation backend
golang-gitlab-gitlab-org-labkit-dev (1.3.0-4)
LabKit provides functionality for Go services at GitLab
golang-gitlab-lupine-go-mimedb-dev (1.33.0-2)
Baked-in MIME types for standalone Go binaries
golang-glide (0.13.1-3+b17)
Vendor package management for Go
golang-glog-dev (0.0~git20160126.23def4e-3)
Leveled execution logs for Go
golang-go (2:1.15~1)
Go programming language compiler, linker, compiled stdlib
golang-go-flags-dev (1.4.0-2)
Go library for parsing command line arguments
golang-go-md2man-dev
本虛擬套件由這些套件填實: golang-github-cpuguy83-go-md2man-dev
golang-go-patricia-dev
本虛擬套件由這些套件填實: golang-github-tchap-go-patricia-dev
golang-go-semver-dev (0.3.0-1)
Transitional package for golang-github-coreos-go-semver-dev
golang-go-semver-dev
本虛擬套件由這些套件填實: golang-github-coreos-go-semver-dev
golang-go-systemd-dev
本虛擬套件由這些套件填實: golang-github-coreos-go-systemd-dev
golang-go-xdg-dev (0~bzr20140219-3)
Go interface for XDG standards
golang-go-zfs-dev (2.1.1.49.gf784269-1)
Go library for ZFS manipulation
golang-go.opencensus-dev (0.22.4-2)
Stats collection and distributed tracing framework
golang-go.uber-atomic-dev (1.4.0-1)
Simple wrappers for primitive types to enforce atomic access
golang-go.uber-multierr-dev (1.1.0-1.1)
multierr allows combining one or more Go errors together
golang-go.uber-zap-dev (1.15.0-2)
Blazing fast, structured, leveled logging in Go
golang-go4-dev (0.0~git20190313.94abd69-1)
go4 collection of packages
golang-gocapability-dev (0.0+git20200815.42c35b4-1)
Utilities for manipulating POSIX capabilities in Go
golang-gocloud-dev (0.20.0-3)
Go Cloud Development Kit (Go CDK) for open cloud development in Go
golang-godebiancontrol-dev (0.0~git20140119-2)
Go Debian control file parser
golang-gogoprotobuf-dev (1.3.2-1)
transitional package -- safe to remove
golang-golang-x-arch-dev (0.0~git20201207.1e68675-1)
Library with machine architecture information
golang-golang-x-crypto-dev (1:0.0~git20201221.eec23a3-1)
Supplementary Go cryptography libraries
golang-golang-x-exp-dev (0.0~git20181112.a3060d4-2)
experimental and deprecated packages
golang-golang-x-image-dev (0.0~git20200119.58c2397-1)
supplementary Go image libraries
golang-golang-x-mod-dev (0.4.1-1)
Go module mechanics libraries
golang-golang-x-net-dev (1:0.0+git20210119.5f4716e+dfsg-4)
Supplementary Go networking libraries
golang-golang-x-oauth2-dev (0.0~git20190604.0f29369-2)
make OAuth2 authorized and authenticated HTTP requests
golang-golang-x-oauth2-google-dev (0.0~git20190604.0f29369-2)
Google APIs support for OAuth2
golang-golang-x-sync-dev (0.0~git20210220.036812b-1)
Supplemental Go synchronization libraries
golang-golang-x-sys-dev (0.0~git20210124.22da62e-1)
Low-level interaction with the operating system
golang-golang-x-term-dev (0.0~git20201210.2321bbc-1)
Go terminal and console support (library)
golang-golang-x-text-dev (0.3.6-1)
Supplementary Go text-related libraries
golang-golang-x-time-dev (0.0+git20200630.3af7569-1)
Go supplementary time packages
golang-golang-x-tools (1:0.1.0+ds-1+b5)
supplementary Go tools
golang-golang-x-tools-dev (1:0.1.0+ds-1)
supplementary Go tools (development files)
golang-golang-x-xerrors-dev (0.0~git20191204.9bdfabe-1)
new Go 1.13 error values
golang-gomega-dev (1.10.3-1)
Matcher/assertion library for the Go programming language
golang-gonum-v1-plot-dev (0.7.0-4)
repository for plotting and visualizing data (library)
golang-google-api-dev (0.28.0-2)
Google APIs Client Library
golang-google-appengine-dev (1.6.0-1)
basic functionality for Google App Engine
golang-google-cloud-compute-metadata-dev (0.56.0-1)
Google Cloud Platform APIs (only cloud/compute/metadata)
golang-google-cloud-dev (0.56.0-1)
Google Cloud Platform APIs
golang-google-genproto-dev (0.0~git20200413.b5235f6-1)
Generated Go packages for common protocol buffer types
golang-google-grpc-dev (1.27.1-1)
implementation of gRPC in Go
golang-google-protobuf-dev (1.25.0+git20201208.160c747-1)
Go support for Protocol Buffers (second major revision)
golang-googlecode-p-gcfg-dev
本虛擬套件由這些套件填實: golang-gopkg-gcfg.v1-dev
golang-gopkg-alecthomas-kingpin.v2-dev (2.2.6-3)
command-line and flag parser for Go
golang-gopkg-alexcesaro-quotedprintable.v3-dev (0.0~git20150716.0.2caba25-5)
Implements quoted-printable and message header encoding in Go
golang-gopkg-alexcesaro-statsd.v1-dev (0.0~git20160306.0.c289775-2.1)
simple and efficient Golang StatsD client
golang-gopkg-asn1-ber.v1-dev (1.5.1-1)
ASN1 BER Encoding / Decoding Library for the GO programming language
golang-gopkg-bufio.v1-dev (1-2)
buffered I/O in Golang
golang-gopkg-check.v1-dev (0.0+git20200902.038fdea-1)
Rich testing extension for Go's testing package
golang-gopkg-cheggaaa-pb.v1-dev (1.0.25-1)
simple console progress bar for Go
golang-gopkg-cheggaaa-pb.v2-dev (2.0.7-1)
simple console progress bar for Go
golang-gopkg-dancannon-gorethink.v1-dev (1.4.1-5)
RethinkDB driver for Go
golang-gopkg-dancannon-gorethink.v2-dev (2.0.4-1.1)
Go driver for RethinkDB
golang-gopkg-eapache-channels.v1-dev (1.1.0-1.1)
collection of helpers around golang's existing channels
golang-gopkg-eapache-go-resiliency.v1-dev (1.0.0-6)
resiliency patterns for golang
golang-gopkg-eapache-queue.v1-dev (1.0.2-4)
fast golang queue using ring-buffer
golang-gopkg-editorconfig-editorconfig-core-go.v1-dev (1.2.0-5)
EditorConfig file parser and writer in Go
golang-gopkg-elazarl-goproxy.v1-dev
本虛擬套件由這些套件填實: golang-github-elazarl-goproxy-dev
golang-gopkg-errgo.v1-dev (1.0.1-1)
Dependable Go errors with tracebacks, version 1
golang-gopkg-errgo.v2-dev (2.1.0-2)
Dependable Go errors with tracebacks, version 2
golang-gopkg-fatih-pool.v2-dev (0.0~git20171010.010e0b7-3)
thread safe connection pool for net.Conn interface
golang-gopkg-flosch-pongo2.v3-dev (3.0-4)
Django-syntax like template-engine for Go
golang-gopkg-freddierice-go-losetup.v1-dev (0.0~git20170407.fc9adea-1.1)
Golang losetup implementation
golang-gopkg-gcfg.v1-dev (1.2.3-2)
read INI-style configuration files into Go structs
golang-gopkg-go-playground-assert.v1-dev (1.2.1-2)
Basic Assertion Library used along side native go testing
golang-gopkg-go-playground-colors.v1-dev (1.2.0-3)
color manipulation, conversion and printing library/utility
golang-gopkg-go-playground-validator.v8-dev (8.18.2-1)
Go Struct and Field validation (version 8.x)
golang-gopkg-gomail.v2-dev (2.0.0+git20160411.23.81ebce5-2)
simple and efficient package to send emails in Go
golang-gopkg-gorethink-gorethink.v3-dev (3.0.5-1.1)
Go language driver for RethinkDB
golang-gopkg-gorp.v1-dev (1.7.2-2)
Go Relational Persistence - an ORM-ish library for Go (library)
golang-gopkg-guregu-null.v2-dev (2.2+git20150913.0.4ac4f00-1.1)
Reasonable handling of nullable SQL and JSON values
golang-gopkg-guregu-null.v3-dev (3.1+git20160228.0.41961ce-1.1)
Reasonable handling of nullable SQL and JSON values
golang-gopkg-h2non-filetype.v1-dev (1.0.5+ds1-2.1)
infer file types checking the magic numbers signature
golang-gopkg-h2non-gock.v1-dev (1.0.16-1)
HTTP traffic mocking and testing made easy in Go
golang-gopkg-hlandau-acmeapi.v2-dev (2.0.1-2)
ACME v2 (RFC 8555) client library for Go
golang-gopkg-hlandau-configurable.v1-dev (1.0.1-5)
Go package for managing program configuration
golang-gopkg-hlandau-easyconfig.v1-dev (1.0.17-3)
Go package with easy bindings for configurable
golang-gopkg-hlandau-service.v2-dev (2.0.16-4)
Go package for writing services
golang-gopkg-hlandau-svcutils.v1-dev (1.0.10-6)
utilities for writing services in Go
golang-gopkg-httprequest.v1-dev (0.0~git20171212.fdaf1bf-5)
helper types for issuing and handling HTTP requests
golang-gopkg-inf.v0-dev (0.9.1-1)
Golang library for "infinite-precision" decimal arithmetic
golang-gopkg-ini.v1-dev (1.57.0-1)
INI file read and write functionality in Go
golang-gopkg-jarcoal-httpmock.v1-dev (0.0~git20180304.61bcb58-2.1)
HTTP mocking for Golang
golang-gopkg-jcmturner-aescts.v1-dev (1.0.1-3)
AES CBC Ciphertext Stealing mode for Go
golang-gopkg-jcmturner-dnsutils.v1-dev (1.0.1-2)
Golang library of DNS utilities
golang-gopkg-jcmturner-goidentity.v2-dev (2.0.0-2)
Golang library for managing identities
golang-gopkg-jcmturner-gokrb5.v5-dev (5.3.0+dfsg-2)
Pure Go Kerberos library for clients and services
golang-gopkg-jcmturner-rpc.v0-dev (0.0.2-2)
Remote Procedure Call libraries for golang
golang-gopkg-ldap.v3-dev (3.0.3-3)
basic LDAP v3 functionality for the GO programming language
golang-gopkg-libgit2-git2go.v31-dev (31.4.3-2)
Go bindings for libgit2
golang-gopkg-lxc-go-lxc.v2-dev (0.0+git20201012.d1943fb-2)
Go bindings for liblxc
golang-gopkg-macaron.v1-dev (1.2.2-3)
modular web framework in Go
golang-gopkg-macaroon.v1-dev (0.0~git20150121.0.ab3940c-1.1)
Macaroon implementation in go
golang-gopkg-mgo.v2-dev (2016.08.01-7)
Go library for MongoDB
golang-gopkg-natefinch-lumberjack.v2-dev (2.1-1)
Go package for writing logs to rolling files
golang-gopkg-neurosnap-sentences.v1-dev (1.0.6-1.1)
Sentence tokenizer for Go
golang-gopkg-olivere-elastic.v2-dev (2.0.12-2)
Elasticsearch client for Golang
golang-gopkg-olivere-elastic.v3-dev (3.0.41-1.1)
Elasticsearch client for Golang
golang-gopkg-olivere-elastic.v5-dev (5.0.83-1)
Elasticsearch client for Golang
golang-gopkg-pg.v5-dev (5.3.3-3.1)
PostgreSQL client and ORM for Golang
golang-gopkg-readline.v1-dev
本虛擬套件由這些套件填實: golang-github-chzyer-readline-dev
golang-gopkg-redis.v2-dev (2.3.2-2)
Redis client for Golang
golang-gopkg-retry.v1-dev (0.0~git20161025.0.c09f6b8-1.1)
Simple retry mechanism for Go
golang-gopkg-sourcemap.v1-dev (1.0.5+git20170213.0.6e83ace-1)
Source Maps consumer for Golang
golang-gopkg-square-go-jose.v1-dev (1.1.2-2)
Javascript Object Signing and Encryption (JOSE) for Go
golang-gopkg-square-go-jose.v2-dev (2.5.1-2)
implementation of JOSE standards (JWE, JWS, JWT) in Go
golang-gopkg-src-d-go-billy.v4-dev (4.3.2-1)
Missing interface filesystem abstraction for Go
golang-gopkg-src-d-go-git.v4-dev (4.11.0-2)
highly extensible Git implementation in pure Go
golang-gopkg-testfixtures.v2-dev (2.2.0-2)
Rails-like test fixtures for Go
golang-gopkg-throttled-throttled.v2-dev
本虛擬套件由這些套件填實: golang-github-throttled-throttled-dev
golang-gopkg-tomb.v1-dev (0.0~git20141024.0.dd63297-7)
API to track the lifecyle of Golang goroutines, and provide clean termination
golang-gopkg-tomb.v2-dev (0.0~git20161208.d5d1b58-3)
API to track the lifecyle of Golang goroutines, and provide clean termination
golang-gopkg-tylerb-graceful.v1-dev (1.2.15-4)
Go package for gracefully shutting down HTTP server
golang-gopkg-validator.v2-dev (0.0+git20160116-3.1)
Go package for validator library
golang-gopkg-vmihailenco-msgpack.v2-dev (4.3.1-2)
Msgpack encoding for Golang
golang-gopkg-warnings.v0-dev (0.1.2-1.1)
implements error handling with non-fatal errors (warnings)
golang-gopkg-xmlpath.v2-dev (0.0~git20150820.0.860cbec-5.1)
strict subset of the XPath specification for the Go language
golang-gopkg-yaml.v2-dev (2.4.0-1)
YAML support for the Go language
golang-gopkg-yaml.v3-dev (3.0.0~git20200121.a6ecf24-3)
YAML support for the Go language
golang-goprotobuf-dev (1.3.4-2+b6)
Protocol buffer support for the Go programming language
golang-goptlib-dev (0.6-3)
library for Tor pluggable transports written in Go
golang-grpc-gateway (1.6.4-2+b17)
gRPC to JSON proxy generator for Golang -- binaries
golang-guestfs-dev (1:1.44.0-2)
guest disk image management system - Golang bindings
golang-h12-socks-dev (1.0.2-1)
SOCKS (SOCKS4, SOCKS4A and SOCKS5) proxy library for golang
golang-honnef-go-augeas-dev (0.0~git20161110.0.ca62e35-1.1)
Go bindings for Augeas
golang-honnef-go-tools-dev (2020.1-4)
Collection of golang tools and libraries
golang-k8s-klog-dev (2.5.0-2)
leveled execution logs for Go (library)
golang-k8s-sigs-structured-merge-diff-dev (4.0.2+ds1-2)
implementation for "server-side apply" (library)
golang-k8s-sigs-yaml-dev (1.2.0-3)
better way to marshal and unmarshal YAML in Golang (library)
golang-layeh-gopher-luar-dev (1.0.4-1.1)
Simplifies data passing between Go and GopherLua
golang-libgeoip-dev (0.0~git20140312.0.c78e8bd-1)
Go implementation for lookups against GeoIP files
golang-logrus-dev
本虛擬套件由這些套件填實: golang-github-sirupsen-logrus-dev
golang-mode (3:1.5.0-4)
Emacs mode for editing Go code -- transitional package
golang-mreiferson-httpclient-dev (0.0~git20160630.0.31f0106-2)
Transitional package for golang-github-mreiferson-go-httpclient-dev
golang-mreiferson-httpclient-dev
本虛擬套件由這些套件填實: golang-github-mreiferson-go-httpclient-dev
golang-mvdan-gofumpt-dev (0.1.0-1)
stricter gofmt (library)
golang-mvdan-xurls-dev (2.2.0-2)
Extract urls from text (library)
golang-nzaat-dev (1.0-2)
Go implementation of the NZAAT hash algorithm
golang-objx-dev
本虛擬套件由這些套件填實: golang-github-stretchr-objx-dev
golang-openldap-dev (0.2-2)
OpenLDAP client integration for Go, using cgo
golang-pault-go-archive-dev (1.0-2)
bindings to work with a Debian archive
golang-pault-go-blobstore-dev (0.0~git20180314.d6d187c-1.1)
de-duplicating storage abstraction
golang-pault-go-config-dev (0.1-3)
Go package for using structs for configuration/flags
golang-pault-go-debian-dev (0.9-1)
library to parse and handle common Debian file formats
golang-pault-go-gecos-dev (1.0-1.1)
read GECOS entries from /etc/passwd programmatically
golang-pault-go-macchanger-dev (0.0~git20170902.0.0252df5-1.1)
library to change MAC addresses on Linux systems
golang-pault-go-technicolor-dev (0.1-1.1)
implements a high level interface to write ANSI color sequences
golang-pault-go-topsort-dev (0.0~git20160529.f98d2ad-1)
library to topologically sort a DAG
golang-pault-go-ykpiv-dev (1.3.4-2)
high level cgo wrapper around libykpiv.so.1
golang-pq-dev (1.3.0-1)
Transitional package for golang-github-lib-pq-dev
golang-pq-dev
本虛擬套件由這些套件填實: golang-github-lib-pq-dev
golang-pretty-dev
本虛擬套件由這些套件填實: golang-github-kr-pretty-dev
golang-procfs-dev (0.3.0-2)
transitional dummy package
golang-procfs-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: golang-github-prometheus-procfs-dev
golang-prometheus-client-dev
本虛擬套件由這些套件填實: golang-github-prometheus-client-golang-dev
golang-protobuf-extensions-dev (1.0.1-1)
Protocol Buffer extensions for the Go language
golang-pty-dev
本虛擬套件由這些套件填實: golang-github-kr-pty-dev
golang-redhat-audit-dev (1:3.0-2)
Go client bindings for the libaudit library
golang-redoctober (0.0~git20161017.0.78e9720-5+b6)
Software-based two-man rule style encryption and decryption server
golang-refraction-networking-utls-dev (0.0~git20201210.2179f28-1)
TLS golang library with low-level access
golang-rice (1.0.2-1+b5)
tool for embedding web resources into Go executables
golang-robustirc-bridge-dev (1.8-1)
Go packages to connect to RobustIRC
golang-rsc-binaryregexp-dev (0.2.0-2)
Go regexp for binary/latin-1 data
golang-rsc-pdf-dev (0.1.0+git20180525.c47d69c-2)
Golang library that provides a reader for the PDF format
golang-rsc-qr-dev (0.0~git20161121.48b2ede-1.1)
Basic QR encoder
golang-siphash-dev (1.0.0-2)
Go implementation of SipHash-2-4
golang-snappy-go-dev (0.0.2-2)
Transitional package for golang-github-golang-snappy-dev
golang-snappy-go-dev
本虛擬套件由這些套件填實: golang-github-golang-snappy-dev
golang-sorcix-irc-dev (1.1.0-2)
generic support for the IRC protocol in Go
golang-sourcehut-sircmpwn-getopt-dev (0.0~git20191230.23622cc-2)
POSIX-compatible getopt implementation for Go (library)
golang-src (2:1.15~1)
Go programming language - source files
golang-starlark-dev (0.0~git20210122.f935de8-1)
Interpreter for the Starlark configuration language
golang-statik (0.1.1-5+b5)
embed static files into a Go executable
golang-strk.kbt-projects-go-libravatar-dev (0.0~git20161111.0.d628b68-6)
Simple Go library for serving federated avatars
golang-testify-dev
本虛擬套件由這些套件填實: golang-github-stretchr-testify-dev
golang-text-dev
本虛擬套件由這些套件填實: golang-github-kr-text-dev
golang-thrift-dev (0.13.0-6)
Go language support for Thrift
golang-toml-dev (0.3.1-1)
Transitional package for golang-github-burntsushi-toml-dev
golang-toml-dev
本虛擬套件由這些套件填實: golang-github-burntsushi-toml-dev
golang-uuid-dev
本虛擬套件由這些套件填實: golang-github-pborman-uuid-dev
golang-v2ray-core-dev (4.34.0-1)
Library platform for building proxies in golang
golang-vbom-util-dev (0.0~git20180919.efcd4e0-2)
Go utility packages (library)
golang-vhost-dev (0.0~git20140120-3)
Go library to implement virtual hosting for different protocols
golang-websocket-dev
本虛擬套件由這些套件填實: golang-github-gorilla-websocket-dev
golang-xi2-x-xz-dev (0.0~git20171230.48954b6-1.1)
transitional package
golang-yaml.v2-dev
本虛擬套件由這些套件填實: golang-gopkg-yaml.v2-dev
goldencheetah (1:3.5-1.1)
set of analysis tools for cycling performance
goldendict (1.5.0~rc2+git20200409+ds-2)
feature-rich dictionary lookup program
goldendict-wordnet (1:3.0-36)
electronic lexical database of English language (goldendict)
goldeneye (1.2.0+git20191230-2)
HTTP DoS test tool
golint (0.0+git20200130.910be7a-1+b6)
Linter for Go source code
golly (3.3-1)
Game of Life simulator using hashlife algorithm
gom (0.30.3-1)
Command line and interactive ncurses-based OSS audio mixer
gomoku.app (1.2.9-4+b1)
Extended TicTacToe game for GNUstep
goo (0.155+ds-4)
generic object-orientator (programming language)
goobook (3.5.1-1)
command-line interface to Google contacts
goobox (3.6.0-6)
CD player and ripper with GNOME 3 integration
goodvibes (0.6.2-1)
lightweight internet radio player
google-android-build-tools-17-installer (17.0.0+nmu5) [contrib]
Google build tools 17 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-18-installer (18.1.1+nmu5) [contrib]
Google build tools 18 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-19-installer (19.0.3+nmu5) [contrib]
Google build tools 19 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-20-installer (20.0.0+nmu5) [contrib]
Google build tools 20 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-21-installer (21.1.2+nmu5) [contrib]
Google build tools 21 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-22-installer (22.0.1+nmu5) [contrib]
Google build tools 22 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-23-installer (23.0.3+nmu5) [contrib]
Google build tools 23 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-24-installer (24.0.2+nmu5) [contrib]
Google build tools 24 for Android (aapt, aidl, dexdump, dx)
google-android-build-tools-installer (23.0.3+r1) [contrib]
Google build tools for Android (aapt, aidl, dexdump, dx)
google-android-emulator-installer (30.0.12+1) [contrib]
download and install Google's Android emulator binary
google-android-m2repository-installer (41+r1) [contrib]
Google Android support m2 repository
google-android-ndk-installer (21d+r1) [contrib]
Google Android Native Development Kit (NDK) Installer
google-android-platform-10-installer (10+r02+nmu5) [contrib]
Google's Android SDK Platform 10 Installer
google-android-platform-11-installer (11+r02+nmu5) [contrib]
Google's Android SDK Platform 11 Installer
google-android-platform-12-installer (12+r03+nmu5) [contrib]
Google's Android SDK Platform 12 Installer
google-android-platform-13-installer (13+r01+nmu5) [contrib]
Google's Android SDK Platform 13 Installer
google-android-platform-14-installer (14+r04+nmu5) [contrib]
Google's Android SDK Platform 14 Installer
google-android-platform-15-installer (15+r05+nmu5) [contrib]
Google's Android SDK Platform 15 Installer
google-android-platform-16-installer (16+r05+nmu5) [contrib]
Google's Android SDK Platform 16 Installer
google-android-platform-17-installer (17+r03+nmu5) [contrib]
Google's Android SDK Platform 17 Installer
google-android-platform-18-installer (18+r03+nmu5) [contrib]
Google's Android SDK Platform 18 Installer
google-android-platform-19-installer (19+r04+nmu5) [contrib]
Google's Android SDK Platform 19 Installer
google-android-platform-2-installer (2+r1+nmu5) [contrib]
Google's Android SDK Platform 2 Installer
google-android-platform-20-installer (20+r02+nmu5) [contrib]
Google's Android SDK Platform 20 Installer
google-android-platform-21-installer (21+r02+nmu5) [contrib]
Google's Android SDK Platform 21 Installer
google-android-platform-22-installer (22+r02+nmu5) [contrib]
Google's Android SDK Platform 22 Installer
google-android-platform-23-installer (23+r03+nmu5) [contrib]
Google's Android SDK Platform 23 Installer
google-android-platform-24-installer (24+r02+nmu5) [contrib]
Google's Android SDK Platform 24 Installer
google-android-platform-3-installer (3+r04+nmu5) [contrib]
Google's Android SDK Platform 3 Installer
google-android-platform-4-installer (4+r03+nmu5) [contrib]
Google's Android SDK Platform 4 Installer
google-android-platform-5-installer (5+r01+nmu5) [contrib]
Google's Android SDK Platform 5 Installer
google-android-platform-6-installer (6+r01+nmu5) [contrib]
Google's Android SDK Platform 6 Installer
google-android-platform-7-installer (7+r03+nmu5) [contrib]
Google's Android SDK Platform 7 Installer
google-android-platform-8-installer (8+r03+nmu5) [contrib]
Google's Android SDK Platform 8 Installer
google-android-platform-9-installer (9+r02+nmu5) [contrib]
Google's Android SDK Platform 9 Installer
google-android-sdk-docs-installer (24+r3) [contrib]
Android SDK Documentation from Google
google-mock (1.10.0.20201025-1.1)
Google's framework for writing and using C++ mock classes
google-perftools (2.8.1-1)
command line utilities to analyze the performance of C++ programs
google-translate-cli
本虛擬套件由這些套件填實: translate-shell
google-wire (0.4.0-3+b6)
Compile-time Dependency Injection for Go (program)
googler (4.3.2-1)
Power tool to Google (Web & News) and Google Site Search from the terminal
googletest (1.10.0.20201025-1.1)
Google's C++ test framework sources
googletest-tools (1.10.0.20201025-1.1)
Google's C++ test framework sources
gopass (1.4.1-1+b5)
pass implementation in Go
gopchop (1.1.8-7)
fast, lossless cuts-only editor for MPEG2 video files
gopher (3.0.17.3)
Distributed Hypertext Client, Gopher protocol
gopher-client
本虛擬套件由這些套件填實: gopher
gophernicus (3.1.1-3)
modern, full-featured gopher daemon
gopls (1:0.1.0+ds-1+b5)
language server for the Go language
gorm.app (1.2.26-1+b1)
Visual Interface Builder for GNUstep
gortr (0.14.7-1+b5)
Cloudflare's RPKI to Router server
gosa (2.7.4+reloaded3-16)
Web Based LDAP Administration Program
gosa-desktop (2.7.4+reloaded3-16)
Desktop integration for GOsa²
gosa-dev (2.7.4+reloaded3-16)
GOsa² development utilities
gosa-help-de (2.7.4+reloaded3-16)
German online help for GOsa²
gosa-help-en (2.7.4+reloaded3-16)
English online help for GOsa
gosa-help-fr (2.7.4+reloaded3-16)
French online help for GOsa²
gosa-help-nl (2.7.4+reloaded3-16)
Dutch online help for GOsa
gosa-plugin-connectivity (2.7.4+reloaded3-16)
connectivity plugin for GOsa²
gosa-plugin-dhcp (2.7.4+reloaded3-16)
dhcp plugin for GOsa²
gosa-plugin-dhcp-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² dhcp plugin
gosa-plugin-dns (2.7.4+reloaded3-16)
dns plugin for GOsa²
gosa-plugin-dns-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² dns plugin
gosa-plugin-gofax (2.7.4+reloaded3-16)
gofax plugin for GOsa²
gosa-plugin-gofon (2.7.4+reloaded3-16)
gofon plugin for GOsa²
gosa-plugin-goto (2.7.4+reloaded3-16)
goto plugin for GOsa²
gosa-plugin-kolab (2.7.4+reloaded3-16)
kolab plugin for GOsa²
gosa-plugin-kolab-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² kolab plugin
gosa-plugin-ldapmanager (2.7.4+reloaded3-16)
ldapmanager plugin for GOsa²
gosa-plugin-mail (2.7.4+reloaded3-16)
base mail plugin for GOsa²
gosa-plugin-mailaddress (0.99.7-1)
Simple plugin to manage user mail addresses in GOsa²
gosa-plugin-mit-krb5 (2.7.4+reloaded3-16)
mit-krb5 plugin for GOsa²
gosa-plugin-mit-krb5-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² mit-krb5 plugin
gosa-plugin-nagios (2.7.4+reloaded3-16)
nagios plugin for GOsa²
gosa-plugin-nagios-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² nagios plugin
gosa-plugin-netatalk (2.7.4+reloaded3-16)
netatalk plugin for GOsa²
gosa-plugin-netgroups (0.2-1)
NIS netgroups plugin for GOsa²
gosa-plugin-opengroupware (2.7.4+reloaded3-16)
opengroupware plugin for GOsa²
gosa-plugin-openxchange (2.7.4+reloaded3-16)
openxchange plugin for GOsa²
gosa-plugin-openxchange-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² openxchange plugin
gosa-plugin-phpgw (2.7.4+reloaded3-16)
phpgw plugin for GOsa²
gosa-plugin-phpgw-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² phpgw plugin
gosa-plugin-phpscheduleit (2.7.4+reloaded3-16)
phpscheduleit plugin for GOsa²
gosa-plugin-phpscheduleit-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² phpscheduleit plugin
gosa-plugin-pptp (2.7.4+reloaded3-16)
pptp plugin for GOsa²
gosa-plugin-pptp-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² pptp plugin
gosa-plugin-pureftpd (2.7.4+reloaded3-16)
pureftpd plugin for GOsa²
gosa-plugin-pureftpd-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² pureftpd plugin
gosa-plugin-pwreset (0.99.5-2)
Password Management Add-On for GOsa²
gosa-plugin-rolemanagement (2.7.4+reloaded3-16)
rolemanagement plugin for GOsa²
gosa-plugin-rsyslog (2.7.4+reloaded3-16)
rsyslog plugin for GOsa²
gosa-plugin-samba (2.7.4+reloaded3-16)
samba3 plugin for GOsa²
gosa-plugin-scalix (2.7.4+reloaded3-16)
scalix plugin for GOsa²
gosa-plugin-squid (2.7.4+reloaded3-16)
squid plugin for GOsa²
gosa-plugin-ssh (2.7.4+reloaded3-16)
ssh plugin for GOsa²
gosa-plugin-ssh-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² ssh plugin
gosa-plugin-sudo (2.7.4+reloaded3-16)
sudo plugin for GOsa²
gosa-plugin-sudo-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa² sudo plugin
gosa-plugin-systems (2.7.4+reloaded3-16)
systems plugin for GOsa²
gosa-plugin-uw-imap (2.7.4+reloaded3-16)
uw-imap plugin for GOsa²
gosa-plugin-webdav (2.7.4+reloaded3-16)
webdav plugin for GOsa²
gosa-schema (2.7.4+reloaded3-16)
LDAP schema for GOsa
gost (0.1.0+git20181204.5afeda5e-1.1+b5)
local copy tool of Security Tracker (Red Hat/Debian) written in go
gost-crypto-dkms (0.3.3-1)
Linux kernel modules implementing GOST cryptography
gostsum (1.1.0.3-1+b1 [amd64], 1.1.0.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Utility to compute GOST hashes
gosu (1.12-1+b6)
Simple Go-based setuid+setgid+setgroups+exec
gotail (1.0.0+git20180327.c434825-4+b5)
Go implementation of tail
gotestsum (0.5.0-2+b6)
Feature-rich runner for go test
gource (0.51-1+b2)
graphical source control visualisation
gourmet (0.17.5~alpha2-7)
Recipe organizer and shopping list generator
goval-dictionary (0.2.0-4+b4)
Tools to create DB of data written in OVAL
govendor (1.0.9+ds1-1+b26)
Go vendor tool that works with the standard vendor file
goverlay (0.4.4-1)
Graphical UI to help manage Vulkan / OpenGL overlays
gox (0.3.0-6+b6)
simple cross compilation tool for Go
goxel (0.10.6-3)
3D voxel editor
goxkcdpwgen (0.0~git20181107.de898c7-2+b6)
xkcd style password generator library and cli tool
gozer (0.7.nofont.1-7)
text renderer
gp-saml-gui (0.0~git20201229-2)
login to a GlobalProtect VPN that uses SAML authentication
gpa (0.10.0-3)
GNU Privacy Assistant (GPA)
gpac (1.0.1+dfsg1-4+deb11u3)
GPAC Project on Advanced Content - utilities
gpac-modules-base (1.0.1+dfsg1-4+deb11u3)
GPAC Project on Advanced Content - modules
gpart (1:0.3-8)
Guess PC disk partition table, find lost partitions
gparted (1.2.0-1)
GNOME 桌面環境的磁碟分割管理程式
gparted-common (1.2.0-1)
GNOME partition editor -- common data
gpasm
本虛擬套件由這些套件填實: gputils
gpaste (3.38.5-1)
Clipboard management system for GNOME
gpaw (21.1.0-1)
DFT and beyond within the projector-augmented wave method
gpaw-data (0.9.20000-2)
gpaw datasets/setups
gperf (3.1-1)
Perfect hash function generator
gperiodic (3.0.3-1)
periodic table application
gpg (2.2.27-2+deb11u2)
GNU Privacy Guard -- minimalist public key operations
gpg-agent (2.2.27-2+deb11u2)
GNU privacy guard - cryptographic agent
gpg-remailer (3.04.05-1)
Forwards re-encrypted PGP/GPG mail to a group
gpg-wks-client (2.2.27-2+deb11u2)
GNU privacy guard - Web Key Service client
gpg-wks-server (2.2.27-2+deb11u2)
GNU privacy guard - Web Key Service server
gpgconf (2.2.27-2+deb11u2)
GNU privacy guard - core configuration utilities
gpgrt-tools (1.38-2)
GnuPG development runtime library (executable tools)
gpgsm (2.2.27-2+deb11u2)
GNU privacy guard - S/MIME version
gpgv (2.2.27-2+deb11u2)
GNU privacy guard - signature verification tool
gpgv-static (2.2.27-2+deb11u2)
minimal signature verification tool (static build)
gpgv-udeb (2.2.27-2+deb11u2)
minimal signature verification tool
gpgv-win32 (2.2.27-2+deb11u2)
GNU privacy guard - signature verification tool (win32 build)
gpgv1 (1.4.23-1.1)
GNU privacy guard - signature verification tool (deprecated "classic" version)
gpgv2 (2.2.27-2+deb11u2)
GNU privacy guard - signature verification tool (dummy transitional package)
gphoto2 (2.5.27-1)
digital camera command-line client
gphotofs (0.5-6)
filesystem to mount digital cameras
gpick (0.2.6-1)
advanced GTK+ color picker
gpicview (0.2.5-3+b1 [amd64], 0.2.5-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
輕巧的秀圖軟體
gpiod (1.6.2-1)
Tools for interacting with Linux GPIO character device - binary
gplanarity (17906-7)
simple puzzle game involving untangling planar graphs
gplcver (2.12a-1.1+b2)
Verilog simulator
gpm (1.20.7-8)
General Purpose Mouse interface
gpodder (3.10.17-1)
podcast client and feed aggregator
gpomme (1.39~dfsg-5.1)
graphical client for pommed
gpp (2.27-1)
general-purpose preprocessor with customizable syntax
gpr (0.15deb-2+b2)
GUI for lpr: print files and configure printer-specific options
gprbuild (2021.0.0.0778b109-5)
multi-language extensible build tool
gprbuild-doc (2021.0.0.0778b109-5)
multi-language extensible build tool (documentation)
gprconfig-kb (21.0.0-2)
compiler list for the gprbuild build tool
gpredict (2.3-72-gc596101-3)
Satellite tracking program
gprename (20201214-0.1)
Complete batch renamer for Linux
gprolog (1.4.5.0-3)
GNU Prolog compiler
gprolog-doc (1.4.5.0-3)
documentation for the GNU Prolog compiler
gprompter (0.9.1-2.2)
intelligent predictive GTK+ text editor
gprompter-dbg (0.9.1-2.2)
intelligent predictive GTK+ text editor (debugging symbols)
gpsbabel (1.7.0+ds-7)
GPS file conversion plus transfer to/from GPS units
gpsbabel-doc (1.7.0+ds-7)
GPS file conversion plus transfer to/from GPS units - documentation
gpsbabel-gui (1.7.0+ds-7)
GPS file conversion plus transfer to/from GPS units - GUI
gpscorrelate (2.0-2)
correlates digital photos with GPS data filling EXIF fields (command line)
gpscorrelate-gui (2.0-2)
correlates digital photos with GPS data filling EXIF fields (GUI)
gpsd (3.22-4)
Global Positioning System - daemon
gpsd-clients (3.22-4)
Global Positioning System - clients
gpsd-tools (3.22-4)
Global Positioning System - tools
gpsim (0.31.0-2)
Simulator for Microchip's PIC microcontrollers
gpsim-dev (0.31.0-2)
Libraries needed only for building gpsim components
gpsim-doc (0.22.0-2.1)
Documentation for gpsim
gpsman (6.4.4.2-4)
GPS data graphical manager
gpsmanshp (1.2.3-6)
Tcl interface to shapelib
gpsprune (20.2-1)
visualize, edit, convert and prune GPS data
gpstrans (0.41-7)
communicate via serial port with a Garmin GPS receiver
gpt (1.1-7)
G-Portugol is a Portuguese structured programming language
gpustat (0.6.0-1) [contrib]
pretty nvidia device monitor
gputils (1.4.0-0.2)
GNU PIC utilities
gputils-common (1.4.0-0.2)
headers and linker scripts for gputils
gputils-doc (1.4.0-0.2)
documentation for gputils
gpw (0.0.19940601-10+b1 [amd64], 0.0.19940601-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Trigraph Password Generator
gpx (2.6.8-1)
Gcode to x3g conversion post processor
gpxinfo (1.4.2-1)
Command line utility to extract basic statistics from a GPX file
gpxviewer (1.1.0-3)
views GPS traces collected in the GPX format
gqrx-sdr (2.14.4-1)
Software defined radio receiver
gr-air-modes (0.0.20190917-2+b5)
Gnuradio Mode-S/ADS-B radio
gr-dab (0.4-2+b5)
Gnuradio blocks and tools for receiving DAB and DAB+ radio
gr-dab-dev (0.4-2+b5)
Development files for gr-dab (DAB/DAB+ receiver)
gr-fcdproplus (3.8~20190817-3+b5)
Funcube Dongle Pro Plus controller for GNU Radio
gr-fosphor (3.8~2.2d4fe78-1+b6)
gnuradio fosphor block (GPU spectrum display)
gr-gsm (0.42.2.20200214-1+b7 [mips64el], 0.42.2.20200214-1+b6 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el])
Gnuradio blocks and tools for receiving GSM transmissions
gr-hpsdr (1.2.1-2+b3)
gnuradio interface module for OpenHPSDR protocol 1
gr-iio (0.3-9+b5 [mips64el, mipsel], 0.3-9+b4 [amd64, arm64, armel, armhf, i386, ppc64el, s390x])
IIO blocks for GnuRadio
gr-iqbal (0.38-4+b5)
GNU Radio Blind IQ imbalance estimator and correction
gr-limesdr (3.0.1-2+b6)
LimeSDR blocks for GnuRadio
gr-osmosdr (0.2.2-1+b4)
Gnuradio blocks from the OsmoSDR project
gr-radar (0.0.0.20180308-4+b8)
GNU Radio Radar Toolbox
gr-radar-doc (0.0.0.20180308-4)
GNU Radio Radar Toolbox - Documentation
gr-rds (3.8.0.0.f1c584a-3+b5)
GNU Radio FM RDS/TMC Transceiver
gr-satellites (3.5.1-2+b2)
Collection of satellite telemetry signal decoders
gr-soapy (2.1.3-2)
SoapySDR blocks for GnuRadio
grabc (1.1-2+b2)
simple program to determine the color string in hex by clicking on a pixel
grabix (0.1.7-2)
wee tool for random access into BGZF files
grabserial (2.1.0-1)
python-based serial dump and timing program
grace (1:5.1.25-9)
XY graphing and plotting tool
gradle (4.4.1-13)
Powerful build system for the JVM
gradle-apt-plugin (0.10-1.1)
Gradle plugin to use Java annotation processors
gradle-completion (1.3.1-1.1)
Bash and Zsh completion support for Gradle
gradle-debian-helper (2.1)
Helper tools for building Debian packages with Gradle
gradle-doc (4.4.1-13)
Powerful build system for the JVM - Documentations
gradle-ice-builder-plugin (1.4.5-1)
Gradle plug-in for developing Ice applications in Java
gradle-plugin-protobuf (0.9.2-1.1)
Protobuf Plugin for Gradle
gradle-propdeps-plugin (0.0.7-3.1)
Gradle plugin enhancing the Maven integration
gradm2 (3.1~201903191516-1)
Administration program for the grsecurity2 RBAC based ACL system
grads (3:2.2.1-4)
Grid Analysis and Display System for earth science data
grafx2 (2.7-1)
ultimate 256-color bitmap paint program
grail-tools (3.1.1-3)
Gesture Recognition And Instantiation Library - test tools
gramadoir (0.7-4.1)
Irish language grammar checker (integration scripts)
gramofile (1.6-12)
transfer sound from gramophone records to CD
gramps (5.1.3-1)
Genealogical research program
granatier (4:20.12.1-1)
Bomberman clone
granite-demo (5.5.0-1)
extension of GTK+ libraries (demo binary)
granule (1.4.0-7-9+b1)
flashcard program for learning new words
granule-docs (1.1.0+dfsg-3.1)
flashcard program for learning new words -- documentation
grap (1.46-1)
program for typesetting graphs
graphdefang (2.84-4+b1)
grapher for MIMEDefang spam and virus logs
graphene-tests (1.10.4+dfsg1-1)
library of graphic data types (installed tests)
graphicsmagick (1.4+really1.3.36+hg16481-2+deb11u1)
collection of image processing tools
graphicsmagick-dbg (1.4+really1.3.36+hg16481-2+deb11u1)
format-independent image processing - debugging symbols
graphicsmagick-imagemagick-compat (1.4+really1.3.36+hg16481-2+deb11u1)
image processing tools providing ImageMagick interface
graphicsmagick-libmagick-dev-compat (1.4+really1.3.36+hg16481-2+deb11u1)
image processing libraries providing ImageMagick interface
graphite-api (1.1.3-6)
Enterprise Scalable Realtime Graphing (API-only frontend)
graphite-carbon (1.1.7-1)
backend data caching and persistence daemon for Graphite
graphlan (1.1.3-2)
circular representations of taxonomic and phylogenetic trees
graphmonkey (1.7-4.1)
a GTK#-based graphing calculator
graphviz (2.42.2-5)
rich set of graph drawing tools
graphviz-dev
本虛擬套件由這些套件填實: libgraphviz-dev
graphviz-doc (2.42.2-5)
additional documentation for graphviz
grass (7.8.5-1+deb11u1)
Geographic Resources Analysis Support System (GRASS GIS)
grass-core (7.8.5-1+deb11u1)
GRASS GIS core components
grass-dev (7.8.5-1+deb11u1)
GRASS GIS development files
grass-dev-doc (7.8.5-1+deb11u1)
GRASS GIS Programmers' Manual
grass-doc (7.8.5-1+deb11u1)
GRASS GIS user documentation
grass-gui (7.8.5-1+deb11u1)
GRASS GIS graphical user interfaces
grass785
本虛擬套件由這些套件填實: grass-core
gravit (0.5.1+dfsg-5)
visually stunning gravity simulator
gravit-data (0.5.1+dfsg-5)
data files for Gravit
gravitation (3+dfsg1-5)
game about mania, melancholia, and the creative process
gravitywars (1.102-35)
clone of Gravity Force
graywolf (0.1.6-4)
Placement for digital VLSI design
grc (1.11.3-1.1)
generic colouriser for everything
grcompiler (5.2-2.2)
Compiler of smart (graphite) fonts
grdesktop (0.23+d040330-7)
GNOME frontend for the rdesktop client
greed (4.2-1)
curses-based clone of the DOS free-ware game Greed
gregorio
本虛擬套件由這些套件填實: texlive-music
gregoriotex
本虛擬套件由這些套件填實: texlive-music
grep (3.6-1+deb11u1)
GNU grep、egrep,及 fgrep
grep-dctrl
本虛擬套件由這些套件填實: dctrl-tools
grepcidr (2.0-2)
Filter IP addresses matching IPv4/IPv6 CIDR/network specification
grepmail (5.3104-1)
search mailboxes for mail matching an expression
gretl (2021a-1)
GNU Regression, Econometric & Time-Series Library
gretl-common (2021a-1)
GNU Regression, Econometric & Time-Series Library -- scripts package
gretl-data (2021a-1)
GNU Regression, Econometric & Time-Series Library -- data package
gretl-doc (2021a-1)
GNU Regression, Econometric & Time-Series Library -- doc package
greybird-gtk-theme (3.22.14-1)
grey GTK+ theme from the Shimmer Project
greylistd (0.9.0.2)
Greylisting daemon for use with Exim 4
grfcodec (6.0.6-5)
suite of programs to modify Transport Tycoon Deluxe's GRF files
grhino (0.16.1-4)
othello/reversi boardgame
grhino-data (0.16.1-4)
othello/reversi boardgame - data-files
gri (2.12.27-1.1~deb11u1)
a language for scientific illustration
gri-el (2.12.27-1.1~deb11u1)
Emacs major-mode for gri, a language for scientific graphics
gri-html-doc (2.12.27-1.1~deb11u1)
HTML manual for gri, a language for scientific graphics
gri-pdf-doc (2.12.27-1.1~deb11u1)
PostScript manual for gri, a language for scientific graphics
gridengine-client (8.1.9+dfsg-9.1)
Utilities for Grid Engine queue management
gridengine-common (8.1.9+dfsg-9.1)
Distributed resource management - common files
gridengine-dev (8.1.9+dfsg-9.1)
Distributed resource management - development files
gridengine-drmaa-dev (8.1.9+dfsg-9.1)
Distributed resource management Application API library - development files
gridengine-drmaa1.0 (8.1.9+dfsg-9.1)
Distributed resource management Application API library
gridengine-exec (8.1.9+dfsg-9.1)
Distributed resource management - Execution Server
gridengine-master (8.1.9+dfsg-9.1)
Distributed resource management - Master Server
gridengine-qmon (8.1.9+dfsg-9.1)
Graphical utilities for Grid Engine queue management
gridlock.app (1.10-5+b1)
Collection of grid-based board games for GNUstep
gridsite (3.0.0~20180202git2fdbc6f-3+b1)
Grid Security for the Web, Web platforms for Grids
gridsite-clients (3.0.0~20180202git2fdbc6f-3+b1)
Clients to gridsite: htcp, htrm, htmv
gridsite-doc (3.0.0~20180202git2fdbc6f-3)
Developers Documentation for gridsite
grig (0.8.1-3)
graphical user interface to the Ham Radio Control Libraries
grilo-plugins-0.3 (0.3.12-1)
Framework for discovering and browsing media - Plugins
grilo-plugins-dvb-daemon (1:0.2.91~git20170110-4+b4)
grilo plugin for gnome-dvb-daemon
grim (1.3.1+ds-1)
command-line utility to make screenshots of Wayland desktops
grimshot (1.5-7)
Easy to use screenshot tool for sway
grinder (0.5.4-6)
Versatile omics shotgun and amplicon sequencing read simulator
gringo (5.4.1-3)
grounding tools for (disjunctive) logic programs
gringotts (1.2.10-4)
secure password and data storage manager
grisbi (2.0.3-1)
personal finance management program
grisbi-common (2.0.3-1)
shared files for the finance management program Grisbi
grml-debootstrap (0.96)
wrapper around debootstrap for installing pure Debian
grml-rescueboot (0.5.1)
Integrates Grml ISO booting into GRUB
grml2usb (0.18.5)
install Grml system / ISO to usb device
groff (1.22.4-6)
GNU troff text-formatting system
groff-base (1.22.4-6)
GNU troff 文字格式化系統 (基礎系統元件)
groff-x11
本虛擬套件由這些套件填實: groff
grok (1.20110708.1-4.5)
powerful pattern-matching and reacting tool
grok-dbg (1.20110708.1-4.5)
debugging symbols for grok
grokevt (0.5.0-5)
scripts for reading Microsoft Windows event log files
gromacs (2020.6-2)
Molecular dynamics simulator, with building and analysis tools
gromacs-data (2020.6-2)
GROMACS molecular dynamics sim, data and documentation
gromacs-mpich (2020.6-2)
Molecular dynamics sim, binaries for MPICH parallelization
gromacs-openmpi (2020.6-2)
Molecular dynamics sim, binaries for OpenMPI parallelization
gromit (20041213-9+b2)
GTK based tool to make annotations on screen
gromit-mpx (1.4-2)
GTK+ based tool to make annotations on screen with multiple pointers
gron (0.6.1-1+b5)
tool to transform JSON into discrete, greppable assignments
groonga (11.0.0-2)
Fulltext search engine (metapackage for library use)
groonga-bin (11.0.0-2)
Commands for Groonga
groonga-doc (11.0.0-2)
Documentation of Groonga
groonga-examples (11.0.0-2)
Examples of Groonga
groonga-httpd (11.0.0-2)
Groonga HTTP server
groonga-munin-plugins (11.0.0-2)
munin-node plugins for Groonga
groonga-normalizer-mysql (1.1.4-4)
MySQL derived normalizer for Groonga
groonga-plugin-suggest (11.0.0-2)
Suggest plugin for Groonga
groonga-server-common (11.0.0-2)
Fulltext search engine (metapackage for server use)
groonga-server-gqtp (11.0.0-2)
Fulltext search engine (metapackage for GQTP server use)
groonga-server-http
本虛擬套件由這些套件填實: groonga-httpd
groonga-token-filter-stem (11.0.0-2)
Stemming token filter for Groonga
groonga-tokenizer-mecab (11.0.0-2)
MeCab tokenizer for Groonga
groovy (2.4.21-1)
Agile dynamic language for the Java Virtual Machine
groovy-doc (2.4.21-1)
Agile dynamic language for the Java Virtual Machine (documentation)
grop (2:0.10-1.2)
Graphic interface for the porg package manager/organizer
gross (1.0.2-4+b2)
fast and efficient greylist server with DNSBL support
groundhog (1.4-10+b1)
simple logic game
growisofs (7.1-14+b1 [amd64], 7.1-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DVD+-RW/R recorder
growl-for-linux (0.8.5-8)
Pluggable notification system which supports GNTP
growlight (1.2.31-1)
Disk manipulation and system preparation tool
grpc-proto (0.0~git20200526.dd2dca3-1.1)
Protobuf protocol definitions for gRPC services
grpn (1.4.1-1+b1 [amd64], 1.4.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GTK+ reverse polish notation calculator
grr-client-templates (3.1.0.2-4) [non-free]
incident response framework - pre-built client templates
grr.app (1.0-1+b6)
RSS reader for GNUstep
grsync (1.3.0-1+b1 [amd64], 1.3.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GTK+ frontend for rsync
grub
本虛擬套件由這些套件填實: grub-legacy
grub-cloud-amd64 (0.0.5)
GRand Unified Bootloader (cloud setup)
grub-common (2.06-3~deb11u6)
GRand Unified Bootloader (common files)
grub-coreboot (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Coreboot version)
grub-coreboot-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Coreboot modules)
grub-coreboot-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Coreboot debug files)
grub-customizer (5.1.0-3)
GUI to configure GRUB2 and BURG
grub-efi (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (dummy package)
grub-efi-amd64 (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-AMD64 version)
grub-efi-amd64-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-AMD64 modules)
grub-efi-amd64-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-AMD64 debug files)
grub-efi-amd64-signed (1+2.06+3~deb11u6)
GRand Unified Bootloader, version 2 (amd64 UEFI signed by Debian)
grub-efi-amd64-signed-template (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-AMD64 signing template)
grub-efi-arm (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM UEFI version)
grub-efi-arm-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM UEFI modules)
grub-efi-arm-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM UEFI debug files)
grub-efi-arm64 (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM64 UEFI version)
grub-efi-arm64-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM64 UEFI modules)
grub-efi-arm64-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM64 UEFI debug files)
grub-efi-arm64-signed (1+2.06+3~deb11u6)
GRand Unified Bootloader, version 2 (arm64 UEFI signed by Debian)
grub-efi-arm64-signed-template (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM64 UEFI signing template)
grub-efi-ia32 (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-IA32 version)
grub-efi-ia32-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-IA32 modules)
grub-efi-ia32-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-IA32 debug files)
grub-efi-ia32-signed (1+2.06+3~deb11u6)
GRand Unified Bootloader, version 2 (i386 UEFI signed by Debian)
grub-efi-ia32-signed-template (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (EFI-IA32 signing template)
grub-emu (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (emulated version)
grub-emu-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (emulated debug files)
grub-firmware-qemu (2.06-3~deb11u6)
GRUB firmware image for QEMU
grub-ieee1275 (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Open Firmware version)
grub-ieee1275-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Open Firmware modules)
grub-ieee1275-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Open Firmware debug files)
grub-imageboot (0.6+nmu1)
boot iso, harddisk and floppy images with grub2 and syslinux memdisk
grub-installer (1.181)
Install GRUB on a hard disk
grub-invaders (1.0.0-15)
multiboot compliant kernel game
grub-legacy (0.97-77)
GRand Unified Bootloader (Legacy version)
grub-legacy-doc (0.97-77)
Documentation for GRUB Legacy
grub-legacy-ec2
本虛擬套件由這些套件填實: pv-grub-menu
grub-linuxbios (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (dummy package)
grub-mount-udeb (2.06-3~deb11u6)
export GRUB filesystems using FUSE
grub-pc (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (PC/BIOS version)
grub-pc-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (PC/BIOS modules)
grub-pc-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (PC/BIOS debug files)
grub-rescue-pc (2.06-3~deb11u6)
GRUB bootable rescue images, version 2 (PC/BIOS version)
grub-splashimages (1.2.3+nmu1)
a collection of great GRUB splashimages
grub-theme-breeze (5.20.5-1)
Breeze theme for GRUB 2
grub-theme-starfield (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (starfield theme)
grub-uboot (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM U-Boot version)
grub-uboot-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM U-Boot modules)
grub-uboot-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (ARM U-Boot debug files)
grub-xen (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Xen version)
grub-xen-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Xen modules)
grub-xen-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Xen debug files)
grub-xen-host (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Xen host version)
grub-yeeloong (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Yeeloong version)
grub-yeeloong-bin (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Yeeloong modules)
grub-yeeloong-dbg (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (Yeeloong debug files)
grub2 (2.06-3~deb11u6)
GRand Unified Bootloader, version 2 (dummy package)
grub2-common (2.06-3~deb11u6)
GRand Unified Bootloader (common files for version 2)
grub2-splashimages (1.0.1+nmu2)
a collection of great GRUB2 splashimages
grun (0.9.3-2+b2)
GTK+ based Run dialog
grunt (1.3.0-1+deb11u2)
JavaScript task runner/build system/maintainer tool
gs-cjk-resource
本虛擬套件由這些套件填實: poppler-data
gsalliere (0.10-3.1)
Bridge duplicate scorer (GUI frontend)
gsasl (1.10.0-4+deb11u1)
GNU SASL command line utility
gsasl-common (1.10.0-4+deb11u1)
GNU SASL platform independent files
gsasl-doc (1.10.0-4+deb11u1)
GNU SASL documentation
gscan2pdf (2.11.0-1)
GUI to produce PDFs or DjVus from scanned documents
gscanbus (0.8-2+b1)
scan IEEE1394 (firewire/i.link) bus
gsequencer (3.7.44-3)
Advanced Gtk+ Sequencer audio processing engine
gsequencer-data (3.7.44-3)
Data files for Advanced Gtk+ Sequencer
gsequencer-doc (3.7.44-3)
Documentation for Advanced Gtk+ Sequencer
gsetroot (1.1-3+b1)
grahical GTK-based front-end for Esetroot
gsettings-backend
本虛擬套件由這些套件填實: dconf-gsettings-backend, gconf-gsettings-backend
gsettings-desktop-schemas (3.38.0-2)
GSettings desktop-wide schemas
gsettings-desktop-schemas-dev (3.38.0-2)
Development files for GSettings desktop-wide schemas
gsfonts (1:8.11+urwcyr1.0.7~pre44-4.5)
Fonts for the Ghostscript interpreter(s)
gsfonts-other (6.0-4) [non-free]
Additional fonts for the ghostscript interpreter
gsfonts-x11 (0.27)
Make Ghostscript fonts available to X11
gsimplecal (2.1-1+b2)
lightweight GUI calendar application
gsl-bin (2.6+dfsg-2)
GNU Scientific Library (GSL) -- binary package
gsl-doc-info (2.6-1) [non-free]
GNU Scientific Library (GSL) Reference Manual in info
gsl-doc-pdf (2.6-1) [non-free]
GNU Scientific Library (GSL) Reference Manual in pdf
gsl-ref-html (2.3-1) [non-free]
GNU Scientific Library (GSL) Reference Manual in html
gsl-ref-psdoc (2.3-1) [non-free]
GNU Scientific Library (GSL) Reference Manual in postscript
gsm0710muxd (1.13-3+b1)
GSM 07.10 Multiplexer
gsmartcontrol (1.1.3-2)
graphical user interface for smartctl
gsoap (2.8.104-3)
Stub generators for gSOAP
gsoap-doc (2.8.104-3)
gSOAP documentation
gsort (0.1.4-3+b6)
sort genomic data
gsound-tools (1.0.2-5)
small library for playing system sounds (tools)
gspell-1-tests (1.8.4-1)
spell-checking library for GTK+ applications - installed tests
gss-doc (1.0.3-6+deb11u1)
Documentation for GSS (except man pages)
gss-man (1.0.3-6+deb11u1)
Man pages for GSS
gss-ntlmssp (0.7.0-4)
GSSAPI NTLMSSP Mechanism -- MIT GSSAPI plugin
gss-ntlmssp-dev (0.7.0-4)
GSSAPI NTLMSSP Mechanism -- development headers
gss-proxy
本虛擬套件由這些套件填實: gssproxy
gssdp-tools (1.2.3-2)
GObject-based library for SSDP (tools)
gssproxy (0.8.2-2)
Privilege separation daemon for GSSAPI
gst-omx-listcomponents (1.18.3-1)
OpenMax plugins for GStreamer
gst123 (0.3.5-2+b1 [amd64], 0.3.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GStreamer based command line media player
gstreamer-qapt (3.0.5-1)
GStreamer plugin to install codecs using QApt
gstreamer1.0-adapter-pulseeffects (4.8.4-1)
GStreamer adapter plugin
gstreamer1.0-alsa (1.18.4-2+deb11u1)
GStreamer plugin for ALSA
gstreamer1.0-audiosink
本虛擬套件由這些套件填實: gstreamer1.0-alsa, gstreamer1.0-plugins-good, gstreamer1.0-plugins-bad
gstreamer1.0-audiosource
本虛擬套件由這些套件填實: gstreamer1.0-plugins-good, gstreamer1.0-plugins-base, gstreamer1.0-plugins-bad, gstreamer1.0-alsa
gstreamer1.0-autogain-pulseeffects (4.8.4-1)
GStreamer autogain plugin
gstreamer1.0-clutter-3.0 (3.0.27-2)
Clutter PLugin for GStreamer 1.0
gstreamer1.0-convolver-pulseeffects (4.8.4-1)
GStreamer convolver plugin
gstreamer1.0-crystalizer-pulseeffects (4.8.4-1)
GStreamer crystalizer plugin
gstreamer1.0-espeak (0.5.0-1)
GStreamer plugin for eSpeak speech synthesis
gstreamer1.0-fdkaac (1.18.3-2) [contrib]
GStreamer FDK AAC plugins
gstreamer1.0-gl (1.18.4-2+deb11u1)
GStreamer plugins for GL
gstreamer1.0-gtk3 (1.18.4-2+deb11u2)
GStreamer plugin for GTK+3
gstreamer1.0-libav (1.18.4-3)
ffmpeg plugin for GStreamer
gstreamer1.0-nice (0.1.16-1)
ICE library (GStreamer plugin)
gstreamer1.0-omx-bellagio-config (1.18.3-1)
OpenMax plugins for GStreamer
gstreamer1.0-omx-generic (1.18.3-1)
OpenMax plugins for GStreamer
gstreamer1.0-omx-generic-config (1.18.3-1)
OpenMax plugins for GStreamer
gstreamer1.0-opencv (1.18.4-3+deb11u4)
GStreamer OpenCV plugins
gstreamer1.0-packagekit (1.2.2-2)
GStreamer plugin to install codecs using PackageKit
gstreamer1.0-pipewire (0.3.19-4)
GStreamer 1.0 plugin for the PipeWire multimedia server
gstreamer1.0-plugins-bad (1.18.4-3+deb11u4)
GStreamer plugins from the "bad" set
gstreamer1.0-plugins-bad-apps (1.18.4-3+deb11u4)
GStreamer helper programs from the "bad" set
gstreamer1.0-plugins-bad-faad
本虛擬套件由這些套件填實: gstreamer1.0-plugins-bad
gstreamer1.0-plugins-bad-videoparsers
本虛擬套件由這些套件填實: gstreamer1.0-plugins-bad
gstreamer1.0-plugins-base (1.18.4-2+deb11u1)
GStreamer plugins from the "base" set
gstreamer1.0-plugins-base-apps (1.18.4-2+deb11u1)
GStreamer helper programs from the "base" set
gstreamer1.0-plugins-good (1.18.4-2+deb11u2)
GStreamer plugins from the "good" set
gstreamer1.0-plugins-rtp (1.14.4.1+b1 [ppc64el], 1.14.4.1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x])
GStreamer elements from the "rtp" set
gstreamer1.0-plugins-ugly (1.18.4-2+deb11u1)
GStreamer plugins from the "ugly" set
gstreamer1.0-plugins-ugly-amr
本虛擬套件由這些套件填實: gstreamer1.0-plugins-ugly
gstreamer1.0-pocketsphinx (0.8+5prealpha+1-13)
Speech recognition tool - GStreamer plugin
gstreamer1.0-pulseaudio (1.18.4-2+deb11u2)
GStreamer plugin for PulseAudio (transitional package)
gstreamer1.0-python3-dbg-plugin-loader (1.18.3-1)
GStreamer Loader for Python Plugin (Python 3, debug extensions)
gstreamer1.0-python3-plugin-loader (1.18.3-1)
GStreamer Loader for Python Plugin (Python 3)
gstreamer1.0-qt5 (1.18.4-2+deb11u2)
GStreamer plugin for Qt5
gstreamer1.0-rtsp (1.18.4-2)
RTSP plugin for GStreamer
gstreamer1.0-tools (1.18.4-2.1)
Tools for use with GStreamer
gstreamer1.0-vaapi (1.18.4-2)
VA-API plugins for GStreamer
gstreamer1.0-videosink
本虛擬套件由這些套件填實: gstreamer1.0-qt5, gstreamer1.0-gl, gstreamer1.0-x, gstreamer1.0-plugins-good, gstreamer1.0-gtk3, gstreamer1.0-plugins-bad
gstreamer1.0-videosource
本虛擬套件由這些套件填實: gstreamer1.0-gl, gstreamer1.0-qt5, gstreamer1.0-plugins-bad, gstreamer1.0-plugins-good, gstreamer1.0-plugins-base
gstreamer1.0-visualization
本虛擬套件由這些套件填實: gstreamer1.0-plugins-good, gstreamer1.0-plugins-bad
gstreamer1.0-wpe (1.18.4-3+deb11u4)
GStreamer WPEWebKit plugin
gstreamer1.0-x (1.18.4-2+deb11u1)
GStreamer plugins for X11 and Pango
gsutil (3.1-4)
configure and manage Grandstream BudgeTone 100 VOIP and GX2000 phones
gt5 (1.5.0~20111220+bzr29-4)
shell program to display visual disk usage with navigation
gtamsanalyzer.app (0.42-7+b7)
Text analysis application for GNUstep
gtans (1.99.0-2+b1)
Tangram (puzzle) game using GTK+
gtetrinet (0.7.11+git20200916.46e7ade-2)
multiplayer tetris-like game
gthd (0.0.2-1+b1 [amd64], 0.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DBus service to monitor thermal information
gthumb (3:3.11.2-0.1)
image viewer and browser
gthumb-data (3:3.11.2-0.1)
image viewer and browser - arch-independent files
gthumb-dev (3:3.11.2-0.1)
image viewer and browser - development files
gthumb2
本虛擬套件由這些套件填實: gthumb
gtick (0.5.5-2)
Metronome application
gtimelog (0.11.3-2)
time logging application
gtimer (2.0.0-1.2+b1)
GTK-based X11 task timer
gtk-3-examples (3.24.24-4+deb11u3)
example files for GTK 3
gtk-chtheme (0.3.1-6.1)
GTK+ 2.0 theme changer and previewer utility
gtk-doc-tools (1.33.2-1)
GTK+ documentation tools
gtk-im-libthai (0.2.2-1)
GTK+ 2 Input Method Module using LibThai
gtk-layer-shell-doc (0.5.2-2)
documentation for the Wayland Layer Shell protocol desktop component library
gtk-layer-shell-examples (0.5.2-2)
example files for the Wayland Layer Shell protocol desktop component library
gtk-sharp2 (2.12.40-3)
GTK# 2.10 suite, CLI bindings for GTK+
gtk-sharp2-examples (2.12.40-3)
sample applications for the GTK# 2.10 toolkit
gtk-sharp2-gapi (2.12.40-3)
C source parser and C# code generator for GObject based APIs
gtk-sharp3 (2.99.3-4)
GTK# 3.0 suite, CLI bindings for GTK+
gtk-sharp3-examples (2.99.3-4)
sample applications for the GTK# 3.0 toolkit
gtk-sharp3-gapi (2.99.3-4)
C source parser and C# code generator for GObject based APIs
gtk-theme-switch (2.1.0-5+b1)
GTK+ theme switching utility
gtk-update-icon-cache (3.24.24-4+deb11u3)
icon theme caching utility
gtk-vector-screenshot (0.3.3-1)
takes screenshots of applications as PDF or SVG files
gtk2-engines (1:2.20.2-5)
theme engines for GTK+ 2.x
gtk2-engines-aurora (1.5.1-4+b1)
Aurora gtk+-2.0 theme engine
gtk2-engines-cleanice (2.4.1-3+b1)
CleanIce themes for GTK+ 2.x
gtk2-engines-gartoon
本虛擬套件由這些套件填實: gnome-icon-theme-gartoon
gtk2-engines-moblin (1.1.1-1.1+b2)
gtk2 engines for moblin
gtk2-engines-murrine (0.98.2-3)
cairo-based gtk+-2.0 theme engine
gtk2-engines-nodoka (0.7.0-1.2+b2)
Nodoka theme engine for GTK+ 2.x
gtk2-engines-oxygen (1.4.6-1.1)
Oxygen widget theme for GTK+-based applications
gtk2-engines-pixbuf (2.24.33-2)
pixbuf-based theme for GTK 2
gtk2-engines-qtcurve (1.9-7+b2)
QtCurve widget style for applications based on GTK+ 2.x
gtk2-engines-sugar (0.118-1)
Sugar Learning Platform - GTK+ 2.x theme engine
gtk2-engines-udeb (1:2.20.2-5)
theme engines for GTK+ 2.x in the Debian installer
gtk2.0-binver-2.10.0
本虛擬套件由這些套件填實: libgtk2.0-0, libgtk2.0-0-udeb
gtk2.0-examples (2.24.33-2)
example files for GTK 2
gtk2hs-buildtools (0.13.8.0-1+b2 [s390x], 0.13.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Tools to build the Gtk2Hs suite of User Interface libraries
gtk3-binver-3.0.0
本虛擬套件由這些套件填實: libgtk-3-0-udeb, libgtk-3-0
gtk3-engines-breeze (5.20.5-1)
Transitional package for KDE's Breeze
gtk3-im-libthai (0.2.2-1)
GTK+ 3 Input Method Module using LibThai
gtk3-nocsd (3-1)
Disable Gtk+ 3 client side decorations (CSD)
gtkam (1.0-3)
application for retrieving media from digital cameras
gtkam-gimp (1.0-3)
gtkam gimp plugin to open digital camera pictures
gtkatlantic (0.6.3-1)
Game like Monopoly
gtkballs (3.1.5-12)
simple logic game
gtkboard (0.11pre0+cvs.2003.11.02-11)
many board games in one program
gtkguitune (0.8-6+b3)
Guitar and other instruments tuner
gtkhash (1.2-1+b3 [amd64], 1.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GTK+ utility for computing checksums and more
gtklp (1.3.1-1)
printing tool for CUPS on the GNOME Desktop
gtkmm-documentation (3.24.0-1)
Documentation of C++ wrappers for GLib/GTK+
gtkmorph (1:20140707+nmu2.1)
Digital image warp and morph (gtk)
gtkmorph-example (1:20140707+nmu2.1)
digital image warp and morph, examples
gtkpod (2.1.5-8)
manage songs and playlists on an Apple iPod
gtkpod-data (2.1.5-8)
architecture-independent files for gtkpod
gtkpod-dbg (2.1.5-8)
debugging symbols for gtkpod
gtkpool (0.5.0-9+b2)
simple pool billiard game written with GTK+
gtkterm (1.1.1-1)
simple GTK+ serial port terminal
gtkwave (3.3.104+really3.3.118-0+deb11u1) [security]
VCD (Value Change Dump) file waveform viewer
gtm
本虛擬套件由這些套件填實: fis-gtm-6.3-014
gtml (3.5.4-30)
HTML pre-processor
gtranscribe (0.10.1-1)
simple GTK+ tool focused on easy transcription of spoken words
gtranslator (3.38.0-1)
PO-file editor for the GNOME Desktop
gtypist (2.9.5-3+b1)
simple ncurses touch typing tutor
guacd (1.3.0-1+b1)
HTML5 web application for accessing remote desktops (proxy daemon)
guake (3.6.3-2)
Drop-down terminal for GNOME Desktop Environment
guake-indicator (1.4.5-1)
Guake terminal app indicator
gubbins (2.4.1-4)
phylogenetic analysis of genome sequences
gucharmap (1:13.0.5-1)
Unicode character picker and font browser
gucumber (0.0~git20160715.0.71608e2-1.1+b5)
Cucumber BDD-style testing for Go -- utility
gudhi-utils (3.4.1+dfsg-1)
Utilities from the GUDHI library
gudhui (3.4.1+dfsg-1)
GUI for some of the functionality of the GUDHI library
guessnet (0.56+b2)
Guess which LAN a network device is connected to
guestfsd (1:1.44.0-2)
Daemon to access to guest virtual machine through virtio serial
guetzli (1.0.1-1+b1 [amd64], 1.0.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
perceptual JPEG encoder
gufw (20.04.1-1)
graphical user interface for ufw
guidedog (1.3.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.3.0-1 [armel, armhf])
NAT/masquerading/port-forwarding configuration tool in Qt5
guile
本虛擬套件由這些套件填實: guile-2.2, guile-3.0
guile-2.2 (2.2.7+1-6)
GNU extension language and Scheme interpreter
guile-2.2-dev (2.2.7+1-6)
Development files for Guile 2.2
guile-2.2-doc (2.2.7+1-6)
Documentation for Guile 2.2
guile-2.2-libs (2.2.7+1-6)
Core Guile libraries
guile-3.0 (3.0.5-4)
GNU extension language and Scheme interpreter
guile-3.0-dev (3.0.5-4)
Development files for Guile 3.0
guile-3.0-doc (3.0.5-4)
Documentation for Guile 3.0
guile-3.0-libs (3.0.5-4)
Core Guile libraries
guile-bytestructures (1.0.7-3)
Structured access to bytevector contents
guile-cairo (1.11.2-3)
Guile bindings for Cairo
guile-cairo-dev (1.11.2-3)
Guile bindings for Cairo, development files
guile-g-wrap (1.9.15-3.1)
scripting interface generator for C - Guile runtime
guile-gcrypt (0.3.0-3)
gcrypt bindings for guile
guile-git (0.4.0-3)
guile bindings for libgit2
guile-gnome2-dev (2.16.5-2+b2 [amd64], 2.16.5-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Guile GObject binding support library, development files
guile-gnome2-glib (2.16.5-2+b2 [amd64], 2.16.5-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Guile bindings for GLib
guile-gnome2-gtk (2.16.5-2+b2 [amd64], 2.16.5-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Guile bindings for GTK+, libglade, Pango and ATK
guile-gnutls (3.7.1-5+deb11u4)
GNU TLS library - GNU Guile bindings
guile-json (4.3.2-2)
JSON module for Guile
guile-library (0.2.6.1-2)
Library of useful Guile modules
guile-lzlib (0.0.2-2)
lzlib bindings for guile
guile-sqlite3 (0.1.3-2)
guile bindings for sqlite3
guile-ssh (0.13.1-4)
guile bindings for libssh
guile-zlib (0.0.1-3)
zlib bindings for guile
guile-zstd (0.1.1-2)
zstd bindings for guile
guilt (0.36-2)
quilt for git; similar to Mercurial queues
guitarix (0.42.1+dfsg1-2)
Rock guitar amplifier for JACK
guitarix-common (0.42.1+dfsg1-2)
Guitarix - Common files
guitarix-doc (0.42.1+dfsg1-2)
Guitarix - Development documentation
guitarix-ladspa (0.42.1+dfsg1-2)
Guitarix - ladspa plugins
guitarix-lv2 (0.42.1+dfsg1-2)
Guitarix - LV2 plugins
guix (1.2.0-4+deb11u1)
GNU Guix functional package manager
gulp (4.0.2-8)
streaming build system to automate painful or time-consuming tasks
gummi (0.8.1-1)
simple LaTeX editor with live preview
guncat (2.00.01-1)
Catenates files while decrypting PGP-encrypted sections
gunicorn (20.1.0-1)
Event-based HTTP/WSGI server
gunicorn-examples (20.1.0-1)
Event-based HTTP/WSGI server (examples)
gunicorn3
本虛擬套件由這些套件填實: gunicorn
gunroar (0.15.dfsg1-9+b2)
360-degree gunboat shooter
gunroar-data (0.15.dfsg1-9)
360-degree gunboat shooter - game data
gup (0.5.15+b2)
let a remote site change their newsgroups subscription
gupnp-dlna-tools (0.10.5-4)
GObject-based library for GUPnP DLNA (tools)
gupnp-tools (0.10.0-2)
tools for testing UPnP devices and control points
gutenprint-doc (5.3.3-5)
users' guide for Gutenprint and CUPS
gutenprint-locales (5.3.3-5)
locale data files for Gutenprint
guvcview (2.0.6+debian-1+b2)
GTK+ base UVC Viewer
guymager (0.8.12-1)
Forensic imaging tool based on Qt
gv (1:3.7.4-2+b1 [amd64], 1:3.7.4-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostScript and PDF viewer for X
gvb (1.4-1.1)
visual simulator of 1 and 2-dimensional vibrations
gvfs (1.46.2-1)
userspace virtual filesystem - GIO module
gvfs-backends (1.46.2-1)
userspace virtual filesystem - backends
gvfs-bin (1.46.2-1)
userspace virtual filesystem - deprecated command-line tools
gvfs-common (1.46.2-1)
userspace virtual filesystem - common data files
gvfs-daemons (1.46.2-1)
userspace virtual filesystem - servers
gvfs-fuse (1.46.2-1)
userspace virtual filesystem - fuse server
gvfs-libs (1.46.2-1)
userspace virtual filesystem - private libraries
gvidm (0.8-15+b1 [amd64], 0.8-15 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
quickly and easily change video resolutions in X
gvim (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-gtk3, vim-athena
gvncviewer (1.0.0-1)
VNC viewer using gtk-vnc
gvpe (3.1-2+b1 [amd64], 3.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
creates a virtual ethernet between multiple endpoints
gwaei (3.6.2-9)
Japanese-English Dictionary for GNOME
gwama (2.2.2+dfsg-4)
Genome-Wide Association Meta Analysis
gwaterfall (0.1-5.1+b1)
View all characters of a font in all sizes
gwave (20190116-2+b2)
waveform viewer eg for spice simulators
gwc (0.22.04-1.1)
Audio file denoiser
gwenhywfar-tools (5.6.0-2)
helper applications for Gwenhywfar library
gwenview (4:20.12.3-2)
image viewer
gwhois (20120626-1.3)
generic Whois Client / Server
gworkspace-apps-wrappers (0.9.4-3)
Application wrappers for GWorkspace
gworkspace-common (0.9.4-3)
GNUstep Workspace Manager - common files
gworkspace.app (0.9.4-3)
GNUstep Workspace Manager
gworldclock (1.4.4-11)
Displays time and date in specified time zones
gwsetup (6.08+git20181019+dfsg-3)
utilities to configure and manipulate Geneweb databases
gwtp (6.08+git20181019+dfsg-3)
web interface interacting with Geneweb databases
gwyddion (2.57-1)
Scanning Probe Microscopy visualization and analysis tool
gwyddion-common (2.57-1)
architecture-independent files for Gwyddion SPM analysis tool
gxemul (0.6.2-2)
machine emulator for multiple architectures
gxemul-doc (0.6.2-2)
gxemul documentation
gxkb (0.9.0-1)
X11 keyboard indicator and switcher
gxmessage (3.4.3-1+b1)
xmessage clone based on GTK+
gxmms2 (0.7.1-3+b1)
XMMS2 client for the GNOME desktop
gxtuner (3.0-2)
Tuner for Jack
gxw-glade (0.42.1+dfsg1-2)
Guitarix - GTK+ library Glade support
gyoto (1.4.4-3)
General relativistic geodesic integration and ray-tracing
gyoto-bin (1.4.4-3+b6)
General relativistic ray-tracing command-line interface
gyoto-doc (1.4.4-3)
documentation for the Gyoto library
gyp (0.1+20200513gitcaa6002-2)
Cross-platform build script generator
gyrus (0.3.12-1)
GNOME tool for Cyrus-IMAP servers administration
gzip (1.10-4+deb11u1)
GNU compression utilities
gzip-win32 (1.10-4+deb11u1)
GNU compression utility (win32 build)
gzrt (0.8-1+b1)
gzip recovery toolkit
gztool (1.1.1-1)
gzip-compressed file indexer
h2o (2.2.5+dfsg2-6)
optimized HTTP/1.x, HTTP/2 server
h2o-doc (2.2.5+dfsg2-6)
optimized HTTP/1.x, HTTP/2 server - documentation
h5ar (19.04.0+dfsg-4)
easy-to-use HDF archiver using Java
h5utils (1.13.1-4)
HDF5 files visualization tools
hachoir (3.1.0+dfsg-3)
Python framework to parse and edit binary files
hachu (0.21-29-gdf26f4a-3)
AI engine for many Shogi and Chess variants, including Chu, Sho, and Dai Shogi
haci (0.98c-2.1)
web based IP(v6) address and network administration tool
hackrf (2018.01.1-2)
Software defined radio peripheral - utilities
hacktv (0+git20201203-1)
Analogue TV transmitter for the HackRF
haddock
本虛擬套件由這些套件填實: ghc
haddock-interface-35
本虛擬套件由這些套件填實: ghc
hadori (1.1-1)
Hardlinks identical files
halibut (1.2-4)
yet another free document preparation system
hamexam (1.7.0-3)
Study tool for USA FCC amateur radio (ham) exams.
haml-elisp (1:3.1.0-3.2)
Emacs Lisp mode for the Haml markup language
hamradio-all (0.7)
Default selection of tasks for Debian Hamradio
hamradio-antenna (0.7)
Debian Hamradio Antenna Packages
hamradio-datamodes (0.7)
Debian Hamradio Data Modes Packages
hamradio-digitalvoice (0.7)
Debian Hamradio Digital Voice Packages
hamradio-files (20210608)
Ham radio call sign and prefix lists
hamradio-logging (0.7)
Debian Hamradio Logging Packages
hamradio-maintguide (0.6)
Debian Hamradio Maintainers Guide
hamradio-morse (0.7)
Debian Hamradio Morse/CW Packages
hamradio-nonamateur (0.7)
Debian Hamradio Non-Amateur Modes Packages
hamradio-packetmodes (0.7)
Debian Hamradio Packet Mode Packages
hamradio-rigcontrol (0.7)
Debian Hamradio Rig Control Packages
hamradio-satellite (0.7)
Debian Hamradio Satellite Operation Packages
hamradio-sdr (0.7)
Debian Hamradio Software Defined Radio Packages
hamradio-tasks (0.7)
Debian Hamradio Tasks
hamradio-tools (0.7)
Debian Hamradio Tools Packages
hamradio-training (0.7)
Debian Hamradio Training Packages
hamster-applet (3.0.2-3)
Transitional package for hamster-applet
hamster-time-tracker (3.0.2-3)
time tracking application for GNOME
handbrake (1.3.1+ds1-2+b3)
versatile DVD ripper and video transcoder (GTK+ GUI)
handbrake-cli (1.3.1+ds1-2+b3)
versatile DVD ripper and video transcoder (command line)
handlebars (3:4.7.6+~4.1.0-2)
build semantic templates effectively with no frustration
handy-0.0-examples (0.0.13-3)
Example programs for libhandy
handy-1-examples (1.0.3-2)
Example programs for libhandy
hannah (1.0-3.1)
pacman-like game, child oriented
hannah-data (1.0-3.1)
pacman-like game, child oriented - data files
hannah-foo2zjs (1:4+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1:4 [armel, armhf]) [contrib]
Graphical firmware downloader for the foo2zjs package
hapolicy (1.35-6)
Balancing and fallback postfix policy delegation service
happy (1.19.12-2)
Parser generator for Haskell
haproxy (2.2.9-2+deb11u6)
fast and reliable load balancing reverse proxy
haproxy-doc (2.2.9-2+deb11u6)
fast and reliable load balancing reverse proxy (HTML documentation)
haproxy-log-analysis (2.0~b0-2)
analyse the HTTP logs generated by HAProxy
haproxyctl (1.4.3-1)
Utility to manage HAProxy
harddrive-detection
本虛擬套件由這些套件填實: disk-detect
harddrive-detection-dasd
本虛擬套件由這些套件填實: s390-dasd
harddrive-detection-zfcp
本虛擬套件由這些套件填實: s390-zfcp
harden-doc (3.19+nmu1)
useful documentation to secure a Debian system
hardening-runtime (2)
Runtime hardening configuration files
hardinfo (0.5.1+git20180227-2.1)
顯示系統資訊
hardlink (0.3.2+b1)
Hardlinks multiple copies of the same file
harminv (1.4.1-2+b1 [amd64], 1.4.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
extraction of complex frequencies and amplitudes from time series
harp (1.12-1+b1)
Data harmonization toolset for Earth Observation formats
haruna (0.5.0-2)
Video player built with Qt/QML on top of libmpv
harvest-tools (1.3-6)
archiving and postprocessing for reference-compressed genomic multi-alignments
harvid (0.8.3-2)
HTTP Ardour Video Server
hasciicam (1.1.2-1+b3 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.1.2-1 [arm64])
(h)ascii for the masses: live video as text
haserl (0.9.35-2+b1)
CGI scripting program for embedded environments
hashalot (0.3-10)
Read and hash a passphrase
hashcash (1.21-2+b1 [amd64], 1.21-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
postage payment scheme for email based on hash calculations
hashcat (6.1.1+ds1-1)
World's fastest and most advanced password recovery utility
hashcat-data (6.1.1+ds1-1)
Data files for hashcat advanced password recovery utility
hashcat-nvidia (20210201) [contrib]
Installs hashcat and its dependencies for users with NVIDIA GPU
hashcheck (1.0.0-1+b2 [amd64], 1.0.0-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.0.0-1 [armel, armhf])
verifies the files on a live mounted ISO image
hashdeep (4.4-7)
recursively compute hashsums or piecewise hashings
hashid (3.1.4-4)
Identify the different types of hashes used to encrypt data
hashrat (1.13-1)
hashing tool supporting several hashes and recursivity
haskell-aeson-diff-utils (1.1.0.9-1)
extract and apply patches to JSON documents
haskell-agda-doc
本虛擬套件由這些套件填實: libghc-agda-doc
haskell-compiler
本虛擬套件由這些套件填實: ghc
haskell-convertible-doc
本虛擬套件由這些套件填實: libghc-convertible-doc
haskell-cpphs-doc
本虛擬套件由這些套件填實: libghc-cpphs-doc
haskell-cracknum-utils (2.3-2+b2 [s390x], 2.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
crack various integer, floating-point data formats
haskell-dbus-hslogger-utils (0.1.0.1-2+b4 [s390x], 0.1.0.1-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.1-2+b2 [mipsel], 0.1.0.1-2+b1 [mips64el])
expose a dbus server to control hslogger
haskell-debian-utils (4.0.2-1+b3 [s390x], 4.0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.0.2-1+b1 [mips64el, mipsel])
Various helpers to work with Debian packages
haskell-devscripts (0.16.0)
Tools to help Debian developers build Haskell packages
haskell-devscripts-minimal (0.16.0)
Tools to help Debian developers build Haskell packages
haskell-doc (20061128)
Assorted Haskell language documentation
haskell-gtk-sni-tray-utils (0.1.6.0-2+b2 [mipsel], 0.1.6.0-2+b1 [amd64, arm64, armhf, i386, mips64el, ppc64el, s390x])
standalone StatusNotifierItem/AppIndicator tray
haskell-hdbc-doc
本虛擬套件由這些套件填實: libghc-hdbc-doc
haskell-hdbc-postgresql-doc
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-doc
haskell-hdbc-sqlite3-doc
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-doc
haskell-hosc-utils (0.17-2)
Haskell Open Sound Control
haskell-http-doc
本虛擬套件由這些套件填實: libghc-http-doc
haskell-js-dgtable-utils (0.5.2-2)
obtain minified jquery.dgtable code
haskell-lazy-csv-utils (0.5.1-4+b2 [s390x], 0.5.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient lazy parsers for CSV (comma-separated values)
haskell-misfortune (0.1.1.2-10+b3 [s390x], 0.1.1.2-10+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.2-10+b1 [mips64el, mipsel])
fortune-mod clone
haskell-mode (17.2-3)
transitional package
haskell-pcre-light-doc
本虛擬套件由這些套件填實: libghc-pcre-light-doc
haskell-platform (2014.2.0.0.debian8)
Standard Haskell libraries and tools
haskell-platform-doc (2014.2.0.0.debian8)
Standard Haskell libraries and tools; documentation
haskell-platform-prof (2014.2.0.0.debian8)
Standard Haskell libraries and tools; profiling libraries
haskell-raaz-utils (0.2.1-2+b2 [s390x], 0.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic networking library for Haskell
haskell-regex-compat-doc
本虛擬套件由這些套件填實: libghc-regex-compat-doc
haskell-regex-posix-doc
本虛擬套件由這些套件填實: libghc-regex-posix-doc
haskell-src-exts-doc
本虛擬套件由這些套件填實: libghc-src-exts-doc
haskell-stack (2.3.3-1)
The Haskell Tool Stack
haskell-status-notifier-item-utils (0.3.0.5-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 0.3.0.5-1+b1 [mips64el])
StatusNotifierItem/libappindicator dbus implementation
haskell-zlib-doc
本虛擬套件由這些套件填實: libghc-zlib-doc
haskell98-report (20080907-9)
The Haskell 98 Language and Libraries Revised Report & addenda
haskell98-tutorial (200006-2-3)
A Gentle Introduction to Haskell 98
hasktags (0.71.2-2)
Producer of ctags "tags" and etags "TAGS" files for Haskell programs
hatari (2.3.1+dfsg-1)
Emulator for the Atari ST, STE, TT, and Falcon computers
hatop (0.8.0-1.1)
interactive ncurses client for haproxy
haveged (1.9.14-1)
Linux entropy source using the HAVEGE algorithm
haveged-udeb (1.9.14-1)
Linux entropy source using the HAVEGE algorithm -- udeb
havp (0.93-2)
HTTP Anti Virus Proxy
haxe (1:4.1.5-1)
multi-target universal programming language
haxml (1:1.25.5-2+b2 [s390x], 1:1.25.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utilities for using XML documents with Haskell
haxml-doc
本虛擬套件由這些套件填實: libghc-haxml-doc
hcloud-cli (1.13.0-2+b6)
command-line interface for Hetzner Cloud
hcxdumptool (6.0.5-2)
Small tool to capture packets from wlan devices
hcxtools (6.0.2-1+b1 [amd64], 6.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tools for converting captures to use with hashcat or John the Ripper
hd-idle (1.05+ds-2)
Spin down idle [USB] hard disks
hdapsd (1:20141203-2)
HDAPS daemon for various laptops with motion sensors
hdate (1.6.02-2.1+b1)
Provides the hcal and hdate binaries that help use Hebrew dates
hdate-applet (0.15.11-4)
Hebrew calendar applet
hdav (1.3.4-1+b4 [mipsel], 1.3.4-1+b3 [s390x], 1.3.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.4-1+b1 [mips64el])
command-line WebDAV client
hddtemp (0.3-beta15-54)
hard drive temperature monitoring utility
hdf-compass (0.7~b8-3)
viewer for HDF5 and related formats
hdf-compass-doc (0.7~b8-3)
documentation and examples for the HDF Compass
hdf4-tools (4.2.15-3)
Hierarchical Data Format library -- runtime package
hdf5-helpers (1.10.6+repack-4+deb11u1)
HDF5 - Helper tools
hdf5-tools (1.10.6+repack-4+deb11u1)
HDF5 - Runtime tools
hdhomerun-config (20210210-1)
Configuration utility for Silicon Dust HD HomeRun
hdmi2usb-fx2-firmware (0.0.0~git20151225-3)
FX2 firmware for hdmi2usb board development
hdmi2usb-mode-switch (0.0.1-2+deb11u1)
Configuration and firmware tool for HDMI2USB devices
hdmi2usb-udev (0.0.1-2+deb11u1)
udev rules for HDMI2USB devices
hdparm (9.60+ds-1)
tune hard disk parameters for high performance
hdparm-udeb (9.60+ds-1)
tune hard disk parameters for high performance
hdrmerge (0.5+git20200117-2+b2)
HDR exposure merging
hdup (2.0.14-6+b1 [amd64], 2.0.14-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Filesystem duplicator and backup
headache (1.04-1)
Tool to manage license notes of source files
healpy-data (1.14.0-1)
HEALPix representation of spherical data - Python data
health-check (0.03.09-1)
process monitoring tool
heaptrack (1.2.0-1+b1)
heap memory profiler for Linux
heaptrack-gui (1.2.0-1+b1)
heap memory profiler for Linux
hearse (1.5-8.3)
exchange Nethack bones files with other players
heartbeat (1:3.0.6-11+deb11u1)
Subsystem for High-Availability Linux
heartbeat-dev (1:3.0.6-11+deb11u1)
Subsystem for High-Availability Linux - development files
heartbleeder (0.1.1-9+b5)
test servers for OpenSSL CVE-2014-0160 aka Heartbleed
heat-api (1:15.0.0-4)
OpenStack orchestration service - API server
heat-api-cfn (1:15.0.0-4)
OpenStack orchestration service - CFN API
heat-cfntools (1.4.2-2.1)
Tools required to be installed on Heat provisioned cloud
heat-common (1:15.0.0-4)
OpenStack orchestration service - common files
heat-doc (1:15.0.0-4)
OpenStack orchestration service - Documentation
heat-engine (1:15.0.0-4)
OpenStack orchestration service - engine
heat-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Heat plugin
hebcal (4.25-2)
Perpetual Jewish Calendar
hedgewars (1.0.0-14+b1)
Funny turn-based artillery game, featuring fighting hedgehogs!
hedgewars-data (1.0.0-14)
Data files for hedgewars
heif-gdk-pixbuf (1.11.0-1)
ISO/IEC 23008-12:2017 HEIF file format decoder - gdk-pixbuf loader
heif-thumbnailer (1.11.0-1)
ISO/IEC 23008-12:2017 HEIF file format decoder - thumbnailer
heimdal-clients (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - clients
heimdal-dev (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - development files
heimdal-docs (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - documentation
heimdal-hdb-api-8
本虛擬套件由這些套件填實: libhdb9-heimdal
heimdal-kcm (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - KCM daemon
heimdal-kdc (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - key distribution center (KDC)
heimdal-multidev (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - Multi-implementation Development
heimdal-servers (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - server programs
heimdall-flash (1.4.2+dfsg-1)
tool for flashing firmware on Samsung Galaxy S devices
heimdall-flash-frontend (1.4.2+dfsg-1)
tool for flashing firmware on Samsung Galaxy S devices - Qt GUI
hellfire (0.0~git20180708.bf3c390-1+b16)
PATHspider Effects List Resolver
hello (2.10-2)
example package based on GNU hello
hello
本虛擬套件由這些套件填實: hello-traditional
hello-traditional (2.10-5)
example package not using any helper package
help2man (1.48.1)
Automatic manpage generator
helpdev (0.7.1-2)
Helper tool to get environment information
helpman (2.4-1)
quick & easy access to 4000+ manuals / guides / tutorials
helpviewer.app (0.3-8+b7)
Online help viewer for GNUstep programs
hepmc3-doc (3.1.2-2)
Event Record for Monte Carlo Generators (docs)
hera-utils (0~git20200602+dfsg-3)
Utilities built from the Hera library
herbstluftwm (0.9.2-1)
manual tiling window manager for X11
hercules (3.13-7)
System/370, ESA/390 and z/Architecture Emulator
herculesstudio (1.5.0-4)
Hercules GUI front-end
heretic-engine
本虛擬套件由這些套件填實: doomsday, chocolate-doom
herisvm (0.9.0-2)
machine learning tools for classification algorithms
heroes (0.21-18)
Collect powerups and avoid your opponents' trails
heroes-common
本虛擬套件由這些套件填實: heroes
heroes-data (1.5-4)
Required data files for heroes
heroes-sdl
本虛擬套件由這些套件填實: heroes
heroes-sound-effects (1.0-6)
Optional sound files for heroes
heroes-sound-tracks (1.0-6)
Optional sound files for heroes
herold (8.0.1-1)
HTML to DocBook XML conversion
hershey-font-gnuplot (0.1-1.1)
Hershey vector fonts renderer for gnuplot
hershey-fonts-data (0.1-1.1)
Hershey vector fonts collection
hesiod (3.2.1-3.1)
Project Athena's DNS-based directory service - utilities
hevea (2.34-2+b1)
translates from LaTeX to HTML, info, or text
hevea-doc (2.34-1) [non-free]
HeVeA documentation
hex-a-hop (1.1.0+git20140926-1.1)
puzzle game based on hexagonal tiles
hex-a-hop-data (1.1.0+git20140926-1.1)
graphics, audio, levels and translations for Hex-a-hop
hexalate (1.1.6-1)
Color matching puzzle
hexbox (1.5.0-5)
Hex Edit Control for .NET developers - apps
hexchat (2.14.3-6+deb11u1)
IRC client for X based on X-Chat 2
hexchat-common (2.14.3-6+deb11u1)
Common files for HexChat
hexchat-dev (2.14.3-6+deb11u1)
Development files for HexChat
hexchat-lua (2.14.3-6+deb11u1)
Lua plugin for HexChat
hexchat-otr (0.2.2-3)
hexchat plugin to support Off The Record (OTR)
hexchat-perl (2.14.3-6+deb11u1)
Perl plugin for HexChat
hexchat-plugins (2.14.3-6+deb11u1)
Common plugins for HexChat
hexchat-python3 (2.14.3-6+deb11u1)
Python 3 plugin for HexChat
hexcompare (1.0.4-1+b1)
utility to compare and identify binary files
hexcurse (1.58-1.3)
Ncurses-based hex editor with many features
hexec (0.2.1-4+b1 [amd64], 0.2.1-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Command line tool to hook into exec calls
hexedit (1.5-2)
viewer and editor in hexadecimal or ASCII for files or devices
hexen-engine
本虛擬套件由這些套件填實: chocolate-doom, doomsday
hexen2-engine
本虛擬套件由這些套件填實: uhexen2
hexer (1.0.6-1)
interactive binary editor with a Vi-like interface
hexter (1.1.1-1)
Yamaha DX7 modeling DSSI plugin
hexxagon (1.0pl1-3.2)
Hexagonal Ataxx clone
hexyl (0.8.0-2+b1)
Command-line hex viewer with colored output
hey (0.1.4-1+b5)
Sends some load to a web application
hfsplus (1.0.4-16)
Tools to access HFS+ formatted volumes
hfsprogs (540.1.linux3-4) [non-free]
mkfs and fsck for HFS and HFS+ file systems
hfst (3.15.1-2+b5)
Helsinki Finite-State Transducer Technology
hfst-ospell (0.5.2-1+b1)
Spell checker library and tool based on HFST
hfst-ospell-dev (0.5.2-1+b1)
HFST spell checker development files
hfsutils (3.2.6-15)
Tools for reading and writing Macintosh volumes
hfsutils-tcltk (3.2.6-15)
Tcl/Tk interfaces for reading and writing Macintosh volumes
hhsuite (3.3.0+ds-4+b3)
sensitive protein sequence searching based on HMM-HMM alignment
hhsuite-data (3.3.0+ds-4)
sensitive protein sequence searching based on HMM-HMM alignment (data)
hibiscus (2.10.0+dfsg-1)
Java online banking client using the HBCI standard
hibiscus-doc (2.10.0+dfsg-1)
Java online banking client using the HBCI standard - documentation package
hicolor-icon-theme (0.17-2)
default fallback theme for FreeDesktop.org icon themes
hidl-gen (10.0.0+r36-2)
Compiler for the HIDL
hiera (3.2.0-2.1)
Light weight hierarchical data store
hiera-eyaml (3.2.0-1)
OpenSSL Encryption backend for Hiera
higan (106-2)
Accurate multi-system emulator
highlight (3.41-2+b3)
Universal source code to formatted text converter
highlight-common (3.41-2)
source code to formatted text converter (architecture independent files)
highlight.js-doc (9.18.5+dfsg1-1)
JavaScript library for syntax highlighting - documentation
hijra-applet (1.0-1) [non-free]
Hijri Islamic Calendar tray applet
hiki (1.0.0-2.1)
Wiki Engine written in Ruby
hilive (2.0a-3+b2)
realtime alignment of Illumina reads
hime (0.9.11+dfsg-2)
GTK+ based input method for Chinese users
hime-anthy (0.9.11+dfsg-2)
support library to use Anthy in HIME
hime-chewing (0.9.11+dfsg-2)
support library to use Chewing in HIME
hime-data (0.9.11+dfsg-2)
icons, locales and scripts for HIME
hime-gtk2-immodule (0.9.11+dfsg-2)
GTK2 input method module with HIME as backend
hime-gtk3-immodule (0.9.11+dfsg-2)
GTK3 input method module with HIME as backend
hime-qt5-immodule (0.9.11+dfsg-2)
Qt5 input method module with HIME as backend
hime-tables (0.9.11+dfsg-2)
input method tables for HIME
hinawa-utils (0.2.0-3)
Utilities to control Audio and Music units on FireWire (IEEE1394)
hindent (5.3.1-2+b3 [amd64, arm64, armhf, ppc64el, s390x], 5.3.1-2+b2 [armel, i386], 5.3.1-2+b1 [mips64el, mipsel])
Extensible Haskell pretty printer
hinge (0.5.0-6+b2)
long read genome assembler based on hinging
hippomocks (5.0-1+b1 [amd64], 5.0-1 [armel, i386, ppc64el, s390x])
C++ mocking framework
hisat2 (2.2.1-2+b3)
graph-based alignment of short nucleotide reads to many genomes
hitch (1.6.1-1)
scalable TLS proxy
hitori (3.38.0-1)
logic puzzle game similar to sudoku
hivelytracker (0+git20180223-4)
Music tracker for AHX and HVL formats
hledger (1.18.1-1+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1.18.1-1 [mips64el])
command-line double-entry accounting program
hledger-interest (1.5.5-1)
interest computing for (h)ledger
hledger-ui (1.18.1-1)
curses-style user interface for the hledger accounting tool
hledger-web (1.18.1-1)
web interface for the hledger accounting tool
hlins (0.39-27)
Insert URLs into html documents
hlint (3.1.6-1)
Haskell source code suggestions
hmmer (3.3.2+dfsg-1)
profile hidden Markov models for protein sequence analysis
hmmer-doc (3.3.2+dfsg-1)
profile hidden Markov models for protein sequence analysis (docs)
hmmer-examples (3.3.2+dfsg-1)
profile hidden Markov models for protein sequence analysis (examples)
hmmer2 (2.3.2+dfsg-7)
profile hidden Markov models for protein sequence analysis
hmmer2-doc (2.3.2+dfsg-7)
profile hidden Markov models for protein sequence analysis (docs)
hnb (1.9.18+ds1-3)
hierarchical notebook
hobbit
本虛擬套件由這些套件填實: xymon
hobbit-client
本虛擬套件由這些套件填實: xymon-client
hobbit-plugins (20201127)
plugins for the Xymon network monitor
hodie (1.5.0-1+b1 [amd64], 1.5.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
prints the date in Latin
hoichess (0.22.0-2)
xboard compatible chess engine to play chess with
hol-light (20190729-4+b3)
HOL Light theorem prover
hol88 (2.02.19940316-35.1)
Higher Order Logic, system image
hol88-contrib-help (2.02.19940316-35.1)
Higher Order Logic, user contributed online help files
hol88-contrib-source (2.02.19940316-35.1)
Higher Order Logic, user contributed source
hol88-doc (2.02.19940316-35.1)
Documentation for hol88
hol88-help (2.02.19940316-35.1)
Higher Order Logic, online help files
hol88-library (2.02.19940316-35.1)
Higher Order Logic, binary library modules
hol88-library-help (2.02.19940316-35.1)
Higher Order Logic, library online help files
hol88-library-source (2.02.19940316-35.1)
Higher Order Logic, library source files
hol88-source (2.02.19940316-35.1)
Higher Order Logic, source files
holes (0.1-5)
find runs of zero bytes
hollywood (1.21-1)
fill your console with Hollywood melodrama technobabble
holotz-castle (1.3.14-11)
platform game with high doses of mystery
holotz-castle-data (1.3.14-11)
platform game with high doses of mystery - data files
holotz-castle-editor (1.3.14-11)
platform game with high doses of mystery - level editor
holotz-castle-milanb
本虛擬套件由這些套件填實: holotz-castle-data
homebank (5.5.2-1)
Manage your personal accounts at home
homebank-data (5.5.2-1)
Data files for homebank
homer-api (5.0.6+dfsg2-3.2)
HOMER Capture Node REST API
homer-api-datastore
本虛擬套件由這些套件填實: homer-api-postgresql, homer-api-mysql
homer-api-mysql (5.0.6+dfsg2-3.2)
HOMER Capture Node REST API
homer-api-postgresql (5.0.6+dfsg2-3.2)
HOMER Capture Node REST API
homesick (1.1.6-3)
keep your dotfiles (configs) in git
hopenpgp-tools (0.23.6-1)
hOpenPGP-based command-line tools
hopm (1.1.10-1)
open-proxy monitoring bot designed for IRC servers
horde
本虛擬套件由這些套件填實: php-horde
horde-bundle
本虛擬套件由這些套件填實: php-horde-groupware, php-horde-webmail
horgand (1.14-7.1)
JACK capable organ softsynth
horgand-data (1.14-7.1)
JACK capable organ softsynth (data files)
horizon-doc (3:18.6.2-5+deb11u2)
web application to control an OpenStack cloud - doc
horizon-eda (1.4.0-1)
EDA layout and schematic application
horizon-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Horizon plugin
horst (5.1-2)
Highly Optimized Radio Scanning Tool
host
本虛擬套件由這些套件填實: bind9-host
hostapd (2:2.9.0-21)
access point and authentication server for Wi-Fi and Ethernet
hostfiles (0.09-1.1)
simple script to manage multiple sets of hostfiles
hostname (3.23)
utility to set/show the host name or domain name
hostsed (0.3.0-2)
Simple CLI tool for hosts file edition
hoteldruid (3.0.1-1)
web-based property management system for hotels or B&Bs
hotplug-utils
本虛擬套件由這些套件填實: fxload
hotspot (1.3.0-2)
GUI tool for performance analysis
hovercraft (2.7-2)
generator for impress.js presentations from reStructuredText
how-can-i-help (17)
show opportunities for contributing to Debian
howdoi (1.1.9-1)
command line tool for instant coding answers
howm (1.4.7-1)
Note-taking tool on Emacs
hoz (1.65-3+b1 [amd64], 1.65-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
file splitter that uses the hacha file format
hoz-gui (1.65-3+b1 [amd64], 1.65-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
file splitter that uses the hacha file format
hp-ppd (0.9+nmu1)
HP Postscript Printer Definition (PPD) files
hp-search-mac (0.1.4+nmu1)
Search for a MAC address on HP switches
hp2xx (3.4.4-11+b1 [amd64], 3.4.4-11 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
HPGL converter into some vector- and raster formats
hp48cc (1.3-6+b1 [amd64], 1.3-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C-like compiler which produces HP48 RPN
hpack (0.34.2-1)
alternative format for Haskell packages
hpanel (0.3.2-7)
minimalist panel for X
hpijs-ppds (3.21.2+dfsg1-2)
HP Linux Printing and Imaging - HPIJS PPD files
hping3 (3.a2.ds2-10)
Active Network Smashing Tool
hplip (3.21.2+dfsg1-2)
HP Linux Printing and Imaging System (HPLIP)
hplip-data (3.21.2+dfsg1-2)
HP Linux Printing and Imaging - data files
hplip-doc (3.21.2+dfsg1-2)
HP Linux Printing and Imaging - documentation
hplip-gui (3.21.2+dfsg1-2)
HP Linux Printing and Imaging - GUI utilities (Qt-based)
hplip-ppds
本虛擬套件由這些套件填實: hpijs-ppds
hprof-conv (10.0.0+r36-1)
HPROF Converter
hpsockd (0.17+b3)
HP SOCKS server
hsail-tools (0~20180830-1)
tools for parsing, assembling, and disassembling HSAIL
hsbrainfuck (0.1.0.3-7+b2 [s390x], 0.1.0.3-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
interpreter for the brainfuck programming language
hscolour (1.24.4-3+b2 [s390x], 1.24.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell program to colourise Haskell code
hsetroot (1.0.2-9)
tool for composing root-pixmaps for X11
hslogger-doc
本虛擬套件由這些套件填實: libghc-hslogger-doc
hsmwiz (0.0.2-1)
Easy handling of NitroKey HSM USB Smard Card
hspec-discover (2.7.1-1)
Automatically discover and run Hspec tests
hspell (1.4-3.1)
Hebrew spell checker and morphological analyzer
hspell-gui (0.2.6-7)
graphical front-end for the hspell Hebrew spell checker
hsqldb-utils (2.5.1-1+deb11u2)
Java SQL database engine (utilities)
hstr (2.3+ds-1)
Suggest box like shell history completion
hsx2hs (0.14.1.8-1+b3 [armhf, s390x], 0.14.1.8-1+b2 [amd64, arm64, armel, i386, ppc64el], 0.14.1.8-1+b1 [mips64el, mipsel])
literal XML syntax in Haskell source code - preprocessor
ht (2.1.0+repack1-5)
Viewer/editor/analyser (mostly) for executables
htag (0.0.24-1.2)
A tagline/.signature adder for email, news and FidoNet messages
htdig (1:3.2.0b6-18)
web search and indexing system - binaries
htdig-doc (1:3.2.0b6-18)
web search and indexing system - documentation
html-beautify (= 1.13.6+dfsg+~0.15.3-1)
本虛擬套件由這些套件填實: node-js-beautify
html-xml-utils (7.7-1.1)
HTML and XML manipulation utilities
html2ps (1.0b7-4)
HTML to PostScript converter
html2text (1.3.2a-28)
advanced HTML to text converter
html2wml (0.4.11+dfsg-2)
converts HTML pages to WML (WAP) or i-mode pages
htmldoc (1.9.11-4+deb11u3)
HTML processor that generates indexed HTML, PS, and PDF
htmldoc-common (1.9.11-4+deb11u3)
Common arch-independent files for htmldoc
htmlmin (0.1.12-3)
HTML Minifier - command line interface
htop (3.0.5-7)
interactive processes viewer
htp (1.19-8)
nice HTML pre-processor
htpdate (1.2.2-4)
HTTP based time synchronization tool
hts-nim-tools (0.2.1-1)
tools biological sequences: bam-filter, count-reads, vcf-check
hts-voice-nitech-jp-atr503-m001 (1.05-5) [contrib]
Japanese male voice data for Open JTalk
htsengine (1.10-4)
frontend of HMM-based speech synthesis engine
htslib-test (1.11-4)
Test data for HTSlib
httest (2.4.23-1.1)
HTTP test tool
http-icons (0~20041010-1.1)
classic MIME icons
httpcode (0.6-1)
Explains the meaning of an HTTP status code on the command line
httpd
本虛擬套件由這些套件填實: ocsigenserver, mini-httpd, nginx-core, micro-httpd, yaws, lighttpd, nginx-extras, nginx-light, apache2, nginx-full, webfs, tntnet
httpd-cgi
本虛擬套件由這些套件填實: tntnet, nginx-full, apache2, yaws, nginx-light, nginx-core, mini-httpd, nginx-extras, ocsigenserver, lighttpd
httpd-wsgi3
本虛擬套件由這些套件填實: uwsgi-plugin-python3, python3-waitress, libapache2-mod-wsgi-py3, gunicorn
httpdirfs (1.1.10-2)
filesystem client for HTTP directory listings
httperf (0.9.0-9+b1 [amd64], 0.9.0-9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
HTTP server performance tester
httpfs2 (0.1.4-1.1)
FUSE filesystem for mounting files from http servers
httpie (2.2.0-2)
CLI, cURL-like tool for humans
httping (2.5-5.1)
ping-like program for http-requests
httpry (0.1.8-1.1)
HTTP logging and information retrieval tool
httpry-daemon (0.1.8-1.1)
HTTP logging and information retrieval tool - daemon
httpry-dbg (0.1.8-1.1)
HTTP logging and information retrieval tool - debug symbols
httpry-tools (0.1.8-1.1)
HTTP logging and information retrieval tool - log parsing scripts
httptunnel (3.3+dfsg-4+b2)
Tunnels a data stream in HTTP requests
httrack (3.49.2-1.1)
Copy websites to your computer (Offline browser)
httrack-doc (3.49.2-1.1)
Httrack website copier additional documentation
httraqt (1.4.9-5)
program for downloading of internet sites
hub (2.14.2~ds1-1+b4)
make git easier with GitHub
hubicfuse (3.0.1-4)
Support for mounting hubiC drives
hugin (2020.0.0+dfsg-2)
panorama photo stitcher - GUI tools
hugin-data (2020.0.0+dfsg-2)
panorama photo stitcher - common data files
hugin-tools (2020.0.0+dfsg-2)
panorama photo stitcher - commandline tools
hugo (0.80.0-6+b5)
Fast and flexible Static Site Generator written in Go
hugs (98.200609.21-5.4+b5)
A Haskell 98 interpreter
hugs98
本虛擬套件由這些套件填實: hugs
human-icon-theme (0.28.debian-6.1) [non-free]
Human Icon theme
humanfriendly (9.1-1)
Helper command for the humanfriendly Python3 library
hunspell (1.7.0-3)
spell checker and morphological analyzer (program)
hunspell-af (1:7.1.0~rc3-3)
Afrikaans dictionary for hunspell
hunspell-an (0.2-5)
Aragonese dictionary for hunspell
hunspell-ar (3.2-1.1)
Arabic dictionary for hunspell
hunspell-be (0.53-3.1)
Belarusian dictionary for hunspell and academic orthography
hunspell-bg (1:7.1.0~rc3-3)
Bulgarian dictionary for hunspell
hunspell-bn (1:7.1.0~rc3-3)
Bengali dictionary for hunspell
hunspell-bo (0.4.0-1.1)
Classical Tibetan language dictionary for hunspell
hunspell-br (0.12-2.1)
Breton language dictionary for hunspell
hunspell-bs (1:7.1.0~rc3-3)
Bosnian dictionary for hunspell
hunspell-ca (3.0.6+repack1-1)
Catalan dictionaries for hunspell
hunspell-cs (1:7.1.0~rc3-3)
Czech dictionary for hunspell
hunspell-da (1:7.1.0~rc3-3)
Danish dictionary for hunspell
hunspell-de-at (20161207-9)
Austrian (German) dictionary for hunspell
hunspell-de-at-frami (1:7.1.0~rc3-3)
German (Austria) dictionary for hunspell ("frami" version)
hunspell-de-ch (20161207-9)
Swiss (German) dictionary for hunspell
hunspell-de-ch-frami (1:7.1.0~rc3-3)
German (Switzerland) dictionary for hunspell ("frami" version)
hunspell-de-de (20161207-9)
German dictionary for hunspell
hunspell-de-de-frami (1:7.1.0~rc3-3)
German dictionary for hunspell ("frami" version)
hunspell-de-med (20160103-5)
German medical dictionary for hunspell
hunspell-dictionary
本虛擬套件由這些套件填實: hunspell-te, hunspell-eu, hunspell-ro, hunspell-bn, hunspell-lt, hunspell-no, hunspell-bo, hunspell-af, hunspell-dz, hunspell-fr-revised, hunspell-hu, hunspell-gl, hunspell-en-us, hunspell-en-gb, hunspell-de-de-frami, hunspell-sr, hunspell-ar, hunspell-hr, hunspell-uz, hunspell-it, hunspell-pt-br, hunspell-he, hunspell-id, hunspell-sl, hunspell-gu, hunspell-kmr, myspell-eo, hunspell-sw, hunspell-ca, hunspell-el, hunspell-th, hunspell-gug, hunspell-de-de, hunspell-uk, hunspell-bs, hunspell-fr-comprehensive, hunspell-tr, hunspell-gd, myspell-es, myspell-fo, hunspell-de-ch-frami, hunspell-sv, hunspell-de-at-frami, hunspell-en-ca, hunspell-sk, hunspell-lv, hunspell-fr-classical, hunspell-hi, hunspell-si, hunspell-cs, hunspell-kk, hunspell-es, hunspell-nl, myspell-fr, hunspell-ne, hunspell-da, hunspell-be, hunspell-br, hunspell-ru, myspell-et, hunspell-en-za, hunspell-de-ch, hunspell-bg, hunspell-is, hunspell-ko, hunspell-de-at, hunspell-en-au, hunspell-an, hunspell-oc, hunspell-vi, hunspell-lo, hunspell-pt-pt, hunspell-pl, myspell-tl
hunspell-dictionary-af
本虛擬套件由這些套件填實: hunspell-af
hunspell-dictionary-an
本虛擬套件由這些套件填實: hunspell-an
hunspell-dictionary-ar
本虛擬套件由這些套件填實: hunspell-ar
hunspell-dictionary-be
本虛擬套件由這些套件填實: hunspell-be
hunspell-dictionary-bg
本虛擬套件由這些套件填實: hunspell-bg
hunspell-dictionary-bn
本虛擬套件由這些套件填實: hunspell-bn
hunspell-dictionary-bo
本虛擬套件由這些套件填實: hunspell-bo
hunspell-dictionary-br
本虛擬套件由這些套件填實: hunspell-br
hunspell-dictionary-bs
本虛擬套件由這些套件填實: hunspell-bs
hunspell-dictionary-ca
本虛擬套件由這些套件填實: hunspell-ca
hunspell-dictionary-cs
本虛擬套件由這些套件填實: hunspell-cs
hunspell-dictionary-da
本虛擬套件由這些套件填實: hunspell-da
hunspell-dictionary-de
本虛擬套件由這些套件填實: hunspell-de-at, hunspell-de-ch, hunspell-de-de
hunspell-dictionary-de-at-frami
本虛擬套件由這些套件填實: hunspell-de-at-frami
hunspell-dictionary-de-ch-frami
本虛擬套件由這些套件填實: hunspell-de-ch-frami
hunspell-dictionary-de-de-frami
本虛擬套件由這些套件填實: hunspell-de-de-frami
hunspell-dictionary-dz
本虛擬套件由這些套件填實: hunspell-dz
hunspell-dictionary-el
本虛擬套件由這些套件填實: hunspell-el
hunspell-dictionary-en
本虛擬套件由這些套件填實: hunspell-en-us
hunspell-dictionary-en-au
本虛擬套件由這些套件填實: hunspell-en-au
hunspell-dictionary-en-ca
本虛擬套件由這些套件填實: hunspell-en-ca
hunspell-dictionary-en-gb
本虛擬套件由這些套件填實: hunspell-en-gb
hunspell-dictionary-en-us
本虛擬套件由這些套件填實: hunspell-en-us
hunspell-dictionary-en-za
本虛擬套件由這些套件填實: hunspell-en-za
hunspell-dictionary-eo
本虛擬套件由這些套件填實: myspell-eo
hunspell-dictionary-es
本虛擬套件由這些套件填實: hunspell-es, myspell-es
hunspell-dictionary-et
本虛擬套件由這些套件填實: myspell-et
hunspell-dictionary-eu
本虛擬套件由這些套件填實: hunspell-eu
hunspell-dictionary-fo
本虛擬套件由這些套件填實: myspell-fo
hunspell-dictionary-fr
本虛擬套件由這些套件填實: hunspell-fr-classical, hunspell-fr-comprehensive, myspell-fr, hunspell-fr-revised
hunspell-dictionary-gd
本虛擬套件由這些套件填實: hunspell-gd
hunspell-dictionary-gl
本虛擬套件由這些套件填實: hunspell-gl
hunspell-dictionary-gu
本虛擬套件由這些套件填實: hunspell-gu
hunspell-dictionary-gug
本虛擬套件由這些套件填實: hunspell-gug
hunspell-dictionary-he
本虛擬套件由這些套件填實: hunspell-he
hunspell-dictionary-hi
本虛擬套件由這些套件填實: hunspell-hi
hunspell-dictionary-hr
本虛擬套件由這些套件填實: hunspell-hr
hunspell-dictionary-hu
本虛擬套件由這些套件填實: hunspell-hu
hunspell-dictionary-id
本虛擬套件由這些套件填實: hunspell-id
hunspell-dictionary-is
本虛擬套件由這些套件填實: hunspell-is
hunspell-dictionary-it
本虛擬套件由這些套件填實: hunspell-it
hunspell-dictionary-kk
本虛擬套件由這些套件填實: hunspell-kk
hunspell-dictionary-kmr
本虛擬套件由這些套件填實: hunspell-kmr
hunspell-dictionary-ko
本虛擬套件由這些套件填實: hunspell-ko
hunspell-dictionary-lo
本虛擬套件由這些套件填實: hunspell-lo
hunspell-dictionary-lt
本虛擬套件由這些套件填實: hunspell-lt
hunspell-dictionary-lv
本虛擬套件由這些套件填實: hunspell-lv
hunspell-dictionary-ne
本虛擬套件由這些套件填實: hunspell-ne
hunspell-dictionary-nl
本虛擬套件由這些套件填實: hunspell-nl
hunspell-dictionary-no
本虛擬套件由這些套件填實: hunspell-no
hunspell-dictionary-oc
本虛擬套件由這些套件填實: hunspell-oc
hunspell-dictionary-pl
本虛擬套件由這些套件填實: hunspell-pl
hunspell-dictionary-pt-br
本虛擬套件由這些套件填實: hunspell-pt-br
hunspell-dictionary-pt-pt
本虛擬套件由這些套件填實: hunspell-pt-pt
hunspell-dictionary-ro
本虛擬套件由這些套件填實: hunspell-ro
hunspell-dictionary-ru
本虛擬套件由這些套件填實: hunspell-ru
hunspell-dictionary-si
本虛擬套件由這些套件填實: hunspell-si
hunspell-dictionary-sk
本虛擬套件由這些套件填實: hunspell-sk
hunspell-dictionary-sl
本虛擬套件由這些套件填實: hunspell-sl
hunspell-dictionary-sr
本虛擬套件由這些套件填實: hunspell-sr
hunspell-dictionary-sv
本虛擬套件由這些套件填實: hunspell-sv
hunspell-dictionary-sw
本虛擬套件由這些套件填實: hunspell-sw
hunspell-dictionary-te
本虛擬套件由這些套件填實: hunspell-te
hunspell-dictionary-th
本虛擬套件由這些套件填實: hunspell-th
hunspell-dictionary-tl
本虛擬套件由這些套件填實: myspell-tl
hunspell-dictionary-tr
本虛擬套件由這些套件填實: hunspell-tr
hunspell-dictionary-uk
本虛擬套件由這些套件填實: hunspell-uk
hunspell-dictionary-uz
本虛擬套件由這些套件填實: hunspell-uz
hunspell-dictionary-vi
本虛擬套件由這些套件填實: hunspell-vi
hunspell-dz (0.1.0-1.1)
Dzongkha language dictionary for hunspell
hunspell-el (1:7.1.0~rc3-3)
Modern Greek dictionary for hunspell
hunspell-en-au (1:2019.10.06-1)
English (Australia) dictionary for hunspell
hunspell-en-ca (1:2019.10.06-1)
English (Canada) dictionary for hunspell
hunspell-en-gb (1:7.1.0~rc3-3)
English (GB) dictionary for hunspell
hunspell-en-med (0.0.20140410-2)
English medical dictionary for hunspell
hunspell-en-us (1:2019.10.06-1)
English_american dictionary for hunspell
hunspell-en-za (1:7.1.0~rc3-3)
English (South Africa) dictionary for hunspell
hunspell-eo
本虛擬套件由這些套件填實: myspell-eo
hunspell-es (1:7.1.0~rc3-3)
Spanish dictionary for hunspell
hunspell-es
本虛擬套件由這些套件填實: myspell-es
hunspell-et
本虛擬套件由這些套件填實: myspell-et
hunspell-eu (0.5.20151110-6)
Basque (Euskera) dictionary for hunspell
hunspell-eu-es
本虛擬套件由這些套件填實: hunspell-eu
hunspell-fo
本虛擬套件由這些套件填實: myspell-fo
hunspell-fr (1:7.0-1)
French dictionary for hunspell (dependency package)
hunspell-fr-classical (1:7.0-1)
French dictionary for hunspell (classical version)
hunspell-fr-comprehensive (1:7.0-1)
French dictionary for hunspell (comprehensive version)
hunspell-fr-revised (1:7.0-1)
French dictionary for hunspell (revised version)
hunspell-gd (1:7.1.0~rc3-3)
Scottish Gaelic dictionary for hunspell
hunspell-gl (1:7.1.0~rc3-3)
Galician dictionary for hunspell
hunspell-gl-es (1:7.1.0~rc3-3)
Galician dictionary for hunspell - dummy transitional package
hunspell-gu (1:7.1.0~rc3-3)
Gujarati dictionary for hunspell
hunspell-gug (1:7.1.0~rc3-3)
Guarani dictionary for hunspell
hunspell-he (1:7.1.0~rc3-3)
Hebrew dictionary for hunspell
hunspell-hi (1:7.1.0~rc3-3)
Hindi dictionary for hunspell
hunspell-hr (1:7.1.0~rc3-3)
Croatian dictionary for hunspell
hunspell-hu (1:7.1.0~rc3-3)
Hungarian dictionary for hunspell
hunspell-id (1:7.1.0~rc3-3)
Indonesian dictionary for hunspell
hunspell-is (1:7.1.0~rc3-3)
Icelandic dictionary for hunspell
hunspell-it (1:7.1.0~rc3-3)
Italian dictionary for hunspell
hunspell-kk (1.1-2.1)
Kazakh dictionary for hunspell
hunspell-kmr (1:7.1.0~rc3-3)
Kurmanji dictionary for hunspell
hunspell-ko (0.7.92-1)
Korean dictionary for hunspell
hunspell-ku
本虛擬套件由這些套件填實: hunspell-kmr
hunspell-lo (1:7.1.0~rc3-3)
Laotian dictionary for hunspell
hunspell-lt (1:7.1.0~rc3-3)
Lithuanian dictionary for hunspell
hunspell-lv (1.4.0-1.1)
Latvian dictionary for hunspell
hunspell-lv
本虛擬套件由這些套件填實: hunspell-lv
hunspell-ml (0.1-2.1)
Malayalam dictionary for hunspell
hunspell-ne (1:7.1.0~rc3-3)
Nepalese dictionary for hunspell
hunspell-nl (2:2.20.19-2)
Dutch dictionary for Hunspell
hunspell-no (1:7.1.0~rc3-3)
Norwegian dictionary for hunspell
hunspell-oc (1:7.1.0~rc3-3)
Occitan dictionary for hunspell
hunspell-pl (1:7.1.0~rc3-3)
Polish dictionary for hunspell
hunspell-pt-br (1:7.1.0~rc3-3)
Brazilian Portuguese dictionary for hunspell
hunspell-pt-pt (1:7.1.0~rc3-3)
Portuguese dictionary for hunspell
hunspell-ro (1:7.1.0~rc3-3)
Romanian dictionary for hunspell
hunspell-ru (1:7.1.0~rc3-3)
Russian dictionary for hunspell
hunspell-si (1:7.1.0~rc3-3)
Sinhala dictionary for hunspell
hunspell-sk (1:7.1.0~rc3-3)
Slovak dictionary for hunspell
hunspell-sl (1:7.1.0~rc3-3)
Slovene dictionary for hunspell
hunspell-sr (1:7.1.0~rc3-3)
Serbian dictionary for hunspell
hunspell-sv (1:7.1.0~rc3-3)
Swedish dictionary for hunspell
hunspell-sv-se (1:7.1.0~rc3-3)
Swedish dictionary for hunspell - dummy transitional package
hunspell-sw (1:7.1.0~rc3-3)
Swahili dictionary for hunspell
hunspell-te (1:7.1.0~rc3-3)
Telugu dictionary for hunspell
hunspell-th (1:7.1.0~rc3-3)
Thai dictionary for hunspell
hunspell-tl
本虛擬套件由這些套件填實: myspell-tl
hunspell-tools (1.7.0-3)
tools for hunspell
hunspell-tr (1:7.1.0~rc3-3)
Turkish dictionary for hunspell
hunspell-uk (1:7.1.0~rc3-3)
Ukrainian dictionary for hunspell
hunspell-uz (0.6-4)
Uzbek dictionary for Hunspell
hunspell-vi (1:7.1.0~rc3-3)
Vietnamese dictionary for hunspell
hunt (1.5-6.1+b1)
Advanced packet sniffer and connection intrusion
hv3 (3.0~fossil20110109-8)
Lightweight web browser
hw-detect (1.147)
Detect hardware and load kernel drivers for it
hw-probe (1.5-1)
Tool to check operability of computer hardware
hwb (1:040412-7) [non-free]
Hardware Book
hwdata (0.345-1)
hardware identification / configuration data
hwinfo (21.72-1)
Hardware identification system
hwloc (2.4.1+dfsg-1)
Hierarchical view of the machine - utilities
hwloc-nox (2.4.1+dfsg-1)
Hierarchical view of the machine - non-X version of utilities
hxtools (20201116-1)
Collection of tools and scripts
hy (0.19.0-2)
Lisp (s-expression) based frontend to Python (metapackage)
hybrid-dev (1:8.2.38+dfsg.1-2)
high-performance secure IRC server - development files
hydra (9.1-1)
very fast network logon cracker
hydra-gtk (9.1-1)
very fast network logon cracker - GTK+ based GUI
hydrapaper (2.0.2-1+deb11u1)
Utility that sets background independently for each monitor
hydroffice.bag-doc (0.2.15-3)
documentation for hydroffice.bag
hydroffice.bag-tools (0.2.15-3)
command-line tools for hydroffice.bag
hydrogen (1.0.1-3)
advanced drum machine/step sequencer
hydrogen-data (1.0.1-3)
advanced drum machine/step sequencer (data)
hydrogen-doc (1.0.1-3)
advanced drum machine/step sequencer (doc)
hydrogen-drumkits (2017.09.19~dfsg-1)
drumkits for Hydrogen
hydrogen-drumkits-effects (2017.09.19~dfsg-1)
effect drumkits for Hydrogen
hylafax-client (3:6.0.7-3.1)
Flexible client/server fax software - client utilities
hylafax-client-dbg (3:6.0.7-3.1)
Flexible client/server fax software - client utilities
hylafax-server (3:6.0.7-3.1)
Flexible client/server fax software - server daemons
hylafax-server-dbg (3:6.0.7-3.1)
Debug symbols for the hylafax server
hyperrogue (11.3o-1)
non-euclidean graphical rogue-like game
hyperrogue-music (11.3o-1)
in-game music for hyperrogue
hyperspec (1.32) [contrib]
Common Lisp ANSI-standard Hyperspec
hyperv-daemons (5.10.209-2)
Support daemons for Linux running on Hyper-V
hypervisor-modules
本虛擬套件由這些套件填實: hypervisor-modules-5.10.0-26-powerpc64le-di, hypervisor-modules-5.10.0-27-powerpc64le-di, hypervisor-modules-5.10.0-25-powerpc64le-di, hypervisor-modules-5.10.0-28-powerpc64le-di
hypervisor-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
IBM 64bit hypervisor console modules
hypervisor-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
IBM 64bit hypervisor console modules
hypervisor-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
IBM 64bit hypervisor console modules
hypervisor-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
IBM 64bit hypervisor console modules
hyphen-af (1:7.1.0~rc3-3)
Afrikaans hyphenation patterns
hyphen-as (0.9.0-2)
Assamese hyphenation patterns for LibreOffice
hyphen-bg (1:7.1.0~rc3-3)
Bulgarian hyphenation patterns
hyphen-bn (0.9.0-2)
Bengali hyphenation patterns for LibreOffice
hyphen-ca (1:7.1.0~rc3-3)
Catalan hyphenation patterns
hyphen-cs (1:7.1.0~rc3-3)
Czech hyphenation patterns
hyphen-da (1:7.1.0~rc3-3)
Danish hyphenation patterns
hyphen-de (1:7.1.0~rc3-3)
German hyphenation patterns
hyphen-el (1:7.1.0~rc3-3)
Modern Greek hyphenation patterns
hyphen-en-au
本虛擬套件由這些套件填實: hyphen-en-gb
hyphen-en-gb (1:7.1.0~rc3-3)
English (GB) hyphenation patterns
hyphen-en-us (2.8.8-7)
English (US) hyphenation patterns
hyphen-en-za
本虛擬套件由這些套件填實: hyphen-en-gb
hyphen-es (1:7.1.0~rc3-3)
Spanish hyphenation patterns
hyphen-et
本虛擬套件由這些套件填實: myspell-et
hyphen-fr (1:7.1.0~rc3-3)
French hyphenation patterns
hyphen-gl (1:7.1.0~rc3-3)
Galician hyphenation patterns
hyphen-gu (0.9.0-2)
Gujarati hyphenation patterns for LibreOffice
hyphen-hi (0.9.0-2)
Hindi hyphenation patterns for LibreOffice
hyphen-hr (1:7.1.0~rc3-3)
Croatian hyphenation patterns
hyphen-hu (1:7.1.0~rc3-3)
Hungarian hyphenation patterns
hyphen-hyphenation-patterns
本虛擬套件由這些套件填實: hyphen-hu, hyphen-el, hyphen-ca, hyphen-uk, hyphen-da, hyphen-pl, hyphen-pt-br, hyphen-en-us, hyphen-nl, hyphen-sl, hyphen-ru, hyphen-cs, hyphen-hr, hyphen-de, hyphen-zu, myspell-et, hyphen-sk, hyphen-bg, hyphen-af, hyphen-ro, hyphen-fr, hyphen-sr, hyphen-gl, hyphen-pt-pt, hyphen-es, hyphen-lv, hyphen-no, hyphen-en-gb, hyphen-is, hyphen-id, hyphen-it, hyphen-lt, hyphen-sv
hyphen-hyphenation-patterns-af
本虛擬套件由這些套件填實: hyphen-af
hyphen-hyphenation-patterns-bg
本虛擬套件由這些套件填實: hyphen-bg
hyphen-hyphenation-patterns-ca
本虛擬套件由這些套件填實: hyphen-ca
hyphen-hyphenation-patterns-cs
本虛擬套件由這些套件填實: hyphen-cs
hyphen-hyphenation-patterns-da
本虛擬套件由這些套件填實: hyphen-da
hyphen-hyphenation-patterns-de
本虛擬套件由這些套件填實: hyphen-de
hyphen-hyphenation-patterns-el
本虛擬套件由這些套件填實: hyphen-el
hyphen-hyphenation-patterns-en
本虛擬套件由這些套件填實: hyphen-en-us
hyphen-hyphenation-patterns-en-gb
本虛擬套件由這些套件填實: hyphen-en-gb
hyphen-hyphenation-patterns-en-us
本虛擬套件由這些套件填實: hyphen-en-us
hyphen-hyphenation-patterns-es
本虛擬套件由這些套件填實: hyphen-es
hyphen-hyphenation-patterns-et
本虛擬套件由這些套件填實: myspell-et
hyphen-hyphenation-patterns-fr
本虛擬套件由這些套件填實: hyphen-fr
hyphen-hyphenation-patterns-gl
本虛擬套件由這些套件填實: hyphen-gl
hyphen-hyphenation-patterns-hr
本虛擬套件由這些套件填實: hyphen-hr
hyphen-hyphenation-patterns-hu
本虛擬套件由這些套件填實: hyphen-hu
hyphen-hyphenation-patterns-id
本虛擬套件由這些套件填實: hyphen-id
hyphen-hyphenation-patterns-is
本虛擬套件由這些套件填實: hyphen-is
hyphen-hyphenation-patterns-it
本虛擬套件由這些套件填實: hyphen-it
hyphen-hyphenation-patterns-lt
本虛擬套件由這些套件填實: hyphen-lt
hyphen-hyphenation-patterns-lv
本虛擬套件由這些套件填實: hyphen-lv
hyphen-hyphenation-patterns-nl
本虛擬套件由這些套件填實: hyphen-nl
hyphen-hyphenation-patterns-no
本虛擬套件由這些套件填實: hyphen-no
hyphen-hyphenation-patterns-pl
本虛擬套件由這些套件填實: hyphen-pl
hyphen-hyphenation-patterns-pt-br
本虛擬套件由這些套件填實: hyphen-pt-br
hyphen-hyphenation-patterns-pt-pt
本虛擬套件由這些套件填實: hyphen-pt-pt
hyphen-hyphenation-patterns-ro
本虛擬套件由這些套件填實: hyphen-ro
hyphen-hyphenation-patterns-ru
本虛擬套件由這些套件填實: hyphen-ru
hyphen-hyphenation-patterns-sk
本虛擬套件由這些套件填實: hyphen-sk
hyphen-hyphenation-patterns-sl
本虛擬套件由這些套件填實: hyphen-sl
hyphen-hyphenation-patterns-sr
本虛擬套件由這些套件填實: hyphen-sr
hyphen-hyphenation-patterns-sv
本虛擬套件由這些套件填實: hyphen-sv
hyphen-hyphenation-patterns-uk
本虛擬套件由這些套件填實: hyphen-uk
hyphen-hyphenation-patterns-zu
本虛擬套件由這些套件填實: hyphen-zu
hyphen-id (1:7.1.0~rc3-3)
Indonesian hyphenation patterns
hyphen-is (1:7.1.0~rc3-3)
Icelandic hyphenation patterns
hyphen-it (1:7.1.0~rc3-3)
Italian hyphenation patterns
hyphen-kn (0.9.0-2)
Kannada hyphenation patterns for LibreOffice
hyphen-lt (1:7.1.0~rc3-3)
Lithuanian hyphenation patterns
hyphen-lv (1.4.0-1.1)
Latvian hyphenation patterns
hyphen-ml (0.9.0-2)
Malayalam hyphenation patterns for LibreOffice
hyphen-mr (0.9.0-2)
Marathi hyphenation patterns for LibreOffice
hyphen-nl (1:7.1.0~rc3-3)
Dutch hyphenation patterns
hyphen-no (1:7.1.0~rc3-3)
Norwegian hyphenation patterns
hyphen-or (0.9.0-2)
Oriya hyphenation patterns for LibreOffice
hyphen-pa (0.9.0-2)
Punjabi hyphenation patterns for LibreOffice
hyphen-pl (1:3.0a-4.1)
Polish hyphenation patterns for LibreOffice/OpenOffice.org
hyphen-pt-br (1:7.1.0~rc3-3)
Brazilian Portuguese hyphenation patterns
hyphen-pt-pt (1:7.1.0~rc3-3)
Portuguese hyphenation patterns
hyphen-ro (1:7.1.0~rc3-3)
Romanian hyphenation patterns
hyphen-ru (20030310-1.1)
Russian hyphenation patterns for LibreOffice/OpenOffice.org
hyphen-show (20000425-4)
Show hyphenations in DVI-files
hyphen-sk (1:7.1.0~rc3-3)
Slovak hyphenation patterns
hyphen-sl (1:7.1.0~rc3-3)
Slovene hyphenation patterns
hyphen-sr (1:7.1.0~rc3-3)
Serbian hyphenation patterns
hyphen-sv (1:7.1.0~rc3-3)
Swedish hyphenation patterns
hyphen-ta (0.9.0-2)
Tamil hyphenation patterns for LibreOffice
hyphen-te (0.9.0-2)
Telugu hyphenation patterns for LibreOffice
hyphen-uk (1:7.1.0~rc3-3)
Ukrainian hyphenation patterns
hyphen-zu (1:7.1.0~rc3-3)
Zulu hyphenation patterns
hyphy-common (2.5.28+dfsg-3)
Hypothesis testing using Phylogenies (common files)
hyphy-mpi (2.5.28+dfsg-3)
Hypothesis testing using Phylogenies (MPI version)
hyphy-pt (2.5.28+dfsg-3)
Hypothesis testing using Phylogenies (pthreads version)
i18nspector (0.26-1)
checking tool for gettext POT, PO and MO files
i2c-modules
本虛擬套件由這些套件填實: i2c-modules-5.10.0-28-powerpc64le-di, i2c-modules-5.10.0-28-arm64-di, i2c-modules-5.10.0-27-amd64-di, i2c-modules-5.10.0-25-5kc-malta-di, i2c-modules-5.10.0-28-amd64-di, i2c-modules-5.10.0-27-686-pae-di, i2c-modules-5.10.0-25-arm64-di, i2c-modules-5.10.0-26-686-di, i2c-modules-5.10.0-27-5kc-malta-di, i2c-modules-5.10.0-28-armmp-di, i2c-modules-5.10.0-25-amd64-di, i2c-modules-5.10.0-26-arm64-di, i2c-modules-5.10.0-26-5kc-malta-di, i2c-modules-5.10.0-27-4kc-malta-di, i2c-modules-5.10.0-25-686-pae-di, kernel-image-5.10.0-26-loongson-3-di, i2c-modules-5.10.0-26-4kc-malta-di, i2c-modules-5.10.0-25-4kc-malta-di, i2c-modules-5.10.0-28-686-di, kernel-image-5.10.0-25-loongson-3-di, i2c-modules-5.10.0-28-5kc-malta-di, i2c-modules-5.10.0-28-686-pae-di, i2c-modules-5.10.0-26-amd64-di, i2c-modules-5.10.0-27-powerpc64le-di, kernel-image-5.10.0-27-loongson-3-di, i2c-modules-5.10.0-27-686-di, i2c-modules-5.10.0-27-armmp-di, i2c-modules-5.10.0-26-686-pae-di, i2c-modules-5.10.0-28-4kc-malta-di, i2c-modules-5.10.0-27-arm64-di, i2c-modules-5.10.0-26-armmp-di, i2c-modules-5.10.0-25-686-di, i2c-modules-5.10.0-26-powerpc64le-di, i2c-modules-5.10.0-25-armmp-di, i2c-modules-5.10.0-25-powerpc64le-di, kernel-image-5.10.0-28-loongson-3-di
i2c-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-686-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
i2c support modules
i2c-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-686-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-686-pae-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-amd64-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-arm64-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-armmp-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
i2c support modules
i2c-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-686-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
i2c support modules
i2c-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-686-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-686-pae-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-amd64-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-arm64-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-armmp-di (5.10.209-2)
i2c support modules
i2c-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
i2c support modules
i2c-tools (4.2-1+b1)
heterogeneous set of I2C tools for Linux
i2pd (2.36.0-1)
I2P Router written in C++
i2util-tools (1.6-1+b1)
Internet2 utility tools
i3 (4.19.1-1)
metapackage (i3 window manager, screen locker, menu, statusbar)
i3-wm (4.19.1-1)
improved dynamic tiling window manager
i3blocks (1.4-4+b1 [mips64el], 1.4-4 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
highly flexible status line for the i3 window manager
i3lock (2.13-1+b1)
improved screen locker
i3lock-fancy (0.0~git20160228.0.0fcb933-3)
i3lock custom wrapper script
i3pystatus (3.35+git20191126.5a8eaf4-2)
Large collection of status modules compatible with i3bar
i3status (2.13-3)
Generates a status line for dzen2, xmobar or i3bar
i7z (0.27.2+git2013.10.12-g5023138-7)
reporting tool for i7, i5, i3 CPUs
i810switch (0.6.5-7.1+b1)
Enables/disables video output to CRT/LCD on i810 video hardware
i8kutils (1.43+nmu1)
Fan control for Dell laptops
i965-va-driver (2.4.1+dfsg1-1)
VAAPI driver for Intel G45 & HD Graphics family
i965-va-driver-shaders (2.4.1-1) [non-free]
VAAPI driver for Intel G45 & HD Graphics family
iagno (1:3.38.0-1)
popular Othello game for GNOME
iamerican (3.4.02-2)
American English dictionary for ispell (standard version)
iamerican-huge (3.4.02-2)
American English dictionary for ispell (huge)
iamerican-insane (3.4.02-2)
American English dictionary for ispell (insane version)
iamerican-large (3.4.02-2)
American English dictionary for ispell (large)
iamerican-small (3.4.02-2)
American English dictionary for ispell (small)
iannix (0.9.20~dfsg0-4)
graphical OSC sequencer for digital arts
iasl
本虛擬套件由這些套件填實: acpica-tools
iat (0.1.3-7+b2)
Converts many CD-ROM image formats to iso9660
iaxmodem (1.2.0~dfsg-4)
software modem with IAX2 connectivity
ibacm (33.2-1)
InfiniBand Communication Manager Assistant (ACM)
ibam (1:0.5.2-2.1+b2)
Advanced battery monitor for laptops
ibrazilian (3.0~beta4-23)
Brazilian Portuguese dictionary for ispell
ibritish (3.4.02-2)
British English dictionary for ispell (standard version)
ibritish-huge (3.4.02-2)
British English dictionary for ispell (huge)
ibritish-insane (3.4.02-2)
British English dictionary for ispell (insane version)
ibritish-large (3.4.02-2)
British English dictionary for ispell (large)
ibritish-small (3.4.02-2)
British English dictionary for ispell (small)
ibsim-utils (0.10-2)
InfiniBand fabric simulator utilities
ibulgarian (4.1-7)
Bulgarian dictionary for ispell
ibus (1.5.23-2)
Intelligent Input Bus - core
ibus-anthy (1.5.12-2)
anthy engine for IBus
ibus-anthy-dev (1.5.12-2)
anthy engine for IBus, development files
ibus-array (0.2.2-1)
Array 30 input engine for iBus
ibus-avro (1.2-2)
IBus engine for Avro Phonetic
ibus-braille (0.3-6)
Braille input engine for IBus
ibus-cangjie (2.4-4)
Cangjie and Quick input methods for IBus
ibus-chewing (1.6.1-1)
Chewing engine for IBus
ibus-clutter (0.0+git20090728.a936bacf-7)
ibus input method framework for clutter
ibus-data (1.5.23-2)
Intelligent Input Bus - data files
ibus-doc (1.5.23-2)
Intelligent Input Bus - development documentation
ibus-gtk (1.5.23-2)
Intelligent Input Bus - GTK2 support
ibus-gtk3 (1.5.23-2)
Intelligent Input Bus - GTK3 support
ibus-hangul (1.5.4-1+b1 [amd64], 1.5.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Hangul engine for IBus
ibus-input-pad (1.4.2-2)
Input Pad for IBus
ibus-keyman (11.0.103-4)
Keyman engine for IBus
ibus-kkc (1.5.22-2)
Japanese Kana Kanji input engine for IBus
ibus-kmfl (11.0.101-1)
Input method engine for multiple languages using KMFL for IBus
ibus-libpinyin (1.12.0-3)
Intelligent Pinyin engine based on libpinyin for IBus
ibus-libthai (0.1.4-6)
Thai input method engine for IBus based on LibThai
ibus-libzhuyin (1.10.0-1)
New Zhuyin engine based on libzhuyin for IBus
ibus-m17n (1.4.3-1)
m17n engine for IBus
ibus-mozc (2.26.4220.100+dfsg-4)
Mozc engine for IBus - Client of the Mozc input method
ibus-pinyin (1.5.0-6.1+b1)
Pinyin engine for IBus
ibus-rime (1.4.0-5)
Rime Input Method Engine for IBus
ibus-skk (1.4.3-1)
SKK engine for IBus
ibus-sunpinyin (2.0.3+git20181120-5)
sunpinyin engine for ibus
ibus-table (1.12.3-1)
table engine for IBus
ibus-table-array30 (1.8.2-3)
Array30 input method based on table engine of ibus
ibus-table-cangjie (1.8.2-3)
ibus-table input method: CangJie (dummy package)
ibus-table-cangjie-big (1.8.2-3)
ibus-table input method: CangJie (big)
ibus-table-cangjie3 (1.8.2-3)
ibus-table input method: CangJie3
ibus-table-cangjie5 (1.8.2-3)
ibus-table input method: CangJie5
ibus-table-cantonese (1.8.2-3)
ibus-table input method: Cantonese
ibus-table-cantonhk (1.8.2-3)
ibus-table input method: Cantonhk
ibus-table-cns11643 (1.3.11-2)
ibus-table input method: Cns11643
ibus-table-compose (1.3.11-2)
ibus-table input method: Compose
ibus-table-easy (1.8.2-3)
ibus-table input method: Easy (dummy package)
ibus-table-easy-big (1.8.2-3)
ibus-table input method: Easy (big)
ibus-table-emoji (1.3.11-2)
ibus-table input method: Emoji
ibus-table-erbi (1.8.2-3)
ibus-table input method: ErBi
ibus-table-erbi-qs (1.8.2-3)
ibus-table input method: ErBi(QS)
ibus-table-extraphrase (1.3.9.20110826-3)
Extra phrase for table engine of ibus
ibus-table-ipa-x-sampa (1.3.11-2)
ibus-table input method: IPA-X-SAMPA
ibus-table-jyutping (1.8.2-3)
ibus-table input method: Jyutping
ibus-table-latex (1.3.11-2)
ibus-table input method: LaTeX
ibus-table-old-hungarian-rovas (1.3.11-2)
ibus-table input method: Rovás (Old Hungarian)
ibus-table-quick (1.8.2-3)
ibus-table input method: Quick (dummy package)
ibus-table-quick-classic (1.8.2-3)
ibus-table input method: Quick Classic
ibus-table-quick3 (1.8.2-3)
ibus-table input method: Quick3
ibus-table-quick5 (1.8.2-3)
ibus-table input method: Quick5
ibus-table-rustrad (1.3.11-2)
ibus-table input method: Russian Traditional
ibus-table-scj6 (1.8.2-3)
ibus-table input method: Smart CangJie 6
ibus-table-stroke5 (1.8.2-3)
ibus-table input method: Stroke5
ibus-table-telex (1.3.11-2)
ibus-table input method: Telex
ibus-table-thai (1.3.11-2)
ibus-table input method: Thai
ibus-table-translit (1.3.11-2)
ibus-table input method: Translit
ibus-table-translit-ua (1.3.11-2)
ibus-table input method: Ukrainian Translit
ibus-table-viqr (1.3.11-2)
ibus-table input method: Viqr
ibus-table-vni (1.3.11-2)
ibus-table input method: Vni
ibus-table-wu (1.8.2-3)
ibus-table input method: Wu
ibus-table-wubi (1.8.2-3)
ibus-table input method: Wubi
ibus-table-yawerty (1.3.11-2)
ibus-table input method: Yawerty
ibus-table-yong (1.8.2-3)
ibus-table input method: YongMa
ibus-tests (1.5.23-2)
Intelligent Input Bus - installed tests
ibus-typing-booster (2.10.5-1)
Completion input method to speedup typing
ibus-unikey (0.7.0~beta1-1)
Vietnamese Input Method Engine for IBus using Unikey Engine
ibus-wayland (1.5.23-2)
Intelligent Input Bus - Wayland support
ibus-zhuyin (0.1.0-2)
IBus Traditional ZhuYin Input Method
ibutils (1.5.7+0.2.gbd7e502-3)
InfiniBand network utilities
ibverbs-providers (33.2-1)
User space provider drivers for libibverbs
ibverbs-utils (33.2-1)
Examples for the libibverbs library
ical2html (2.1-3+b2 [mips64el], 2.1-3+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
create an HTML table from icalendar data
icatalan (0.20111230b-13)
ispell 的加泰隆(Catalan)字典
icc-profiles (2.1-2) [non-free]
ICC color profiles for use with color profile aware software
icc-profiles-free (2.0.1+dfsg-1.1)
ICC color profiles for use with color profile aware software
icdiff (1.9.5-1)
terminal side-by-side colorized word diff
icebreaker (1.21-12+b1 [amd64], 1.21-12 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Break the iceberg
icecast2 (2.4.4-4)
streaming media server
icecc (1.3.1-1)
distributed compiler (client and server)
icecc-monitor (3.3-1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 3.3-1+b1 [armel, armhf])
monitor for icecc
icecream (1.3-4.1)
lightweight stream download utility
icecream-sundae (1.0.0-3)
Commandline Monitor for Icecream (icecc)
icedax (9:1.1.11-3.2)
Creates WAV files from audio CDs
icedove-enigmail
本虛擬套件由這些套件填實: enigmail
icedtea-netx (1.8.4-1)
Implementation of the Java Network Launching Protocol (JNLP)
icedtea-netx-common
本虛擬套件由這些套件填實: icedtea-netx
ices2 (2.0.3-1)
Ogg Vorbis streaming source for Icecast 2
icewm (2.1.2-1)
類似 Win95、OS/2、以及 Motif 的優秀視窗管理程式
icewm-common (2.1.2-1)
wonderful Win95-OS/2-Motif-like window manager
icewm-experimental (2.1.2-1)
wonderful Win95-OS/2-Motif-like window manager
icewm-lite (2.1.2-1)
transitional package for icewm
icheck (0.9.7-6.3+b6)
C interface ABI/API checker
icinga2 (2.12.3-1)
host and network monitoring system
icinga2-bin (2.12.3-1)
host and network monitoring system - daemon
icinga2-common (2.12.3-1)
host and network monitoring system - common files
icinga2-doc (2.12.3-1)
host and network monitoring system - documentation
icinga2-ido-mysql (2.12.3-1)
host and network monitoring system - MySQL support
icinga2-ido-pgsql (2.12.3-1)
host and network monitoring system - PostgreSQL support
icingacli (2.8.2-2)
simple CLI tool for Icingaweb2 and its modules
icingaweb2 (2.8.2-2)
simple and responsive web interface for Icinga
icingaweb2-common (2.8.2-2)
simple and responsive web interface for Icinga - common files
icingaweb2-module-audit (1.0.1-1)
Audit logs for Icinga Web 2
icingaweb2-module-boxydash (0.0.1+20160321-3)
simple and responsive web interface for Icinga - boxydash module
icingaweb2-module-businessprocess (2.3.0-2)
simple and responsive web interface for Icinga - businessprocess module
icingaweb2-module-cube (1.1.1-1)
simple and responsive web interface to show host statistics
icingaweb2-module-director (1.6.0-2)
simple and responsive web interface for Icinga - director module
icingaweb2-module-doc (2.8.2-2)
simple and responsive web interface for Icinga - documentation module
icingaweb2-module-eventdb (1.3.0-2)
simple and responsive web interface for Icinga - eventdb module
icingaweb2-module-fileshipper (1.2.0-1.1)
This Module offers to deploy config files through the Director
icingaweb2-module-ipl (0.5.0-1)
PHP library for icingaweb2 modules
icingaweb2-module-map (1.1.0-2)
Displays host objects as markers on openstreetmap
icingaweb2-module-monitoring (2.8.2-2)
simple and responsive web interface for Icinga - monitoring module
icingaweb2-module-nagvis (1.1.1-2)
simple and responsive web interface for Icinga - nagvis module
icingaweb2-module-pdfexport (0.9.0-1.1)
Future place for the PDF export functionality for Icinga Web 2
icingaweb2-module-pnp (1.1.0-2)
view beautiful graphs in the host/service detail view
icingaweb2-module-reactbundle (0.8.0-1.1)
3rd party libraries php library for Icinga Web 2
icingaweb2-module-statusmap (20160720-2)
simple and responsive web interface for Icinga - statusmap module
icmake (9.03.01-1)
Intelligent C-like MAKEr, or the ICce MAKE utility
icmake-doc (9.03.01-1)
Documentation files for icmake
icmpinfo (1.11-12+b1)
interpret ICMP messages
icmptx (0.2-1+b2)
Tunnel IP over ICMP
icmpush (2.2-6.1+b1)
ICMP packet builder
icnsutils (0.8.1-3.1)
utilities for manipulating Mac OS icns files
icoextract (0.1.2-2)
icon extraction library for Windows PE files (utilities)
icoextract-thumbnailer (0.1.2-2)
thumbnailer for Windows PE files (.exe/.dll)
icom (20120228-3+b1 [amd64], 20120228-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Software control for ICOM radios with CI-V interface
icon-ipl (9.4.3-7)
Libraries for Icon, a high-level programming language
icon-naming-utils (0.8.90-5)
script for maintaining backwards compatibility of Tango Project
iconnect-tools (0.1+b1)
system administration helping tools for Iomega Iconnect
icont (9.4.3-7)
Interpreter for Icon, a high-level programming language
iconx (9.4.3-7)
Executor for Icon, a high-level programming language
icoutils (0.32.3-3)
Create and extract MS Windows icons and cursors
icu-devtools (67.1-7)
Development utilities for International Components for Unicode
icu-doc (67.1-7)
API documentation for ICU classes and functions
iczech (20040229-5.3)
The Czech dictionary for ispell
id-utils (4.6.28-20200521ss15dab+b1 [amd64], 4.6.28-20200521ss15dab [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fast, high-capacity, identifier database tool
id3 (1.1.2-2)
Editor for ID3 tags
id3ren (1.1b0-7+b1)
id3 tagger and renamer
id3tool (1.2a-12)
Command line editor for id3 tags
id3v2 (0.1.12+dfsg-4)
command line id3v2 tag editor
idanish (1.6.36-11.1)
The Comprehensive Danish Dictionary (DSDO) - ispell
idba (1.1.3-7)
iterative De Bruijn Graph short read assemblers
idba-extra (1.1.3-7)
iterative De Bruijn Graph short read assemblers (extra tools)
ident-server
本虛擬套件由這些套件填實: bidentd, ident2, biboumi, nullidentd, oidentd
ident2 (1.07-3)
An advanced ident daemon
idesk (0.7.5-6+b1)
program to show icons on the desktop
ideviceinstaller (1.1.1-1)
Utility to manage installed applications on an iDevice
idevicerestore (1.0.0-3)
command-line application to restore firmware files to iOS devices
idl-font-lock-el (1.5-9.1)
OMG IDL font-locking for Emacs
idle (3.9.2-3)
IDE for Python using Tkinter (default version)
idle-python2.7 (2.7.18-8+deb11u1)
IDE for Python (v2.7) using Tkinter
idle-python3.9 (3.9.2-1)
IDE for Python (v3.9) using Tkinter
idle3 (3.9.2-3)
IDE for Python using Tkinter (transitional package)
idle3-tools (0.9.1-6)
change the idle3 timer of recent Western Digital Hard Disk Drives
idlestat (0.8-4)
measure CPU time in idle and operating states
idn (1.33-3)
Command line and Emacs interface to GNU Libidn
idn2 (2.3.0-5)
Internationalized domain names (IDNA2008/TR46) command line tool
idseq-bench (0.0~git20200902.8241a9a-1)
Benchmark generator for the IDseq Portal
idutch (1:2.20.19-2)
Dutch dictionary for Ispell
idzebra-2.0 (2.2.2-1)
IDZebra metapackage (the works)
idzebra-2.0-common (2.2.2-1)
IDZebra common files
idzebra-2.0-doc (2.2.2-1)
IDZebra documentation
idzebra-2.0-examples (2.2.2-1)
IDZebra example configurations
idzebra-2.0-utils (2.2.2-1)
IDZebra utility programs
iec16022 (0.2.4-1.2)
Generates 2d ISO/IEC 16022 barcodes (data matrix/semacode)
ieee-data (20210605.1)
OUI and IAB listings
iem-plugin-suite-standalone (1.11.1-1)
IEM's spatialization suite - standalone
iem-plugin-suite-vst (1.11.1-1)
IEM's spatialization suite - VST plugins
ienglish-common (3.4.02-2)
Common files for British and American ispell dictionaries
iesperanto (2.1.2000.02.25-58)
Esperanto dictionary for ispell
iestonian (1:20030606-31)
Estonian dictionary for Ispell
ifaroese (0.4.2+repack1-2)
Faroese dictionary for ispell
ifcico (2.14tx8.10-26)
Fidonet Technology transport package
ifcplusplus (0~git20190402.13744d5+dfsg-2+b1)
Reader and writer for IFC files in STEP format
ifenslave (2.13~deb11u1)
configure network interfaces for parallel routing (bonding)
ifetch-tools (0.18.2-2)
Collect, monitor, and view images from ip cameras
ifgate (2.14tx8.10-26)
Internet to Fidonet gateway
ifhp (3.5.20-17)
Printer filter for HP LaserJet printers
ifile (1.3.9-7+b1)
spam filter capable of learning
ifmail (2.14tx8.10-26)
Internet to Fidonet gateway
ifmetric (0.3-5)
Set routing metrics for a network interface
ifplugd (0.28-19.5)
configuration daemon for ethernet devices
ifrename (30~pre9-13.1)
Rename network interfaces based on various static criteria
ifrench (1.4-29)
French dictionary for ispell (Hydro-Quebec version)
ifrench-gut (1:1.0-32.1)
French dictionary for ispell (GUTenberg version)
ifscheme (1.7-6)
scheme control for network interfaces
ifstat (1.1-8.1+b3)
InterFace STATistics Monitoring
iftop (1.0~pre4-7)
displays bandwidth usage information on an network interface
ifupdown (0.8.36)
高階網路設定介面工具
ifupdown
本虛擬套件由這些套件填實: ifupdown2, netscript-2.4
ifupdown-extra (0.32)
Network scripts for ifupdown
ifupdown-multi (1.0.0)
multiple default gateway support for ifupdown
ifupdown2 (3.0.0-1)
Network Interface Management tool similar to ifupdown
ifuse (1.1.4~git20181007.3b00243-1)
FUSE module for iPhone and iPod Touch devices
igaelic (0.50-13.1)
Scots Gaelic dictionary for ispell
igal
本虛擬套件由這些套件填實: igal2
igal2 (2.4-1)
online image gallery generator
igalician-minimos (0.5-47)
Ispell dictionary for Galician (minimos)
igdiscover (0.11-3)
analyzes antibody repertoires to find new V genes
igdiscover-doc (0.11-3)
analyzes antibody repertoires to find new V genes - doc
igmpproxy (0.3-1)
IGMP multicast routing daemon
igor (1.4.0+dfsg-2)
infers V(D)J recombination processes from sequencing data
igtf-policy-classic (1.109-1)
IGTF classic profile for Certificate Authorities
igtf-policy-experimental (1.109-1)
IGTF experimental Certificate Authorities
igtf-policy-iota (1.109-1)
IGTF IOTA profile for Certificate Authorities
igtf-policy-mics (1.109-1)
IGTF MICS profile for Certificate Authorities
igtf-policy-slcs (1.109-1)
IGTF SLCS profile for Certificate Authorities
igtf-policy-unaccredited (1.109-1)
IGTF unaccredited Certificate Authorities
igv (2.6.3+dfsg-3) [non-free]
Integrative Genomics Viewer
ihungarian (1.6.1-2.1)
Hungarian dictionary for ispell
ii (1.8-2)
minimalist FIFO and filesystem-based IRC client
ii-esu (1.0a.dfsg2-0.1+b1)
shooter game
iio-sensor-proxy (3.0-2)
IIO sensors to D-Bus proxy
iiod (0.21-2+b1)
Daemon to share IIO devices on the network
iipimage-doc (1.1-3)
Web-based streamed viewing and zooming of ultra high-resolution images - doc
iipimage-server (1.1-3)
Web-based streamed viewing and zooming of ultra high-resolution images
iirish (2.0-27.1)
Irish (Gaeilge) dictionary for ispell
iisemulator (0.95-3.3)
Emulation for the IIS web server
iitalian (1:2.3-3+b1)
Italian dictionary for ispell
iitii (0.0+git20191030.85209e0-2)
Implicit Interval Tree with Interpolation Index
iiwusynth
本虛擬套件由這些套件填實: fluidsynth
ikarus (0.0.3+bzr.2010.01.26-7)
Scheme compiler and interpreter
ike-scan (1.9.4-3)
discover and fingerprint IKE hosts (IPsec VPN Servers)
ike-server
本虛擬套件由這些套件填實: strongswan-charon, libreswan
ikiwiki (3.20200202.3-1)
wiki compiler
ikiwiki-hosting-common (0.20180719-2)
ikiwiki hosting: common files
ikiwiki-hosting-dns (0.20180719-2)
ikiwiki hosting: dns server
ikiwiki-hosting-web (0.20180719-2)
ikiwiki hosting: web server
ikiwiki-plugin-table
本虛擬套件由這些套件填實: ikiwiki
ilisp (5.12.0+cvs.2004.12.26-28)
Emacs interface to LISP implementations
ilisp-doc (5.12.0+cvs.2004.12.26-28)
Documentation for ILISP package
ilithuanian (1.3.2-1)
立陶宛文(LT)的 ispell 字典
im (1:153-4)
mail/news handling commands and Perl modules
im-config (0.46-1+deb11u1)
Input method configuration framework
im-switch
本虛擬套件由這些套件填實: im-config
ima-evm-utils (1.1-1+b1)
Linux IMA Extended Verification Module signing tools
image-factory (1.0.1-1)
Build golden Linux images
image-transport-tools (1.12.0-3)
Robot OS image_transport package tools
imageindex (1.1-4)
generate static HTML galleries from images
imagej (1.53g-2)
Image processing program with a focus on microscopy images
imagemagick (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation programs -- binaries
imagemagick
本虛擬套件由這些套件填實: imagemagick-6.q16, graphicsmagick-imagemagick-compat
imagemagick-6-common (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation programs -- infrastructure
imagemagick-6-doc (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
document files of ImageMagick
imagemagick-6.defaultquantum
本虛擬套件由這些套件填實: imagemagick-6.q16
imagemagick-6.q16 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation programs -- quantum depth Q16
imagemagick-6.q16hdri (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation programs -- quantum depth Q16HDRI
imagemagick-common (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation programs -- infrastructure dummy package
imagemagick-common
本虛擬套件由這些套件填實: imagemagick-6-common
imagemagick-doc (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
document files of ImageMagick -- dummy package
imagemagick-doc
本虛擬套件由這些套件填實: imagemagick-6-doc
imagetooth (2.0.1-3)
library generating images of teeth for odontograms
imagination (3.6-1)
DVD slide show maker
imagination-common (3.6-1)
DVD slide show maker - common files
imanx (0.50-16.1)
Manx Gaelic dictionary for ispell
imap-client
本虛擬套件由這些套件填實: kmail, mew, im, mutt, wl-beta, claws-mail, balsa, neomutt, evolution, wl, s-nail, geary, gnumail.app, mew-beta
imap-server
本虛擬套件由這些套件填實: mailutils-imap4d, dovecot-imapd, cyrus-imapd, courier-imap
imapcopy (1.04-2.1+b1 [amd64, arm64, armel, armhf, i386], 1.04-2.1 [mipsel, ppc64el])
IMAP backup, copy and migration tool
imapfilter (1:2.7.5-1)
filter mail in your IMAP account
imapproxy (1.2.8~svn20171105-2)
IMAP protocol proxy
imaprowl (1.2.1-1.2)
IMAP new mail notification utility for iPhone using Prowl Public API
imaptool (0.9-19)
tool for creating client-side image maps
imediff (2.2-1.1)
interactive full screen 2/3-way merge tool
imediff2 (1.1.2.1-3.1)
interactive full screen 2-way merge tool
imediff2
本虛擬套件由這些套件填實: imediff
img2pdf (0.4.0-1)
Lossless conversion of raster images to PDF
img2simg (1:10.0.0+r36-7)
Transitional package
imgp (2.8-1)
Superfast batch image resizer and rotator
imgsizer (2.10-0.1)
Adds WIDTH and HEIGHT attributes to IMG tags in HTML files
imgvtopgm (2.0-9+b2)
PalmPilot/III Image Conversion utility
imp
本虛擬套件由這些套件填實: php-horde-imp
impass (0.12.2-1)
Simple and secure password management and retrieval system
impose+ (0.2-12.1)
Postscript utilities for two-up printing, bbox, etc
impressive (0.13.0~beta2-1)
PDF presentation tool with eye candies
impressive-display (0.3.3-1)
Impressive based PDF display
imv (4.2.0-1.1)
X11/Wayland image viewer intended for use with tiling window managers
imview (1.1.9h-3)
Image viewing and analysis application
imvirt (0.9.6-8)
detects several virtualizations
imvirt-helper (0.9.6-8)
helper programs to detect several virtualizations
imwheel (1.0.0pre12-12+b1)
program to support non-standard buttons on mice in Linux
imx-code-signing-tool (3.3.0+dfsg2-1)
code signing tool for i.MX platform
imx-usb-loader (0~git20200517.30b43d69-1)
imx_loader - i.MX/Vybrid recovery utility
in-toto (1.0.1-1)
software supply chain security framework
inadyn (1.99.4-1+b1)
Simple and small DynDNS client written in the C language
indelible (1.03-5)
powerful and flexible simulator of biological evolution
indent (2.2.12-1)
C language source code formatting program
indent-doc (2.2.12-1)
Documentation for GNU indent
indi-bin (1.8.8+dfsg-1)
INDI server, drivers and tools
indicator-renderer
本虛擬套件由這些套件填實: mate-indicator-applet, xfce4-statusnotifier-plugin, xfce4-indicator-plugin
indicator-sensors (1.2-1)
Hardware sensors indicator
indigo-utils (1.2.3-3.1)
Organic Chemistry Toolkit Utilities
inet-superserver
本虛擬套件由這些套件填實: openbsd-inetd, inetutils-inetd, xinetd, rlinetd
inetsim (1.3.2+dfsg.1-1)
Software suite for simulating common internet services
inetutils-ftp (2:2.0-1+deb11u2)
File Transfer Protocol client
inetutils-ftpd (2:2.0-1+deb11u2)
File Transfer Protocol server
inetutils-inetd (2:2.0-1+deb11u2)
internet super server
inetutils-ping (2:2.0-1+deb11u2)
ICMP echo tool
inetutils-syslogd (2:2.0-1+deb11u2)
system logging daemon
inetutils-talk (2:2.0-1+deb11u2)
talk to another user
inetutils-talkd (2:2.0-1+deb11u2)
remote user communication server
inetutils-telnet (2:2.0-1+deb11u2)
telnet client
inetutils-telnetd (2:2.0-1+deb11u2)
telnet server
inetutils-tools (2:2.0-1+deb11u2)
base networking utilities (experimental package)
inetutils-traceroute (2:2.0-1+deb11u2)
trace the IPv4 route to another host
inews
本虛擬套件由這些套件填實: inn2-inews, inn
infernal (1.1.4-1)
inference of RNA secondary structural alignments
infernal-doc (1.1.4-1)
inference of RNA secondary structural alignments – documentation
infiniband-diags (33.2-1)
InfiniBand diagnostic programs
infinoted (0.7.2-1)
dedicated server for infinote-based collaborative editing
influxdb (1.6.7~rc0-1+b5)
Scalable datastore for metrics, events, and real-time analytics
influxdb-client (1.6.7~rc0-1+b5)
command line interface for InfluxDB
infnoise (0.3.1+git20190812+dfsg-1)
Infinite Noise TRNG driver and tools
info (6.7.0.dfsg.2-6)
獨立 GNU Info 文件瀏覽器
info-beamer (1.0~pre3+dfsg-0.1+b7 [mips64el], 1.0~pre3+dfsg-0.1+b6 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
interactive multimedia presentation framework
info-browser
本虛擬套件由這些套件填實: pinfo, info, xjed, xemacs21-nomule, tkinfo, xemacs21-mule, emacs-gtk, xemacs21-mule-canna-wnn, jed, emacs-nox, emacs-lucid, jed-extra, konqueror
info2man (1.1-10)
Convert GNU info files to POD or man pages
info2www (1.2.2.9-24.1)
Read info files with a WWW browser
inform (6.31.1+dfsg-2) [non-free]
story file compiler for the Inform interactive fiction language (v6)
inform-docs (6.31.1+dfsg-2) [non-free]
documentation for the Inform interactive fiction language (v6)
inform6-compiler (6.33-2+b1)
Inform 6 interactive fiction language — compiler
inform6-library (6.12.2+dfsg.1-1.1)
Inform 6 interactive fiction language — standard library
ingerman (20161207-9)
New German orthography dictionary for ispell
ingo
本虛擬套件由這些套件填實: php-horde-ingo
inhomog (0.1.9.2-1+b1)
kinematical backreaction and average scale factor evolution
init (1.60)
metapackage ensuring an init system is installed
init-system-helpers (1.60)
helper tools for all init systems
initramfs-tools (0.140)
generic modular initramfs generator (automation)
initramfs-tools-core (0.140)
generic modular initramfs generator (core tools)
initrd-kickseed (0.63)
Load Kickstart file from the initrd
initrd-preseed (1.109)
load debconf preseed file from /preseed.cfg on the initrd
initscripts (2.96-7+deb11u1)
scripts for initializing and shutting down the system
initz (0.0.11+20030603cvs-17.3)
Handles the switching of various initialization files of emacsen
ink (0.5.3-1)
tool for checking the ink level of your local printer
ink-generator (0.4-2.1)
Inkscape extension to automatically generate files from a template
inkscape (1.0.2-4)
vector-based drawing program
inkscape-open-symbols (1.2.1-1)
Open source SVG symbol sets that can be used as Inkscape symbols
inkscape-speleo (1.8-4)
Inkscape plugin to help draw surveys
inkscape-survex-export (2.0-1)
Inkscape plugin to digitise printed surveys
inkscape-textext (1.3.0-2)
Re-editable LaTeX graphics for Inkscape
inkscape-textext-doc (1.3.0-2)
Re-editable LaTeX graphics for Inkscape (documentation)
inkscape-tutorials (1.0.2-4)
vector-based drawing program - tutorials
inn (1:1.7.2q-46+b3)
News transport system `InterNetNews' by the ISC and Rich Salz
inn2 (2.6.4-2)
'InterNetNews' news server
inn2-dev (2.6.4-2)
libinn.a library, headers and man pages
inn2-inews (2.6.4-2)
NNTP client news injector, from InterNetNews (INN)
innoextract (1.8-1.2+b1)
Tool for extracting data from an Inno Setup installer
ino-headers (0.4.0-2.1)
C API to execute JavaScript code - header files
ino-headers-doc (0.4.0-2.1)
C API to execute JavaScript code - documentation
inorwegian (2.2-4)
Norwegian dictionary for ispell
inoticoming (0.2.3-2+b1 [amd64], 0.2.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
trigger actions when files hit an incoming directory
inotify-hookable (0.09-2)
blocking command-line interface to inotify
inotify-tools (3.14-8.1)
command-line programs providing a simple interface to inotify
input-modules
本虛擬套件由這些套件填實: input-modules-5.10.0-28-amd64-di, input-modules-5.10.0-25-4kc-malta-di, input-modules-5.10.0-26-amd64-di, input-modules-5.10.0-27-loongson-3-di, input-modules-5.10.0-28-4kc-malta-di, input-modules-5.10.0-26-powerpc64le-di, input-modules-5.10.0-26-4kc-malta-di, input-modules-5.10.0-27-686-di, input-modules-5.10.0-25-amd64-di, input-modules-5.10.0-28-powerpc64le-di, input-modules-5.10.0-28-686-pae-di, input-modules-5.10.0-27-5kc-malta-di, input-modules-5.10.0-26-686-di, input-modules-5.10.0-27-armmp-di, input-modules-5.10.0-28-686-di, input-modules-5.10.0-27-powerpc64le-di, input-modules-5.10.0-26-5kc-malta-di, input-modules-5.10.0-25-marvell-di, input-modules-5.10.0-27-octeon-di, input-modules-5.10.0-28-loongson-3-di, input-modules-5.10.0-27-4kc-malta-di, input-modules-5.10.0-25-octeon-di, input-modules-5.10.0-27-amd64-di, input-modules-5.10.0-26-686-pae-di, input-modules-5.10.0-26-arm64-di, input-modules-5.10.0-28-5kc-malta-di, input-modules-5.10.0-28-octeon-di, input-modules-5.10.0-28-arm64-di, input-modules-5.10.0-25-686-di, input-modules-5.10.0-27-marvell-di, input-modules-5.10.0-26-armmp-di, input-modules-5.10.0-28-armmp-di, input-modules-5.10.0-25-5kc-malta-di, input-modules-5.10.0-25-arm64-di, input-modules-5.10.0-26-octeon-di, input-modules-5.10.0-28-marvell-di, input-modules-5.10.0-25-powerpc64le-di, input-modules-5.10.0-25-armmp-di, input-modules-5.10.0-25-686-pae-di, input-modules-5.10.0-26-loongson-3-di, input-modules-5.10.0-26-marvell-di, input-modules-5.10.0-27-686-pae-di, input-modules-5.10.0-27-arm64-di, input-modules-5.10.0-25-loongson-3-di
input-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-686-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Input devices support
input-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-686-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-686-pae-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-amd64-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-arm64-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-armmp-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-marvell-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-octeon-di (5.10.197-1)
Input devices support
input-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Input devices support
input-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-686-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Input devices support
input-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-686-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-686-pae-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-amd64-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-arm64-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-armmp-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-marvell-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-octeon-di (5.10.209-2)
Input devices support
input-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Input devices support
input-pad (1.0.3-4)
On-screen Input Pad to Send Characters with Mouse
input-utils (1.0-1.1+b1)
utilities for the input layer of the Linux kernel
inputattach (1:1.7.1-1)
utility to connect serial-attached peripherals to the input subsystem
inputlirc (33-1+b1 [amd64], 33-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Zeroconf LIRC daemon using input event devices
inputplug (0.3-1)
XInput monitor
insighttoolkit4-examples (4.13.3withdata-dfsg1-4)
Image processing toolkit for registration and segmentation - examples
insighttoolkit4-python3 (4.13.3withdata-dfsg1-4)
Image processing toolkit for registration and segmentation - Python bindings
insilicoseq (1.5.2-1)
sequencing simulator producing realistic Illumina reads
inspectrum (0.2.3-1)
tool for visualising captured radio signals
inspircd (3.8.1-2)
Modular IRCd written in C++
inspircd-dev (3.8.1-2)
Modular IRCd written in C++ - development headers
insserv (1.21.0-1.1)
boot sequence organizer using LSB init.d script dependency information
install-info (6.7.0.dfsg.2-6)
Manage installed documentation in info format
install-mimic (0.4.0-5)
overwrite files and preserve their ownership
installation-birthday (15)
Receive a message on system installation anniversary
installation-guide-amd64 (20220129~deb11u1)
Debian installation guide for amd64
installation-guide-arm64 (20220129~deb11u1)
Debian installation guide for arm64
installation-guide-armel (20220129~deb11u1)
Debian installation guide for armel
installation-guide-armhf (20220129~deb11u1)
Debian installation guide for armhf
installation-guide-i386 (20220129~deb11u1)
Debian installation guide for i386
installation-guide-mips64el (20220129~deb11u1)
Debian installation guide for mips64el
installation-guide-mipsel (20220129~deb11u1)
Debian installation guide for mipsel
installation-guide-ppc64el (20220129~deb11u1)
Debian installation guide for powerpc
installation-guide-s390x (20220129~deb11u1)
Debian installation guide for s390x
installation-locale (1.9)
UTF-8 C locale
installation-report (2.78)
system installation report
installed-base
本虛擬套件由這些套件填實: live-installer, bootstrap-base
instead (3.3.2-1.1)
Simple text adventures/visual novels engine
instead-data (3.3.2-1.1)
Data files for INSTEAD
instead-doc-common (3.3.2-1.1)
Common documentation for INSTEAD
instead-doc-en (3.3.2-1.1)
English documentation for INSTEAD
instead-doc-ru (3.3.2-1.1)
Russian documentation for INSTEAD
integrit (4.1-1.1+b12 [amd64, i386, mipsel], 4.1-1.1+b11 [arm64, armel, armhf, mips64el, ppc64el, s390x])
A file integrity verification program
intel-cmt-cat (4.1-1)
Intel cache monitoring and allocation technology config tool
intel-gpu-tools (1.25-2.1)
tools for debugging the Intel graphics driver
intel-hdcp (20.3.0-1+b1)
Intel unified HDCP implementation -- userspace daemon
intel-media-va-driver (21.1.1+dfsg1-1)
VAAPI driver for the Intel GEN8+ Graphics family
intel-media-va-driver-non-free (21.1.1+ds1-1) [non-free]
VAAPI driver for the Intel GEN8+ Graphics family
intel-microcode (3.20231114.1~deb11u1) [non-free]
Processor microcode firmware for Intel CPUs
intel-mkl (2020.4.304-2) [non-free]
Intel® Math Kernel Library (Intel® MKL)
intel-mkl-cluster (2020.4.304-2) [non-free]
Intel® Math Kernel Library (Intel® MKL) (Cluster)
intel-mkl-doc (2020.4.304-2) [non-free]
Intel® Math Kernel Library (Intel® MKL) (Doc)
intel-mkl-full (2020.4.304-2) [non-free]
Intel® Math Kernel Library (Intel® MKL) (Full)
intel-mkl-linktool (2020.4.304-2) [non-free]
Intel(R) Math Kernel Library (Intel(R) MKL) Link Tool v4.7
intel-opencl-icd (20.44.18297-1)
Intel graphics compute runtime for OpenCL
intel-rng-tools
本虛擬套件由這些套件填實: rng-tools-debian
intel2gas (1.3.3-17+b1 [amd64], 1.3.3-17 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
converter from NASM assembly language to GAS
interimap (0.5.6-1)
Fast bidirectional synchronization for QRESYNC-capable IMAP servers
internetarchive (1.9.9-1)
commandline interface to archive.org
intltool (0.51.0-6)
Utility scripts for internationalizing XML
intltool-debian (0.35.0+20060710.5)
Help i18n of RFC822 compliant config files
invada-studio-plugins-ladspa (0.3.1-5)
Invada Studio Plugins - a set of LADSPA audio plugins
invada-studio-plugins-lv2 (1.2.0+repack0-8)
Invada Studio Plugins - a set of LV2 audio plugins
inventor-clients (2.1.5-10-23.1)
Open Inventor client programs
inventor-data (2.1.5-10-23.1)
Open Inventor sample data files
inventor-demo (2.1.5-10-23.1)
Open Inventor demonstration programs and example code
inventor-dev (2.1.5-10-23.1)
Open Inventor development files
inventor-doc (2.1.5-10-23.1)
Open Inventor documentation
invesalius (3.1.99994-1)
3D medical imaging reconstruction software
invesalius-bin (3.1.99994-1+b1)
Implementation of MIDA and contour based visualizations
invesalius-examples (3.1.99994-1)
examples for 3D medical imaging reconstruction software
inxi (3.3.01-1-1)
full featured system information script
iodbc (3.52.9-2.1+b1)
GTK+ config frontend for the iODBC Driver Manager
iodine (0.7.0-10)
tool for tunneling IPv4 data through a DNS server
iog (1.03-4)
network I/O grapher
iogerman (1:2-37)
Traditional German orthography dictionary for ispell
ionit (0.3.8-1)
Render configuration files from Jinja templates
ioping (1.2-1)
Simple disk I/O latency measuring tool
ioport (1.2-1+b1 [amd64], 1.2-1 [i386])
direct access to I/O ports from the command line
ioquake3 (1.36+u20201117.d1b7ab6~dfsg-1)
Game engine for 3D first person shooter games
ioquake3-server (1.36+u20201117.d1b7ab6~dfsg-1)
Engine for 3D first person shooter games - server and common files
iotjs (1.0+715-1)
Javascript Framework for Internet of Things
iotjs-dev (1.0+715-1)
Developer files for Javascript Framework for IoT
iotop (0.6-24-g733f3f8-1.1)
simple top-like I/O monitor
iotop-c (1.17-1+deb11u1)
simple top-like I/O monitor (implemented in C)
iozone3 (489-1) [non-free]
Filesystem and Disk Benchmarking Tool
ip2host (1.13-2.1)
Resolve IPs to hostnames in web server logs
ipadic (2.7.0+main-3.1)
Dictionaries for ChaSen (Binary data)
ipadic
本虛擬套件由這些套件填實: naist-jdic
ipadic-common (2.7.0+main-3.1)
Dictionaries for ChaSen (UTF-8 text)
ipband (0.8.1-5.1+b1)
daemon for subnet bandwidth monitoring with reporting via email
ipcalc (0.42-2)
parameter calculator for IPv4 addresses
ipcalc-ng (1.0.0-1)
parameter calculator for IPv4 and IPv6 addresses
ipe (7.2.23+dfsg1-2)
drawing editor for creating figures in PDF or PS formats
ipe5toxml (1:7.2.20-1+b3)
converts Ipe5 figures to an XML file readable by Ipe
iperf (2.0.14a+dfsg1-1)
Internet Protocol bandwidth measuring tool
iperf3 (3.9-1+deb11u1)
Internet Protocol bandwidth measuring tool
ipfm (0.11.5-4.2+b1)
a bandwidth analysis tool
ipgrab (0.9.10-4)
tcpdump-like utility that prints detailed header information
ipheth-utils (1.0-5)
USB tethering driver support utilities for the iPhone
ipig (0.0.r5-4)
integrating PSMs into genome browser visualisations
ipip (1.1.10)
IP over IP Encapsulation Daemon
ipkungfu (0.6.1-6.2)
iptables-based Linux firewall
ipmctl (02.00.00.3852+ds-1)
utility for configuring and managing Intel Optane DC persistent memory modules
ipmitool (1.8.18-10.1)
utility for IPMI control with kernel driver or LAN interface (daemon)
ipmiutil (3.1.7-1)
IPMI management utilities
ipolish (20210105-1)
Polish dictionary for ispell
iportuguese (20210112-1)
European Portuguese dictionary for ispell
ipp-usb (0.9.17-3+b4)
Daemon for IPP over USB printer support
ippl (1.4.14-12.2+b1)
IP protocols logger
ippl-dbg (1.4.14-12.2+b1)
debugging systems for ippl
ipqalc (1.5.3+git20200816.523b207-2)
graphical utility for IPv4 subnet calculation
iprange (1.0.4+ds-2)
optimizing ipsets for iptables
iproute2 (5.10.0-4)
networking and traffic control tools
iproute2-doc (5.10.0-4)
networking and traffic control tools - documentation
iprutils (2.4.18.1-3)
userspace support for IBM Power Linux RAID SCSI driver
iprutils-udeb (2.4.18.1-3)
userspace support for IBM Power Linux RAID SCSI driver
ips (4.0-1+b3)
Intelligent process status
ipset (7.10-1)
administration tool for kernel IP sets
ipset-7.10
本虛擬套件由這些套件填實: ipset
ipset-persistent (1.0.15)
boot-time loader for netfilter rules, ipset plugin
ipsvd (1.0.0-3.1+b1 [amd64], 1.0.0-3.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Internet protocol service daemons
iptables (1.8.7-1)
administration tools for packet filtering and NAT
iptables-converter (0.9.8-1.2)
convert iptables-commands from a file to iptables-save format
iptables-converter-doc (0.9.8-1.2)
convert iptables-commands from a file to iptables-save format - doc
iptables-netflow-dkms (2.5.1-2)
iptables target which generates netflows
iptables-persistent (1.0.15)
boot-time loader for netfilter rules, iptables plugin
iptotal (0.3.3-15)
monitor for IP traffic, not requiring SNMP
iptraf
本虛擬套件由這些套件填實: iptraf-ng
iptraf-ng (1:1.2.1-1)
Next Generation Interactive Colorful IP LAN Monitor
iptstate (2.2.6-1+b2)
top-like interface to your netfilter connection-tracking table
iptux (0.7.6-4)
Intranet communication tool for Linux
iputils-arping (3:20210202-1)
用於傳送 ICMP echo requests 至一 ARP 位址的工具
iputils-clockdiff (3:20210202-1)
Measure the time difference between networked computers
iputils-ping (3:20210202-1)
測試網路主機到達率的工具
iputils-tracepath (3:20210202-1)
Tools to trace the network path to a remote host
ipv6-modules
本虛擬套件由這些套件填實: ipv6-modules-5.10.0-27-marvell-di, ipv6-modules-5.10.0-28-marvell-di, ipv6-modules-5.10.0-26-marvell-di, ipv6-modules-5.10.0-25-marvell-di
ipv6-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
IPv6 driver
ipv6-modules-5.10.0-26-marvell-di (5.10.197-1)
IPv6 driver
ipv6-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
IPv6 driver
ipv6-modules-5.10.0-28-marvell-di (5.10.209-2)
IPv6 driver
ipv6calc (1.0.0-1.1)
small utility for manipulating IPv6 addresses
ipv6pref (1.0.3-1+b1)
utility to set IPv6 address preferences
ipv6toolkit (2.0+ds.1-1)
Security assessment and troubleshooting tool for the IPv6 protocols
ipvsadm (1:1.31-1+b1 [amd64], 1:1.31-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Linux Virtual Server support programs
ipwatchd (1.2.1-1+b2)
IP conflict detection tool
ipwatchd-gnotify (1.0.1-1+b2)
Gnome notification tool for IPwatchD
ipxe (1.0.0+git-20190125.36a4c85-5.1)
PXE boot firmware
ipxe-qemu (1.0.0+git-20190125.36a4c85-5.1)
PXE boot firmware - ROM images for qemu
ipython3 (7.20.0-1+deb11u1)
Enhanced interactive Python 3 shell
iqtree (1.6.12+dfsg-1)
efficient phylogenetic software by maximum likelihood
ir-keytable (1.20.0-2)
Alter keymaps of Remote Controller devices
ir.lv2 (1.3.4~dfsg0-3)
LV2 IR reverb
iraf (2.16.1+2018.11.01-6+b1)
Image Reduction and Analysis Facility
iraf-dev (2.16.1+2018.11.01-6+b1)
Image Reduction and Analysis Facility (development files)
iraf-fitsutil (2018.07.06-4+b1)
FITS utilities for IRAF
iraf-mscred (5.05+2018.07.09-1+b3)
CCD mosaic reduction package for IRAF
iraf-noao (2.16.1+2018.11.01-6+b1)
IRAF NOAO data reduction package
iraf-noao-dev (2.16.1+2018.11.01-6+b1)
IRAF NOAO data reduction package (development files)
iraf-rvsao (2.8.3-1+b3)
IRAF package to obtain radial velocities from spectra
iraf-sptable (1.0~pre20180612-2+b1)
IRAF package for Tabular Spectra
iraf-wcstools (3.9.6-1)
Handle the WCS of a FITS image (IRAF package)
irb
本虛擬套件由這些套件填實: ruby
irc
本虛擬套件由這些套件填實: epic5, scrollz, epic4, hexchat, ircii, hexchat-common, konversation
ircd
本虛擬套件由這些套件填實: inspircd, ircd-hybrid, ircd-ircu, foxeye
ircd-hybrid (1:8.2.38+dfsg.1-2)
high-performance secure IRC server
ircd-irc2 (2.11.2p3~dfsg-5.1)
The original IRC server daemon
ircd-ircu (2.10.12.10.dfsg1-3+b2 [amd64], 2.10.12.10.dfsg1-3+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Undernet IRC Server daemon
ircii (20210314+really20190117-1)
Internet Relay Chat client
irclog2html (2.17.2-1)
Converts IRC log files to HTML with pretty colours
ircmarkers (0.15-2)
place markers on maps at given coordinates
irecovery (1.0.0-5)
Command line utility to communication with iOS recovery systems
irker (2.19+dfsg-1)
submission tools for IRC notifications
iroffer (1.4.b03-7)
IRC file distribution bot
ironic-api (1:16.0.3-1)
bare metal hypervisor API for OpenStack - API server
ironic-common (1:16.0.3-1)
bare metal hypervisor API for OpenStack - common files
ironic-conductor (1:16.0.3-1)
bare metal hypervisor API for OpenStack - conductor
ironic-doc (1:16.0.3-1)
bare metal hypervisor API for OpenStack - doc
ironic-inspector (10.4.1-1)
discovering hardware properties for OpenStack Ironic - Daemon
ironic-neutron-agent (3.0.0-1)
OpenStack virtual network service - Ironic agent
ironic-tempest-plugin (2.1.0-2)
OpenStack Integration Test Suite - Ironic plugin
ironseed (0.3.6-5)
science-fiction exploration/strategy adventure game in space
ironseed-data (0.3.6-5)
science-fiction exploration/strategy adventure game in space - data files
irony-mode
本虛擬套件由這些套件填實: elpa-irony
irony-server (1.4.0+7.g76fd37f-1)
Emacs C/C++ minor mode powered by libclang (server)
irpas (0.10-8) [non-free]
Internetwork Routing Protocol Attack Suite
irqbalance (1.7.0-1)
Daemon to balance interrupts for SMP systems
irqtop (2.5.1-2)
Observe IRQ and SoftIRQ in a top-like fashion
irsim (9.7.104-1)
Switch-level simulator
irssi (1.2.3-1)
terminal based IRC client
irssi-abi-20
本虛擬套件由這些套件填實: irssi
irssi-dev (1.2.3-1)
terminal based IRC client - development files
irssi-plugin-otr (1.2.3-1)
Off-The-Record messaging plugin for Irssi
irssi-plugin-robustirc (0.6-4+b1)
RobustIRC plugin for irssi
irssi-plugin-xmpp (0.54+git20191101+c13fa5-1)
XMPP plugin for irssi
irssi-scripts (20201016)
collection of scripts for irssi
irstlm (6.00.05-2+b1)
IRST Language Modeling Toolkit
irtt (0.9.0-2+b17)
Isochronous Round-Trip Tester
irussian (0.99g5-24)
Russian dictionary for Ispell
isag (12.5.2-2)
Interactive System Activity Grapher for sysstat
isal (2.30.0-2)
Intel(R) Intelligent Storage Acceleration Library - tools
isatapd (0.9.7-5)
creates and maintains an ISATAP client tunnel (RFC 5214)
isbg (2.3.1-1)
scan spam with SpamAssassin via IMAP
isc-dhcp-client (4.4.1-2.3+deb11u2)
DHCP client for automatically obtaining an IP address
isc-dhcp-client-ddns (4.4.1-2.3+deb11u2)
Dynamic DNS (DDNS) enabled DHCP client
isc-dhcp-client-udeb (4.4.1-2.3+deb11u2)
ISC DHCP Client for debian-installer
isc-dhcp-common (4.4.1-2.3+deb11u2)
common manpages relevant to all of the isc-dhcp packages
isc-dhcp-dev (4.4.1-2.3+deb11u2)
API for accessing and modifying the DHCP server and client state
isc-dhcp-relay (4.4.1-2.3+deb11u2)
ISC DHCP relay daemon
isc-dhcp-server (4.4.1-2.3+deb11u2)
ISC DHCP server for automatic IP address assignment
isc-dhcp-server-ldap (4.4.1-2.3+deb11u2)
DHCP server that uses LDAP as its backend
iscsiuio (2.1.3-5)
iSCSI offloading daemon for QLogic devices
iselect (1.4.0-4)
ncurses-based interactive line selection tool
isenkram (0.48)
Suggest packages to install when inserting new hardware (GUI popup)
isenkram-cli (0.48)
Suggest packages to install when inserting new hardware (CLI tool)
isight-firmware-tools (1.6-4) [contrib]
tools for dealing with Apple iSight firmware
islamic-menus (1.0.5-3)
Islamic menu categories for applications under GNOME and KDE
ismrmrd-schema (1.4.2.1-6)
schema for ISMRMRD
ismrmrd-tools (1.4.2.1-6)
command-line tools for ISMRMRD
iso-codes (4.6.0-1)
ISO language, territory, currency, script codes and their translations
iso-flag-png
本虛擬套件由這些套件填實: iso-flags-png-320x240
iso-flags-png-320x240 (1.0.2-1.1)
ISO country flags in PNG format (320x240)
iso-flags-svg (1.0.2-1.1)
ISO country flags in SVG format
iso-scan (1.85)
Scan hard drives for an installer ISO image
isofs-modules
本虛擬套件由這些套件填實: isofs-modules-5.10.0-25-686-di, isofs-modules-5.10.0-26-octeon-di, isofs-modules-5.10.0-27-5kc-malta-di, isofs-modules-5.10.0-25-arm64-di, isofs-modules-5.10.0-26-5kc-malta-di, isofs-modules-5.10.0-26-powerpc64le-di, isofs-modules-5.10.0-25-686-pae-di, isofs-modules-5.10.0-26-marvell-di, isofs-modules-5.10.0-26-loongson-3-di, isofs-modules-5.10.0-27-686-di, isofs-modules-5.10.0-27-octeon-di, isofs-modules-5.10.0-27-arm64-di, isofs-modules-5.10.0-25-octeon-di, isofs-modules-5.10.0-27-686-pae-di, isofs-modules-5.10.0-27-powerpc64le-di, isofs-modules-5.10.0-26-4kc-malta-di, isofs-modules-5.10.0-27-loongson-3-di, isofs-modules-5.10.0-27-4kc-malta-di, isofs-modules-5.10.0-25-loongson-3-di, isofs-modules-5.10.0-26-amd64-di, isofs-modules-5.10.0-25-4kc-malta-di, isofs-modules-5.10.0-25-amd64-di, isofs-modules-5.10.0-28-amd64-di, isofs-modules-5.10.0-28-arm64-di, isofs-modules-5.10.0-28-s390x-di, isofs-modules-5.10.0-27-marvell-di, isofs-modules-5.10.0-25-armmp-di, isofs-modules-5.10.0-26-arm64-di, isofs-modules-5.10.0-26-armmp-di, isofs-modules-5.10.0-28-armmp-di, isofs-modules-5.10.0-28-686-pae-di, isofs-modules-5.10.0-28-5kc-malta-di, isofs-modules-5.10.0-26-686-di, isofs-modules-5.10.0-27-armmp-di, isofs-modules-5.10.0-27-amd64-di, isofs-modules-5.10.0-27-s390x-di, isofs-modules-5.10.0-28-octeon-di, isofs-modules-5.10.0-28-686-di, isofs-modules-5.10.0-26-s390x-di, isofs-modules-5.10.0-25-s390x-di, isofs-modules-5.10.0-28-4kc-malta-di, isofs-modules-5.10.0-25-5kc-malta-di, isofs-modules-5.10.0-26-686-pae-di, isofs-modules-5.10.0-28-loongson-3-di, isofs-modules-5.10.0-25-marvell-di, isofs-modules-5.10.0-25-powerpc64le-di, isofs-modules-5.10.0-28-marvell-di, isofs-modules-5.10.0-28-powerpc64le-di
isofs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-686-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
ISOFS filesystem support
isofs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-686-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-686-pae-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-amd64-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-arm64-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-armmp-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-marvell-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-octeon-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-26-s390x-di (5.10.197-1)
ISOFS filesystem support
isofs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-686-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
ISOFS filesystem support
isofs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-686-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-686-pae-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-amd64-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-arm64-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-armmp-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-marvell-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-octeon-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
ISOFS filesystem support
isofs-modules-5.10.0-28-s390x-di (5.10.209-2)
ISOFS filesystem support
isolinux (3:6.04~git20190206.bf6db5b4+dfsg1-3)
collection of bootloaders (ISO 9660 bootloader)
isomaster (1.3.13-1+b1)
Graphical CD image editor
isomd5sum (1:1.2.3-4+b4)
ISO9660 checksum utilities
isoqlog (2.2.1-9.1)
Mail Transport Agent log analysis program
isoquery (3.2.4-1+deb11u1)
Search and display various ISO codes (country, language, ...)
isort (5.6.4-1)
utility for sorting Python imports
ispanish (1.11-16)
Spanish dictionary for ispell
ispell (3.4.02-2)
International Ispell (an interactive spelling corrector)
ispell-dictionary
本虛擬套件由這些套件填實: iitalian, ibritish-large, igaelic, ispanish, imanx, iswedish, ibritish-huge, irussian, ibrazilian, ibulgarian, iczech, iestonian, ibritish-insane, ibritish-small, iirish, iamerican-large, idutch, itagalog, iamerican-insane, icatalan, iamerican-huge, iesperanto, ilithuanian, ipolish, iamerican-small, igalician-minimos, iportuguese, iukrainian, inorwegian, ifrench-gut, ibritish, iswiss, ingerman, ihungarian, ifrench, ifaroese, iogerman, iamerican, idanish
isrcsubmit (2.1.0-1)
extract ISRCs from audio CDs and submit them to MusicBrainz
istanbul (= 0.4.5+ds+~cs56.14.45-1)
本虛擬套件由這些套件填實: node-istanbul
istgt (0.4~20111008-4)
iSCSI userspace target daemon for Unix-like operating systems
iswedish (1.4.5-2.3)
Swedish dictionary for ispell
iswiss (20161207-9)
Swiss (German) orthography dictionary for ispell
isympy
本虛擬套件由這些套件填實: isympy3
isympy-common (1.7.1-3)
Python shell for SymPy
isympy3 (1.7.1-3)
Python3 shell for SymPy
isync (1.3.0-2.2+deb11u1)
IMAP and MailDir mailbox synchronizer
itagalog (0.3.1-7)
Tagalog dictionary for Ispell
itamae (1.11.1-1)
Simple Configuration Management Tool
itcl-doc
本虛擬套件由這些套件填實: itcl3-doc
itcl3 (3.4.3-3.1)
[incr Tcl] OOP extension for Tcl - run-time files
itcl3-dev (3.4.3-3.1)
[incr Tcl] OOP extension for Tcl - development files
itcl3-doc (3.4.3-3.1)
[incr Tcl] OOP extension for Tcl - manual pages
itk-doc
本虛擬套件由這些套件填實: itk3-doc
itk3 (3.4.2-3.1)
[incr Tk] OOP extension for Tk - run-time files
itk3-dev (3.4.2-3.1)
[incr Tk] OOP extension for Tk - development files
itk3-doc (3.4.2-3.1)
[incr Tk] OOP extension for Tk - manual pages
itksnap (3.6.0-5)
semi-automatic segmentation of structures in 3D images
itools (1.0-6)
Islamic hijri date and prayer time utilities
itop (0.1-4+b2)
simple top-like interrupt load monitor
its-playback-time (0.2017-08-30.3c40fd3-1+b1 [amd64], 0.2017-08-30.3c40fd3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ttyrec time-travelling playback tool
itstool (2.0.6-1)
tool for translating XML documents with PO files
iucode-tool (2.3.1-1) [contrib]
Intel processor microcode tool
iukrainian (1.7.1-2+b1 [mips64el], 1.7.1-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
ispell 的烏克蘭字典
iva (1.0.9+ds-11)
iterative virus sequence assembler
ivar (1.3+dfsg-1)
functions broadly useful for viral amplicon-based sequencing
ivar-doc (1.3+dfsg-1)
functions broadly useful for viral amplicon-based sequencing (documentation)
iverilog (11.0-1)
Icarus verilog compiler
ivtools-bin (2.0.4a1-2)
Drawing Editors evolved from idraw
ivtools-dev (2.0.4a1-2)
Development files for the InterViews library
ivy (2.5.0-1)
agile dependency manager
ivy-debian-helper (1.1)
Helper tools for building Debian packages with Ivy
ivy-doc (2.5.0-1)
agile dependency manager (documentation)
ivyplusplus (1.32-1)
Adds features to ivy for creating projects
iw (5.9-3)
tool for configuring Linux wireless devices
iwatch (0.2.2-9)
realtime filesystem monitoring program using inotify
iwd (1.14-3+deb11u1) [security]
wireless daemon for Linux
iwidgets4 (4.1.1-2.1)
[incr Widgets] Tk-based widget collection - run-time files
iwidgets4-doc (4.1.1-2.1)
[incr Widgets] Tk-based widget collection - man pages
iwyu (8.15-2)
Analyze #includes in C and C++ source files
ixo-usb-jtag (0.0.1-2)
Altera Bus Blaster emulation using Cypress FX2 chip
ixp4xx-microcode (2.4-3) [non-free]
Binary microcode for the IXP4xx ethernet
j2cli (0.3.12b-2)
Command line tool for templating in shell-scripts, leveraging
jaaa (0.9.2-1)
audio signal generator and spectrum analyser
jabber-muc (0.8-8)
Multi User Conference component for the Jabber IM server
jabber-querybot (0.1.0-1.1)
Modular xmpp/jabber bot
jabberd2 (2.7.0-2)
Jabber instant messenger server
jabref (3.8.2+ds-15)
graphical frontend to manage BibTeX and BibLaTeX databases
jacal (1c7-2)
Interactive symbolic math system
jack-capture (0.9.73-3+b1 [mips64el], 0.9.73-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
program for recording soundfiles with jack
jack-daemon
本虛擬套件由這些套件填實: jackd1, jackd2
jack-delay (0.4.2-1)
JACK latency meter
jack-keyboard (2.7.2-1)
Virtual MIDI keyboard for JACK MIDI
jack-midi-clock (0.4.3-1+b1)
JACK client that sends MIDI beat clock pulses
jack-stdio (1.4-1+b2)
program to pipe audio-data from and to JACK
jack-tools (20131226-1+b2)
various JACK tools: dl, record, scope, osc, plumbing, udp, play, transport
jackd (5+nmu1)
JACK Audio Connection Kit (default server package)
jackd-firewire
本虛擬套件由這些套件填實: jackd2-firewire, jackd1-firewire
jackd1 (1:0.125.0-3+b1)
JACK Audio Connection Kit (server and example clients)
jackd1-firewire (1:0.125.0-3+b1)
JACK Audio Connection Kit (FFADO backend)
jackd2 (1.9.17~dfsg-1)
JACK Audio Connection Kit (server and example clients)
jackd2-firewire (1.9.17~dfsg-1)
JACK Audio Connection Kit (FFADO backend)
jackmeter (0.4-1+b2)
a basic command line meter for the JACK audio system
jacktrip (1.3.0+ds0-1)
high-quality system for audio network performances
jadetex
本虛擬套件由這些套件填實: texlive-formats-extra
jag (0.3.8-2)
arcade and puzzle 2D game
jags (4.3.0-3)
Just Another Gibbs Sampler for Bayesian MCMC - binary
jailkit (2.21-4+deb11u1)
tools to generate chroot jails easily
jaligner (1.0+dfsg-7)
Smith-Waterman algorithm with Gotoh's improvement
jalv (1.6.6-1)
tool to run LV2 plugins as stand-alone applications
jalview (2.11.1.3+dfsg2-5)
multiple alignment editor
jam (2.6.1-2)
Software-build tool, replacement for make
jameica (2.10.0+dfsg-1)
Run-time system for Java applications
jameica-doc (2.10.0+dfsg-1)
Run-time system for Java applications - documentation package
jami (20210112.2.b757bac~ds1-1)
Secure and distributed voice, video, and chat platform - desktop client
jami-daemon (20210112.2.b757bac~ds1-1)
Secure and distributed voice, video and chat platform - daemon
jamin (0.98.9~git20170111~199091~repack1-1.1)
Audio mastering from a mixed down multitrack source with JACK
jamnntpd (1.3-1)
NNTP Server allowing newsreaders to access a JAM messagebase
jamulus (3.6.2+dfsg1-3)
real-time collaborative music session client and server
janino (2.7.0-2.1)
runtime compiler for Java expressions - binary
japa (0.9.2-1)
JACK and ALSA Perceptual Analyser
japi-compliance-checker (2.4-2)
tool to compare compatibility of Java library API
japitools (0.9.7+git20150619-1)
Java API compatibility testing tools
jardiff (0.2-5.1)
tool to visualise API differences between two JAR files
jargon (4.0.0-5.2)
the definitive compendium of hacker slang
jargon-text (4.4.7-4.1)
definitive compendium of hacker slang
jarwrapper (0.78)
Run executable Java .jar files
jasmin-sable (2.5.0-2)
Java class (.class) file assembler
jattach (1.5-2)
JVM Dynamic Attach utility all in one jmap jstack jcmd jinfo
java-common (0.72)
Base package for Java runtimes
java-compiler
本虛擬套件由這些套件填實: openjdk-17-jdk-headless, openjdk-11-jdk-headless, openjdk-11-jdk, openjdk-17-jdk
java-package (0.62) [contrib]
Utility for creating Java Debian packages
java-policy (0.57)
Debian Java Policy
java-propose-classpath (0.78)
Helper script to suggest a classpath for jar files
java-runtime
本虛擬套件由這些套件填實: default-jre
java-runtime (= 11)
本虛擬套件由這些套件填實: openjdk-11-jre
java-runtime (= 17)
本虛擬套件由這些套件填實: openjdk-17-jre
java-runtime-headless
本虛擬套件由這些套件填實: default-jre-headless
java-runtime-headless (= 11)
本虛擬套件由這些套件填實: openjdk-11-jre-headless
java-runtime-headless (= 17)
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java-sdk
本虛擬套件由這些套件填實: default-jdk
java-sdk (= 11)
本虛擬套件由這些套件填實: openjdk-11-jdk
java-sdk (= 17)
本虛擬套件由這些套件填實: openjdk-17-jdk
java-sdk-headless
本虛擬套件由這些套件填實: default-jdk-headless
java-sdk-headless (= 11)
本虛擬套件由這些套件填實: openjdk-11-jdk-headless
java-sdk-headless (= 17)
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java-wrappers (0.3)
wrappers for java executables
java10-runtime
本虛擬套件由這些套件填實: default-jre, openjdk-17-jre, openjdk-11-jre
java10-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless, openjdk-11-jre-headless, default-jre-headless
java10-sdk
本虛擬套件由這些套件填實: default-jdk, openjdk-17-jdk, openjdk-11-jdk
java10-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless, openjdk-11-jdk-headless, default-jdk-headless
java11-runtime
本虛擬套件由這些套件填實: default-jre, openjdk-11-jre, openjdk-17-jre
java11-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless, openjdk-11-jre-headless, default-jre-headless
java11-sdk
本虛擬套件由這些套件填實: openjdk-11-jdk, openjdk-17-jdk, default-jdk
java11-sdk-headless
本虛擬套件由這些套件填實: default-jdk-headless, openjdk-11-jdk-headless, openjdk-17-jdk-headless
java12-runtime
本虛擬套件由這些套件填實: openjdk-17-jre
java12-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java12-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk
java12-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java13-runtime
本虛擬套件由這些套件填實: openjdk-17-jre
java13-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java13-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk
java13-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java14-runtime
本虛擬套件由這些套件填實: openjdk-17-jre
java14-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java14-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk
java14-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java15-runtime
本虛擬套件由這些套件填實: openjdk-17-jre
java15-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java15-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk
java15-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java16-runtime
本虛擬套件由這些套件填實: openjdk-17-jre
java16-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java16-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk
java16-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java17-runtime
本虛擬套件由這些套件填實: openjdk-17-jre
java17-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless
java17-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk
java17-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless
java2-runtime
本虛擬套件由這些套件填實: default-jre, openjdk-11-jre, openjdk-17-jre
java2-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless, openjdk-11-jre-headless, default-jre-headless
java2-sdk
本虛擬套件由這些套件填實: openjdk-11-jdk, openjdk-17-jdk, default-jdk
java2-sdk-headless
本虛擬套件由這些套件填實: default-jdk-headless, openjdk-17-jdk-headless, openjdk-11-jdk-headless
java2html (0.9.2-6)
Highlight Java and C++ sources for WWW presentation
java3ds-fileloader (1.2+dfsg-4)
Java3D 3DS File Loader
java5-runtime
本虛擬套件由這些套件填實: openjdk-11-jre, openjdk-17-jre, default-jre
java5-runtime-headless
本虛擬套件由這些套件填實: default-jre-headless, openjdk-11-jre-headless, openjdk-17-jre-headless
java5-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk, openjdk-11-jdk, default-jdk
java5-sdk-headless
本虛擬套件由這些套件填實: openjdk-11-jdk-headless, openjdk-17-jdk-headless, default-jdk-headless
java6-runtime
本虛擬套件由這些套件填實: default-jre, openjdk-11-jre, openjdk-17-jre
java6-runtime-headless
本虛擬套件由這些套件填實: openjdk-17-jre-headless, openjdk-11-jre-headless, default-jre-headless
java6-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk, openjdk-11-jdk, default-jdk
java6-sdk-headless
本虛擬套件由這些套件填實: default-jdk-headless, openjdk-17-jdk-headless, openjdk-11-jdk-headless
java7-runtime
本虛擬套件由這些套件填實: default-jre, openjdk-17-jre, openjdk-11-jre
java7-runtime-headless
本虛擬套件由這些套件填實: openjdk-11-jre-headless, openjdk-17-jre-headless, default-jre-headless
java7-sdk
本虛擬套件由這些套件填實: openjdk-11-jdk, openjdk-17-jdk, default-jdk
java7-sdk-headless
本虛擬套件由這些套件填實: openjdk-11-jdk-headless, openjdk-17-jdk-headless, default-jdk-headless
java8-runtime
本虛擬套件由這些套件填實: default-jre, openjdk-11-jre, openjdk-17-jre
java8-runtime-headless
本虛擬套件由這些套件填實: openjdk-11-jre-headless, openjdk-17-jre-headless, default-jre-headless
java8-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk, openjdk-11-jdk, default-jdk
java8-sdk-headless
本虛擬套件由這些套件填實: default-jdk-headless, openjdk-11-jdk-headless, openjdk-17-jdk-headless
java9-runtime
本虛擬套件由這些套件填實: openjdk-11-jre, openjdk-17-jre, default-jre
java9-runtime-headless
本虛擬套件由這些套件填實: openjdk-11-jre-headless, openjdk-17-jre-headless, default-jre-headless
java9-sdk
本虛擬套件由這些套件填實: openjdk-17-jdk, openjdk-11-jdk, default-jdk
java9-sdk-headless
本虛擬套件由這些套件填實: openjdk-17-jdk-headless, openjdk-11-jdk-headless, default-jdk-headless
javacc (5.0-8.1)
Parser generator for use with Java
javacc-doc (5.0-8.1)
Documentation for the JavaCC Parser Generator
javacc4 (4.0-2)
Parser generator for use with Java
javacc4-doc (4.0-2)
Documentation for the JavaCC Parser Generator
javahelp2 (2.0.05.ds1-9.1)
Java based help system
javahelp2-doc (2.0.05.ds1-9.1)
Java based help system - contains Javadoc API documentation
javahelper (0.78)
Helper scripts for packaging Java programs
javamorph (0.0.20100201-3)
Java morphing film-make program for pixel picture-input
javascript-common (11+nmu1)
Base support for JavaScript library packages
jaxb (2.3.0.1-10)
JAXB Reference Implementation (Command Line Tools)
jaxe (3.5-12)
JAva Xml Editor
jaxws (2.3.0.2-1.1)
JAX-WS Reference Implementation (Command Line Tools)
jbibtex-base (1:2.5-3)
make a bibliography for ASCII p(La)TeX / NTT j(La)TeX
jbig2dec (0.19-2)
JBIG2 decoder library - tools
jbigkit-bin (2.1-3.1+b2)
JBIGkit binaries
jblas (1.2.4-3)
fast linear algebra library for Java
jblas-doc (1.2.4-3)
fast linear algebra library for Java --documentation
jbuilder
本虛擬套件由這些套件填實: ocaml-dune
jc (1.14.3-1)
JSON CLI output utility
jcadencii (3.3.9+svn20110818.r1732-6.1)
Piano roll editor for singing synthesis
jcal (0.4.1-2+b1)
UNIX-cal-like tool to display Jalali calendar
jcat (0.1.3-2)
JSON catalog library tool
jclassinfo (0.19.1-7+b1)
extracts information from Java class files
jclic (0.3.2.10-1.1)
Tool for the development & use of multimedia educational activities
jcodepl
本虛擬套件由這些套件填實: libjcode-perl
jconvolver (1.0.3-2)
Convolution reverb Engine for JACK
jconvolver-config-files (1.0.3-2)
Demo config files for jconvolver
jdim (0.5.0-1)
simple browser for "2ch-style" web forum sites
jdns (2.0.3-1.1)
command-line tool to test functionality
jdns-dbg (2.0.3-1.1)
command-line tool to test functionality - debugging symbols
jdresolve (0.6.1-5.1)
fast alternative to apache logresolve
jdupes (1.19.1-1)
identify and delete or link duplicate files
jed (1:0.99.19-8)
editor for programmers (textmode version)
jed-common (1:0.99.19-8)
S-Lang runtime files for jed and xjed
jed-extra (2.5.7-2.1)
collection of useful Jed modes and utilities
jedit (5.5.0+dfsg-2)
Plugin-based editor for programmers
jeepney-doc (0.6.0-1)
pure Python D-Bus interface — documentation
jeepyb (0+20190513-1)
tools for managing gerrit projects and external sources
jeex (12.0.4-1.1)
visual editor to view and edit files in hexadecimal
jekyll (3.9.0+dfsg-3)
simple, blog aware, static site generator
jekyll-theme-minima (2.5.1-2)
beautiful, minimal theme for jekyll
jel-java (2.1.2-1)
Library for evaluating algebraic expressions in Java
jel-java-doc (2.1.2-1)
Java Expressions Library (documentation)
jellyfish (2.3.0-10)
count k-mers in DNA sequences
jellyfish-examples (2.3.0-10)
count k-mers in DNA sequences (examples for testing)
jellyfish1 (1.1.11-5)
count k-mers in DNA sequences
jemboss (6.6.0+dfsg-9)
graphical user interface to EMBOSS
jenkins-debian-glue (0.21.0)
Jenkins Debian glue scripts
jenkins-debian-glue-buildenv (0.21.0)
Jenkins Debian glue scripts - dependency package
jenkins-job-builder (3.8.0-1)
Configure Jenkins using YAML files - metapackage
jenkins-job-builder-doc (3.8.0-1)
Configure Jenkins using YAML files - doc
jesred (1.2pl1-23)
Redirector for the Squid proxy
jest (26.6.3+repack+~cs64.44.39-3)
Delightful JavaScript Testing
jester (1.0-14)
board game similar to Othello
jetring (0.30)
gpg keyring maintenance using changesets
jets3t (0.8.1+dfsg-4)
graphical and command-line tools for Amazon S3 and CloudFront
jetty9 (9.4.50-4+deb11u2) [security]
Java servlet engine and webserver
jeuclid-cli (3.1.9-5)
Complete MathML rendering solution (command line tools)
jeuclid-mathviewer (3.1.9-5)
Complete MathML rendering solution (Swing MathViewer)
jffs2-modules
本虛擬套件由這些套件填實: jffs2-modules-5.10.0-26-marvell-di, jffs2-modules-5.10.0-27-marvell-di, jffs2-modules-5.10.0-28-marvell-di, jffs2-modules-5.10.0-25-marvell-di
jffs2-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
JFFS2 filesystem support
jffs2-modules-5.10.0-26-marvell-di (5.10.197-1)
JFFS2 filesystem support
jffs2-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
JFFS2 filesystem support
jffs2-modules-5.10.0-28-marvell-di (5.10.209-2)
JFFS2 filesystem support
jflex (1.7.0-2)
lexical analyzer generator for Java
jfractionlab (0.92-2)
Educative program to practice fractions
jfs-modules
本虛擬套件由這些套件填實: jfs-modules-5.10.0-28-arm64-di, jfs-modules-5.10.0-26-686-di, jfs-modules-5.10.0-25-marvell-di, jfs-modules-5.10.0-25-4kc-malta-di, jfs-modules-5.10.0-28-amd64-di, jfs-modules-5.10.0-26-octeon-di, jfs-modules-5.10.0-25-5kc-malta-di, jfs-modules-5.10.0-26-4kc-malta-di, jfs-modules-5.10.0-26-arm64-di, jfs-modules-5.10.0-28-powerpc64le-di, jfs-modules-5.10.0-27-armmp-di, jfs-modules-5.10.0-28-5kc-malta-di, jfs-modules-5.10.0-27-686-di, jfs-modules-5.10.0-27-686-pae-di, jfs-modules-5.10.0-27-octeon-di, jfs-modules-5.10.0-25-amd64-di, jfs-modules-5.10.0-28-armmp-di, jfs-modules-5.10.0-25-octeon-di, jfs-modules-5.10.0-28-686-pae-di, jfs-modules-5.10.0-26-amd64-di, jfs-modules-5.10.0-28-marvell-di, jfs-modules-5.10.0-25-armmp-di, jfs-modules-5.10.0-25-686-pae-di, jfs-modules-5.10.0-28-686-di, jfs-modules-5.10.0-27-4kc-malta-di, jfs-modules-5.10.0-25-powerpc64le-di, jfs-modules-5.10.0-28-octeon-di, jfs-modules-5.10.0-26-5kc-malta-di, jfs-modules-5.10.0-26-armmp-di, jfs-modules-5.10.0-28-loongson-3-di, jfs-modules-5.10.0-26-powerpc64le-di, jfs-modules-5.10.0-26-marvell-di, jfs-modules-5.10.0-27-amd64-di, jfs-modules-5.10.0-26-loongson-3-di, jfs-modules-5.10.0-28-4kc-malta-di, jfs-modules-5.10.0-25-loongson-3-di, jfs-modules-5.10.0-27-loongson-3-di, jfs-modules-5.10.0-27-arm64-di, jfs-modules-5.10.0-25-686-di, jfs-modules-5.10.0-25-arm64-di, jfs-modules-5.10.0-26-686-pae-di, jfs-modules-5.10.0-27-marvell-di, jfs-modules-5.10.0-27-powerpc64le-di, jfs-modules-5.10.0-27-5kc-malta-di
jfs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-686-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
JFS filesystem support
jfs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-686-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-686-pae-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-amd64-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-arm64-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-armmp-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-marvell-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-octeon-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
JFS filesystem support
jfs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-686-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
JFS filesystem support
jfs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-686-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-686-pae-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-amd64-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-arm64-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-armmp-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-marvell-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-octeon-di (5.10.209-2)
JFS filesystem support
jfs-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
JFS filesystem support
jfsutils (1.1.15-5)
utilities for managing the JFS filesystem
jfsutils-udeb (1.1.15-5)
stripped-down version of jfsutils, for debian-installer
jftp (1.60+dfsg-3)
Java GUI client for FTP, SMB, SFTP and NFS
jfugue
本虛擬套件由這些套件填實: libjfugue-java
jgit-cli (4.11.9-1)
Java implementation of GIT version control (command line interface)
jglobus-doc (2.1.0-8.1)
Javadocs for jglobus
jgraph (83-23+b1)
Jim Plank's program for producing PostScript graphs
jgrep (1.5.4-2)
Filter JSON documents with a simple logical language
jgroff
本虛擬套件由這些套件填實: groff
jhbuild (3.38.0-3)
flexible build script for package collections
jhead (1:3.04-6+deb11u1)
manipulate the non-image part of Exif compliant JPEG files
jhove (1.20.1-5) [non-free]
JSTOR/Harvard Object Validation Environment
jid (0.7.3-1+b6)
json incremental digger
jigdo-file (0.8.0-1)
Download Debian CD/DVD/USB images from any Debian mirror
jigit (1.22-3)
tools for working with jigdo files
jigl (2.0.1+20060126-6)
Generates a static html photo gallery from one or more directories of images
jigsaw-generator (0.2.7-1)
Generate jigsaw and card sort activities for classroom use
jigzo (0.6.1-7)
Photo puzzle game for children
jigzo-data (0.6.1-7)
data of Photo puzzle game for children
jikespg (1.3-3+b1)
Jikes Parser Generator
jimsh (0.79+dfsg0-2)
small-footprint implementation of Tcl named Jim
jing (20181222+dfsg2-4)
RELAX NG validator
jing-trang-doc (20181222+dfsg2-4)
Jing Trang and dtdinst documentation
jison (0.4.17+dfsg-4)
parser generator with Bison's API
jitterdebugger (0.3.1+git20200117.b90ff3a-3)
Real time response measurement tool
jitterdebugger-all (0.3.1+git20200117.b90ff3a-3)
Real time response measurement tool - metapackage
jitterdebugger-utils (0.3.1+git20200117.b90ff3a-3)
Utilities for the jitterdebugger tool
jitterentropy-rngd (1.2.1-2)
Jitter RNG Daemon
jkmeter (0.8.0-1)
horizontal or vertical bargraph audio level meter for Jack Audio Connection Kit
jlatex209-base (2.1-1.2)
basic NTT JLaTeX 2.09 macro files
jlex (1.2.6-11)
Lex-style lexical analyser generator for Java
jlha-utils (0.1.6-4.1)
Java 撰寫的命令列模式 lzh 壓縮/解壓縮程式
jmacro (0.6.17-1+b3 [amd64, arm64, armhf, ppc64el, s390x], 0.6.17-1+b2 [armel, i386, mips64el, mipsel])
utility for jmacro JavaScript generation library
jmagick
本虛擬套件由這些套件填實: libjmagick6-java
jmagick-docs
本虛擬套件由這些套件填實: jmagick6-docs
jmagick6-docs (6.6.9~20130201-svn99-4)
Java interface to ImageMagick (documentation)
jmapviewer (2.14+dfsg-1)
Java OpenStreetMap Tile Viewer
jmeter (2.13-4)
Load testing and performance measurement application (main application)
jmeter-apidoc (2.13-4)
Load testing and performance measurement application (API doc)
jmeter-ftp (2.13-4)
Load testing and performance measurement application (ftp module)
jmeter-help (2.13-4)
Load testing and performance measurement application (user manual)
jmeter-http (2.13-4)
Load testing and performance measurement application (http module)
jmeter-java (2.13-4)
Load testing and performance measurement application (java module)
jmeter-jms (2.13-4)
Load testing and performance measurement application (jms module)
jmeter-junit (2.13-4)
Load testing and performance measurement application (junit module)
jmeter-ldap (2.13-4)
Load testing and performance measurement application (ldap module)
jmeter-mail (2.13-4)
Load testing and performance measurement application (mail module)
jmeter-mongodb (2.13-4)
Load testing and performance measurement application (mongodb module)
jmeter-tcp (2.13-4)
Load testing and performance measurement application (tcp module)
jmeters (0.4.5-1)
multichannel audio level meter
jmodeltest (2.1.10+dfsg-10)
HPC selection of models of nucleotide substitution
jmol (14.6.4+2016.11.05+dfsg1-4)
Molecular Viewer
jmol-applet (14.6.4+2016.11.05+dfsg1-4)
Jmol Java applet
jmpost
本虛擬套件由這些套件填實: texlive-binaries
jmtpfs (0.5-3)
FUSE based filesystem for accessing MTP devices
jnettop (0.13.0-1.1)
View hosts/ports taking up the most network traffic
jnifti-demos (0.6-2)
sample files and demo scripts for JNIfTI toolbox
jnoise (0.6.0-6+b1 [mips64el], 0.6.0-6 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
white and pink noise generator
jnoisemeter (0.2.2-1)
audio test signals meter
jo (1.3-2)
command-line processor to output JSON from a shell
jodconverter (2.2.2-12)
Office formats converter
joe (4.6-1+b1)
user friendly full screen text editor
joe
本虛擬套件由這些套件填實: joe-jupp
joe-jupp (3.1.40-1)
reimplement the joe Debian package using jupp
john (1.8.0-4)
active password cracking tool
john-data (1.8.0-4)
active password cracking tool - character sets
joint-state-publisher (1.15.0-2)
ROS joint_state_publisher
joint-state-publisher-gui (1.15.0-2)
ROS joint_state_publisher_gui
jool-dkms (4.1.5-1)
kernel-based SIIT and NAT64 (IP/ICMP translation)
jool-tools (4.1.5-1)
userspace utilities for the Jool kernel modules
jose (10-3)
C implementation of Javascript Object Signing and Encryption standards
josm (0.0.svn17428+dfsg-2)
Editor for OpenStreetMap
josm-installer (0.0.1+svn17345) [contrib]
Editor for OpenStreetMap (installer)
josm-l10n (0.0.svn17428+dfsg-2)
Editor for OpenStreetMap - translation files
jove (4.17.3.6-2)
Jonathan's Own Version of Emacs - a compact, powerful editor
joy2key (1.6.3-4)
Translate joystick movements into equivalent keystrokes
joystick (1:1.7.1-1)
set of testing and calibration tools for joysticks
jp2a (1.1.0-1)
converts jpg and png images to ascii
jparse (1.4.0-6)
JSON parser utility
jpeginfo (1.6.0-6+b4)
Prints information and tests integrity of JPEG/JFIF files
jpegjudge (0.0.2-4)
determine which of two given jpegs (same size) is the original
jpegoptim (1.4.6-1)
utility to optimize jpeg files
jpegpixi (1.1.1-4.1+b3)
Remove hot spots from JPEG images with minimal quality loss
jpnevulator (2.3.6-1)
Serial sniffer
jq (1.6-2.1)
lightweight and flexible command-line JSON processor
jquery-alternative-doc (1.7+dfsg-1.1)
Alternative jQuery Documentation
js-of-ocaml (3.8.0-2)
OCaml bytecode to JavaScript compiler (compiler)
js2-mode (0~20201220-1)
Emacs mode for editing Javascript programs (dummy package)
js2-mode
本虛擬套件由這些套件填實: elpa-js2-mode
js8call (2.2.0+ds-2)
Amateur Radio Digital Mode providing weak signal messaging
jsamp (1.3.7-1)
Java Simple Application Messaging Protocol tool for VO
jsbeautifier (1.13.0-1)
JavaScript unobfuscator and beautifier
jsdoc-toolkit (2.4.0+dfsg-7.1)
automatic generator for HTML documentation of Javascript sources
jshon (20131010-3+b1)
Command line tool to parse, read and create JSON
jskeus (1.2.4+dfsg-3)
Lisp based intelligent robots programming system
jskeus-dev (1.2.4+dfsg-3)
Development files of Lisp based intelligent robots programming system
jskeus-doc (1.2.4+dfsg-3)
Manuals and Documentations of jskeus programming system
jsmath (3.6e-2.1)
TeX equations in HTML documents
jsmath-fonts (1.3-3.2)
raster fonts for jsMath
jsmath-fonts-sprite (1.0-4.1)
raster fonts for jsMath plugin spriteImageFonts
json-glib-tools (1.6.2-1)
GLib JSON manipulation library (tools)
json-schema-test-suite (2.0.0-1.1)
Language agnostic test suite for the JSON Schema specifications
json2file-go (1.14+b6)
simple web server that stores JSON files sent by WebHooks
jsonlint (1.8.3-2)
validating parser of JSON data structures
jsonnet (0.17.0+ds-2)
data templating language
jstest-gtk (0.1.1~git20160825-4)
joystick testing and configuration tool
jsurf-alggeo (0.4.1+ds-3)
Java based visualization library for real algebraic geometry
jsvc (1.0.15-8+deb11u1)
Wrapper to launch Java applications as daemons
jsxcompressor (1.3.5+dfsg1-8)
Pure Javascript implementation of deflate, unzip, base64_decode
jsxgraph (1.3.5+dfsg1-8)
Interactive Geometry with JavaScript
jtb (1.4.12-2)
syntax tree builder and visitors generator for JavaCC
jtex-base (2.1-1.2)
basic NTT JTeX library files
jtreg (5.1-b01-2)
Regression Test Harness for the OpenJDK platform
jtreg6 (6.1+2-1~deb11u1)
Regression Test Harness for the OpenJDK platform
jube (2.4.1-1)
JUBE Benchmarking Environment
juce-modules-source (5.4.7~ds0-2)
Jules' Utility Class Extensions (module sources)
juce-tools (5.4.7~ds0-2)
JUCE's project management tools
juffed (0.10-89-g3690b60-5+b1)
Lightweight yet powerful Qt text editor
juffed-dev (0.10-89-g3690b60-5+b1)
Lightweight yet powerful Qt text editor - development files
juffed-plugins (0.10-89-g3690b60-5+b1)
Lightweight yet powerful Qt text editor - plugins
jugglinglab (0.6.2+ds.1-2.1)
Application for creating and animating juggling patterns
juk (4:20.12.3-1)
music jukebox / music player
julia (1.5.3+dfsg-3)
high-performance programming language for technical computing
julia-common (1.5.3+dfsg-3)
high-performance programming language for technical computing (common files)
julia-doc (1.5.3+dfsg-3)
high-performance programming language for technical computing (documentation)
jumpnbump (1.61-3.1)
cute multiplayer platform game with bunnies
jumpnbump-levels (20191111)
cute multiplayer platform game with bunnies (extra levels)
junior-art (1.29)
Debian Jr. Art
junior-config (1.29)
Debian Jr. Project common package
junior-doc (1.16.2)
Debian Jr. Documentation
junior-education (1.29)
Debian Jr. education applications
junior-games-adventure (1.29)
Debian Jr. Adventure Games
junior-games-arcade (1.29)
Debian Jr. arcade games
junior-games-card (1.29)
Debian Jr. Card Games
junior-games-gl (1.29)
Debian Jr. 3D Games (hardware acceleration required)
junior-games-net (1.29)
Debian Jr. Network Games
junior-games-puzzle (1.29)
Debian Jr. Puzzle games
junior-games-sim (1.29)
Debian Jr. Simulation Games
junior-games-text (1.29)
Debian Jr. Text Games
junior-internet (1.29)
Debian Jr. Internet tools
junior-math (1.29)
Debian Jr. educational math
junior-programming (1.29)
Debian Jr. programming
junior-sound (1.29)
Debian Jr. sound
junior-system (1.29)
Debian Jr. System tools
junior-tasks (1.29)
Debian Jr. tasks for tasksel
junior-toys (1.29)
Debian Jr. desktop toys
junior-typing (1.29)
Debian Jr. typing
junior-video (1.29)
Debian Jr. Video
junior-writing (1.29)
Debian Jr. writing
junit (3.8.2-9)
Automated testing framework for Java
junit-doc (3.8.2-9)
Document for JUnit
junit4 (4.13.1-2)
JUnit regression test framework for Java
junit4-doc (4.13.1-2)
JUnit regression test framework for Java - documentation
junit5 (5.3.2-4)
JUnit regression test framework for Java
junitparser (2.0.0-1)
Merges JUnit/xUnit Result XML files
jupp (3.1.40-1)
user friendly full screen text editor
jupyter (4.7.1-1+deb11u1)
Interactive computing environment (metapackage)
jupyter-client (6.1.11-2)
Jupyter protocol client APIs (tools)
jupyter-console (6.2.0-1)
Jupyter terminal client (script)
jupyter-core (4.7.1-1+deb11u1)
Core common functionality of Jupyter projects (tools)
jupyter-nbconvert (5.6.1-3)
Jupyter notebook conversion (scripts)
jupyter-nbextension-jupyter-js-widgets (6.0.0-8)
Interactive widgets - Jupyter notebook extension
jupyter-nbformat (5.1.2-1)
Jupyter notebook format (tools)
jupyter-notebook (6.2.0-1)
Jupyter interactive notebook
jupyter-qtconsole (5.0.2-2)
Jupyter - Qt console (binaries)
jupyter-server (1.2.2-1)
Jupyter protocol server backend (tools)
jupyter-sphinx-theme-common (0.0.6+ds1-10)
Jupyter Sphinx Theme -- common files
jupyter-sphinx-theme-doc (0.0.6+ds1-10)
Jupyter Sphinx Theme -- documentation
jverein (2.8.18+git20200921.6212a59+dfsg-7)
Tool to administrate an association
jwchat (1.0+dfsg-1.5)
full featured, web-based Jabber chat client
jwm (2.3.7-5+deb11u1)
very small lightweight pure X11 window manager with tray and menus
jxplorer (3.3.2+dfsg-6)
Java LDAP Browser
jython (2.7.2+repack1-3)
Python seamlessly integrated with Java
jython-doc (2.7.2+repack1-3)
Jython documentation including API docs
jython-stilts (3.4-2)
Starlink Tables Infrastructure Library Tool Set (Jython package)
jzip (210r20001005d-4+b2)
Text mode interpreter for Z-Code adventures
k2pdfopt (2.53+ds-1)
PDF Reflow tool
k3b (20.12.2-1)
Sophisticated CD/DVD burning application
k3b-data (20.12.2-1)
Sophisticated CD/DVD burning application - data files
k3b-extrathemes (20.12.2-1)
Sophisticated CD/DVD burning application - extra themes
k3b-i18n (20.12.2-1)
Sophisticated CD/DVD burning application - localizations files
k4dirstat (3.2.2-1)
graphical disk usage display with cleanup facilities
kaccounts-integration (4:20.12.1-1)
System to administer web accounts
kaccounts-providers (4:20.12.1-3)
KDE providers for accounts sign-on
kacpimon (1:2.0.32-1)
Kernel ACPI Event Monitor
kactivities-bin (5.78.0-2)
Command Line Tool for KActivities
kactivitymanagerd (5.20.5-1)
System service to manage user's activities
kaddressbook (4:20.08.3-1)
address book and contact data manager
kaffeine (2.0.18-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.0.18-1 [armel, armhf])
versatile media player for KDE
kafkacat (1.6.0-1)
generic producer and consumer for Apache Kafka
kafs-client (0.5-2)
basic tools for kAFS and mounter for the AFS dynamic root
kaidan (0.7.0-1)
Simple and user-friendly Jabber/XMPP client
kajongg (4:20.12.1-1)
classical Mah Jongg game
kakasi (2.3.6-4.1)
KAnji KAna Simple Inverter
kakasi-dev
本虛擬套件由這些套件填實: libkakasi2-dev
kakasi-dic (2.3.6-4.1)
KAKASI dictionary files
kakoune (2020.01.16-3)
Vim-inspired, selection-oriented code editor
kalarm (4:20.08.3-1)
alarm message, command and email scheduler
kalgebra (4:20.12.1-1)
algebraic graphing calculator
kalgebra-common (4:20.12.1-1)
contains files common for kalgebra and kalgebramobile
kalgebramobile (4:20.12.1-1)
algebraic graphing calculator for small touch based interfaces
kali (3.1-18)
Draw tilings, frieze patterns, and so on
kalign (1:3.3-1+b3)
Global and progressive multiple sequence alignment
kallisto (0.46.2+dfsg-2)
near-optimal RNA-Seq quantification
kallisto-examples (0.46.2+dfsg-2)
near-optimal RNA-Seq quantification (example data)
kalzium (4:20.12.0-1)
periodic table and chemistry tools
kalzium-data (4:20.12.0-1)
data files for Kalzium
kamailio (5.4.4-1)
very fast, dynamic and configurable SIP server
kamailio-autheph-modules (5.4.4-1)
authentication using ephemeral credentials for the Kamailio SIP server
kamailio-berkeley-bin (5.4.4-1)
Berkeley database module for Kamailio - helper program
kamailio-berkeley-modules (5.4.4-1)
Berkeley database module for the Kamailio SIP server
kamailio-cnxcc-modules (5.4.4-1)
cnxcc modules for the Kamailio SIP server
kamailio-cpl-modules (5.4.4-1)
CPL module (CPL interpreter engine) for the Kamailio SIP server
kamailio-erlang-modules (5.4.4-1)
Erlang modules for the Kamailio SIP server
kamailio-extra-modules (5.4.4-1)
Extra modules for the Kamailio SIP Server
kamailio-geoip-modules (5.4.4-1)
geoip module for the Kamailio SIP Server
kamailio-geoip2-modules (5.4.4-1)
geoip2 module for the Kamailio SIP Server
kamailio-ims-modules (5.4.4-1)
IMS module for the Kamailio SIP server
kamailio-json-modules (5.4.4-1)
Json parser and Json-RPC modules for the Kamailio SIP server
kamailio-kazoo-modules (5.4.4-1)
kazoo modules for the Kamailio SIP server
kamailio-ldap-modules (5.4.4-1)
LDAP modules for the Kamailio SIP server
kamailio-lua-modules (5.4.4-1)
app_lua module for Kamailio Lua support
kamailio-memcached-modules (5.4.4-1)
interface to the memcached server, for the Kamailio SIP server
kamailio-mongodb-modules (5.4.4-1)
mongodb modules for the Kamailio SIP server
kamailio-mono-modules (5.4.4-1)
app_mono module for the Kamailio SIP server
kamailio-mqtt-modules (5.4.4-1)
MQTT modules for the Kamailio SIP Server
kamailio-mysql-modules (5.4.4-1)
MySQL database connectivity module for Kamailio
kamailio-outbound-modules (5.4.4-1)
SIP Outbound module for the Kamailio SIP server
kamailio-perl-modules (5.4.4-1)
Perl extensions and database driver for the Kamailio SIP server
kamailio-phonenum-modules (5.4.4-1)
phonenum modules for the Kamailio SIP server
kamailio-postgres-modules (5.4.4-1)
PostgreSQL database connectivity module for the Kamailio SIP server
kamailio-presence-modules (5.4.4-1)
SIP presence modules for Kamailio
kamailio-python3-modules (5.4.4-1)
app_python3 module for the Kamailio SIP server
kamailio-rabbitmq-modules (5.4.4-1)
RabbitMQ and AMQP integration modules for the Kamailio SIP server
kamailio-radius-modules (5.4.4-1)
RADIUS modules for the Kamailio SIP server
kamailio-redis-modules (5.4.4-1)
Redis database connectivity module for the Kamailio SIP server
kamailio-ruby-modules (5.4.4-1)
app_ruby module for Kamailio Ruby support
kamailio-sctp-modules (5.4.4-1)
SCTP SIP transport module for the Kamailio SIP server
kamailio-snmpstats-modules (5.4.4-1)
SNMP AgentX subagent module for the Kamailio SIP server
kamailio-sqlite-modules (5.4.4-1)
SQLite database connectivity module for the Kamailio SIP server
kamailio-systemd-modules (5.4.4-1)
systemd logging modules for the Kamailio SIP server
kamailio-tls-modules (5.4.4-1)
TLS support for the Kamailio SIP server (authentication, transport)
kamailio-unixodbc-modules (5.4.4-1)
unixODBC database connectivity module for the Kamailio SIP server
kamailio-utils-modules (5.4.4-1)
Utility functions for the Kamailio SIP server
kamailio-websocket-modules (5.4.4-1)
WebSocket module for the Kamailio SIP server
kamailio-xml-modules (5.4.4-1)
XML based extensions for Kamailio's Management Interface
kamailio-xmlrpc-modules
本虛擬套件由這些套件填實: kamailio-xml-modules
kamailio-xmpp-modules (5.4.4-1)
XMPP gateway module for the Kamailio SIP server
kamcli (2.0.0-1)
Kamailio Command Line Interface Control Tool
kamera (4:20.12.0-1)
digital camera support for KDE applications
kamoso (20.12.1-1)
tool to take pictures and videos from your webcam
kanadic (6.5deb2-12)
katakana and hiragana drill files for KDrill
kanagram (4:20.12.1-1)
jumble word puzzle
kanatest (0.4.8-4)
beginner's drill game to learn Japanese kana characters
kanboard-cli (0.0.2-1.1)
kanboard command line client
kanif (1.2.2-3)
cluster management and administration swiss army knife
kanjidic (2021.03.02-1)
Kanji Dictionary
kanjidic-xml (2021.03.02-1)
Kanji Dictionary in XML format
kanjipad (2.0.0-8+b1)
handwriting recognition tool for Kanji
kannel (1.4.5-9)
WAP and SMS gateway
kannel-dev (1.4.5-9)
WAP and SMS gateway headers and development files
kannel-docs (1.4.5-9)
WAP and SMS gateway documentation
kannel-extras (1.4.5-9)
WAP and SMS gateway extras
kanshi (1.1.0-1)
Define output profiles that are automatically enabled and disabled on hotplug
kanyremote (8.1-1.1)
KDE frontend for anyRemote
kapidox (5.78.0-2)
API documentation generation tool for KDE frameworks
kapman (4:20.12.1-1)
Pac-Man clone
kapptemplate (4:20.12.0-1)
application template generator
kaptive (0.7.3-3)
obtain information about K and O types for Klebsiella genome assemblies
kaptive-data (0.7.3-3)
reference data for kaptive for Klebsiella genome assemblies
kaptive-example (0.7.3-3)
example data for kaptive for Klebsiella genome assemblies
karbon (1:3.2.1+dfsg-2+b5)
vector graphics application for the Calligra Suite
karma-tools (0.1.2-6)
Rio Karma access library [tools]
kas (2.3.3-2)
Setup tool for bitbake based projects
kasumi (2.5-9+b1 [amd64], 2.5-9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple dictionary utility for Anthy
katarakt (0.2-4)
simple PDF viewer with two layouts
kate (4:20.12.2-1)
powerful text editor
kate5-data (4:20.12.2-1)
shared data files for Kate text editor
katex (0.10.2+dfsg-8)
Fast math typesetting for the web (command line interface)
katomic (4:20.12.1-1)
atomix puzzle game
kawari8 (8.2.8-10+b1)
Pseudo AI Shiori module used to create ghosts for Ukagaka
kazam (1.4.5-4)
screencast and screenshot application created with design in mind
kbackup (20.12.1-1)
Easy to use backup program
kball (0.0.20041216-11)
game of skill and reflexes for all the family
kball-data (0.0.20041216-11)
game of skill and reflexes for all the family - data files
kbd (2.3.0-3)
Linux console font and keytable utilities
kbd-chooser (1.71)
Detect a keyboard and select layout
kbd-udeb (2.3.0-3)
Linux console keyboard setup utilities
kbdd (0.6-4+b1)
Per-window keyboard layout switching daemon for X
kbibtex (0.9.90-1)
BibTeX editor for KDE
kbibtex-data (0.9.90-1)
BibTeX editor for KDE -- common data
kblackbox (4:20.12.1-1)
Black Box puzzle game
kblocks (4:20.12.1-1)
falling blocks game
kbounce (4:20.12.1-1)
Jezzball arcade game
kbreakout (4:20.12.1-1)
ball and paddle game
kbruch (4:20.12.0-1)
fraction learning aid for KDE
kbtin (1.0.22-1)
tintin++ style text-based MUD client
kbuild (1:0.1.9998svn3489+dfsg-2)
framework for writing simple makefiles for complex tasks
kcachegrind (4:20.12.0-1)
visualisation tool for the Valgrind profiler
kcachegrind-converters (4:20.12.0-1)
format converters for KCachegrind profiler visualisation tool
kcalc (4:20.12.0-1)
simple and scientific calculator
kcapi-tools (1.2.1-1)
Command-line tools for Linux Kernel Crypto API
kcc (2.3+really-0.1)
Kanji code filter
kcemu (0.5.1+git20141014+dfsg-2+b1) [contrib]
KC 85/4 emulator
kcemu-common (0.5.1+git20141014+dfsg-2) [contrib]
KC 85/4 emulator - common files
kcharselect (4:20.12.0-1)
special character utility
kcheckers (0.8.1-5)
Checkers boardgame
kchmviewer (7.7-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 7.7-1 [armel, armhf])
CHM viewer for KDE
kcollectd (0.12.0-1)
simple collectd graphing front-end for KDE
kcolorchooser (4:20.12.1-1)
color chooser and palette editor
kconfig-frontends (4.11.0.1+dfsg-5)
Standalone implementation of the Linux Kconfig parser and frontend
kconfig-frontends-nox (4.11.0.1+dfsg-5)
NoX standalone implementation of the Linux Kconfig parser
kconfig-frontends-nox
本虛擬套件由這些套件填實: kconfig-frontends
kcptun (20210103+ds-1+b5)
Simple UDP Tunnel Based On KCP
kdc2tiff (0.35-10+b1)
convert Kodac kdc files to jpeg or tiff
kde-baseapps (4:20.12.0+5.111)
base applications from the official KDE release (metapackage)
kde-cli-tools (4:5.20.5-2)
tools to use KDE services from the command line
kde-cli-tools-data (4:5.20.5-2)
tools to use kioslaves from the command line
kde-config-cddb (4:20.12.0-1)
CDDB retrieval configuration
kde-config-cron (4:20.12.0-1)
program scheduler frontend
kde-config-fcitx (0.5.6-2)
KDE configuration module for Fcitx
kde-config-fcitx5 (5.0.3-3)
KDE configuration module for Fcitx5
kde-config-gtk-style (4:5.20.5-2)
KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection
kde-config-gtk-style-preview (4:5.20.5-2)
KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection (extras)
kde-config-mailtransport (20.08.3-1)
mail transport service KCM
kde-config-mobile-networking (4:5.20.5-3)
Plasma mobile configuration modules
kde-config-plymouth (5.20.5-1)
KCM for Plymouth
kde-config-screenlocker (5.20.5-1+deb11u1)
KCM Module for kscreenlocker
kde-config-sddm (4:5.20.5-1)
KCM module for SDDM
kde-config-systemd (1.2.1-3.2)
KDE control center module for Systemd
kde-config-tablet (3.2.0-4)
implements a KDE configuration GUI for the Wacom drivers
kde-config-telepathy-accounts (20.08.0-1)
KDE Control Module for managing Telepathy Accounts
kde-full (5:111)
complete KDE Software Compilation for end users
kde-plasma-desktop (5:111)
KDE Plasma Desktop and minimal set of applications
kde-software-compilation
本虛擬套件由這些套件填實: kde-full
kde-spectacle (20.12.3-1)
Screenshot capture utility
kde-standard (5:111)
KDE Plasma Desktop and standard set of applications
kde-style-breeze (4:5.20.5-4)
Widget style for Qt and KDE Software
kde-style-oxygen-qt5 (4:5.20.5-1)
Qt decoration for the Oxygen desktop theme
kde-style-qtcurve-qt5 (1.9-7+b2)
QtCurve widget style for applications based on Qt 5.x
kde-telepathy (20.08.0.1)
metapackage for installing all the KDE Telepathy components
kde-telepathy-approver (20.08.0-1)
KDED module for approving incoming conversations
kde-telepathy-auth-handler (20.08.0-1)
KDE Telepathy authentication handler
kde-telepathy-call-ui (20.08.0-1)
KDE Telepathy UI for audio/video calls
kde-telepathy-contact-list (20.08.0-1)
Telepathy contact list for the KDE Plasma Desktop
kde-telepathy-data (20.08.0-1)
Shared data for all the KDE Telepathy components
kde-telepathy-debugger (20.08.0-1)
Debugging tool for KDE Telepathy
kde-telepathy-desktop-applets (20.08.0-1)
KDE Telepathy contact plasmoid
kde-telepathy-filetransfer-handler (20.08.0-1)
KDE Telepathy file transfer handler
kde-telepathy-integration-module (20.08.0-1)
Telepathy integration module for the KDE Workspace
kde-telepathy-kaccounts (20.08.0-1)
kaccounts plugins for kde-telepathy
kde-telepathy-kpeople (20.08.0-1)
kpeople plugins for kde-telepathy
kde-telepathy-minimal (20.08.0.1)
metapackage for installing the basic KDE Telepathy components
kde-telepathy-send-file (20.08.0-1)
KDE Telepathy dolphin/konqueror integration plugin
kde-telepathy-text-ui (20.08.0-1)
Telepathy text chat UI for the KDE Plasma Desktop
kde-thumbnailer-deb (3.0.5-1)
KDE plugin to show thumbnails of Debian package files
kde-zeroconf (4:20.12.0-1)
zeroconf plugins and kio slaves for KDE
kdeaccessibility (4:20.12.0+5.111)
accessibility packages from the official KDE release
kdeadmin (4:20.12.0+5.111)
system administration tools from the official KDE release
kdebugsettings (20.12.0-1)
application to enable/disable qCDebug settings
kdeconnect (20.12.3-2)
connect smartphones to your desktop devices
kded5 (5.78.0-2)
Extensible daemon for providing session services
kded5-dev (5.78.0-2)
Extensible daemon for providing session services
kdeedu (4:20.12.0+5.111)
educational applications from the official KDE release
kdeedu-data (4:20.12.0-1)
data files for KDE education applications
kdeedu-kvtml-data (4:20.12.0-1)
KVTML files for KDE-Edu programs
kdegames (4:20.12.0+5.111)
games from the official KDE release
kdegames-card-data-kf5 (4:20.12.1-1)
card decks for KDE games
kdegames-mahjongg-data-kf5 (4:20.12.1-1)
tilesets and backgrounds for Mahjongg games
kdegraphics (4:20.12.0+5.111)
graphics applications from the official KDE release
kdegraphics-mobipocket (4:20.12.0-1)
mobipocket thumbnail plugin
kdegraphics-thumbnailers (4:20.12.0-1)
graphics file format thumbnailers for KDE SC
kdemultimedia (4:20.12.0+5.111)
multimedia applications from the official KDE release
kdenetwork (4:20.12.0+5.111)
networking applications from the official KDE release
kdenetwork-filesharing (4:20.12.0-2)
network filesharing configuration module
kdenlive (20.12.3-1)
non-linear video editor
kdenlive-data (20.12.3-1)
non-linear video editor (data files)
kdepim (4:20.08.3+5.111)
Personal Information Management apps from the official KDE release
kdepim-addons (20.08.3-1)
Addons for KDE PIM applications
kdepim-doc (4:20.08.3-1)
transitional package for kmail
kdepim-runtime (4:20.08.3-1)
runtime components for Akonadi KDE
kdepim-themeeditors (4:20.08.3-1)
Theme Editors for KDE PIM applications
kdeplasma-addons-data (4:5.20.5-1)
locale files for kdeplasma-addons
kdeplasma-applets-xrdesktop (0.15.0-1)
xrdesktop applet for KDE Plasma
kdesdk (4:20.12.0+5.111)
Software Development Kit from the official KDE release
kdesdk-scripts (4:20.12.0-1)
scripts and data files for development
kdesdk-thumbnailers (4:20.12.0-1)
kdesdk file format thumbnailers for KDE
kdesignerplugin (5.78.0-2)
Transitional dummy package
kdesignerplugin-data (5.78.0-2)
Integration of KF5 widgets in Qt Designer/Creator
kdesvn (2.1.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1.0-1 [armel, armhf])
Subversion client with tight KDE integration
kdesvn-kio-plugins (2.1.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1.0-1 [armel, armhf])
Subversion I/O slaves for KDE
kdetoys (4:20.12.0+5.111)
desktop toys from the official KDE release
kdeutils (4:20.12.0+5.111)
general-purpose utilities from the official KDE release
kdevelop (4:5.6.2-4)
integrated development environment for C/C++ and other languages
kdevelop-data (4:5.6.2-4)
data files for the KDevelop IDE
kdevelop-dev (4:5.6.2-4)
development files for the KDevelop IDE
kdevelop-l10n (4:5.6.2-4)
localization files for the KDevelop IDE
kdevelop-pg-qt (2.2.1-1)
LL(1) parser generator based on Qt
kdevelop-php (5.6.2-1)
PHP plugin for KDevelop
kdevelop-php-l10n (5.6.2-1)
localization files for KDevelop PHP plugin
kdevelop-python (5.6.2-1)
Python 3 plugin for KDevelop
kdevelop-python-data (5.6.2-1)
Python 3 plugin for KDevelop - data files
kdevelop-python-l10n (5.6.2-1)
localization files for KDevelop Python 3 plugin
kdevelop56-libs (4:5.6.2-4)
shared libraries for the KDevelop platform
kdevplatform-dev (4:5.6.2-4)
transitional package for kdevelop-dev
kdevplatform-l10n (4:5.6.2-4)
transitional package for kdevelop-l10n
kdewebdev (4:20.12.0+5.111)
web development apps from the official KDE release
kdf (4:20.12.0-1)
disk information utility
kdialog (4:20.12.0-1)
Dialog display utility
kdiamond (4:20.12.1-1)
three-in-a-row game
kdiff3 (1.8.5-1)
compares and merges 2 or 3 files or directories
kdiff3-doc (1.8.5-1)
documentation for KDiff3
kdiff3-qt (1.8.5-1)
transistional package for kdiff3
kdocker (5.3-1)
lets you dock any application into the system tray
kdoctools5 (5.78.0-2)
Tools to generate documentation in various formats from DocBook
kdrill (6.5deb2-12)
kanji drill and dictionary program
kdump-tools (1:1.6.8.4)
scripts and tools for automating kdump (Linux crash dumps)
keditbookmarks (20.12.0-2)
bookmarks editor utility for KDE
keepalived (1:2.1.5-0.2+deb11u1)
Failover and monitoring daemon for LVS clusters
keepass2 (2.47+dfsg-2)
Password manager
keepass2-doc (2.47+dfsg-2)
Password manager - Documentation
keepass2-plugin-keepasshttp (1.8.4.2+dfsg1-2.1)
KeePass2 plugin to expose password entries securely over HTTP
keepassx (2.0.3+git20190121.1682ab9-2.2)
Cross Platform Password Manager
keepassxc (2.6.2+dfsg.1-1)
Cross Platform Password Manager
kel-agent (0.2.2-1+b5)
Web interface for amateur radio installed programs (program)
kelbt (0.16-2+b1 [amd64], 0.16-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
backtracking LR parser generator
kephra (0.4.3.34+dfsg-2.1)
gui text editor along Perl alike Paradigms
kernel-image
本虛擬套件由這些套件填實: kernel-image-5.10.0-27-arm64-di, kernel-image-5.10.0-26-5kc-malta-di, kernel-image-5.10.0-28-loongson-3-di, kernel-image-5.10.0-25-amd64-di, kernel-image-5.10.0-26-powerpc64le-di, kernel-image-5.10.0-27-686-pae-di, kernel-image-5.10.0-27-amd64-di, kernel-image-5.10.0-26-686-pae-di, kernel-image-5.10.0-27-powerpc64le-di, kernel-image-5.10.0-25-octeon-di, kernel-image-5.10.0-28-s390x-di, kernel-image-5.10.0-26-amd64-di, kernel-image-5.10.0-28-686-pae-di, kernel-image-5.10.0-28-marvell-di, kernel-image-5.10.0-26-octeon-di, kernel-image-5.10.0-25-powerpc64le-di, kernel-image-5.10.0-25-s390x-di, kernel-image-5.10.0-28-armmp-di, kernel-image-5.10.0-25-loongson-3-di, kernel-image-5.10.0-27-4kc-malta-di, kernel-image-5.10.0-28-5kc-malta-di, kernel-image-5.10.0-28-686-di, kernel-image-5.10.0-26-loongson-3-di, kernel-image-5.10.0-25-arm64-di, kernel-image-5.10.0-26-arm64-di, kernel-image-5.10.0-28-4kc-malta-di, kernel-image-5.10.0-27-marvell-di, kernel-image-5.10.0-27-s390x-di, kernel-image-5.10.0-27-armmp-di, kernel-image-5.10.0-27-686-di, kernel-image-5.10.0-25-armmp-di, kernel-image-5.10.0-28-octeon-di, kernel-image-5.10.0-25-686-di, kernel-image-5.10.0-26-4kc-malta-di, kernel-image-5.10.0-27-loongson-3-di, kernel-image-5.10.0-26-s390x-di, kernel-image-5.10.0-28-arm64-di, kernel-image-5.10.0-26-686-di, kernel-image-5.10.0-25-686-pae-di, kernel-image-5.10.0-27-5kc-malta-di, kernel-image-5.10.0-26-armmp-di, kernel-image-5.10.0-27-octeon-di, kernel-image-5.10.0-25-4kc-malta-di, kernel-image-5.10.0-25-marvell-di, kernel-image-5.10.0-28-amd64-di, kernel-image-5.10.0-28-powerpc64le-di, kernel-image-5.10.0-25-5kc-malta-di, kernel-image-5.10.0-26-marvell-di
kernel-image-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-686-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-686-pae-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-amd64-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-arm64-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-armmp-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-marvell-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-octeon-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-25-s390x-di (5.10.191-1) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-4kc-malta-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-5kc-malta-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-686-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-686-pae-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-amd64-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-arm64-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-armmp-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-loongson-3-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-marvell-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-octeon-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-powerpc64le-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-26-s390x-di (5.10.197-1)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-686-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-686-pae-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-amd64-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-arm64-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-armmp-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-marvell-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-octeon-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-27-s390x-di (5.10.205-2) [security]
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-4kc-malta-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-5kc-malta-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-686-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-686-pae-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-amd64-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-arm64-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-armmp-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-loongson-3-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-marvell-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-octeon-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-powerpc64le-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-image-5.10.0-28-s390x-di (5.10.209-2)
Linux kernel image and core modules for the Debian installer
kernel-installer
本虛擬套件由這些套件填實: bootstrap-base, live-installer
kernel-wedge (2.104)
udeb package builder for Debian-Installer
kernelshark (2.9.1-1)
Utilities for graphically analyzing function tracing in the kernel.
kerneltop (0.91-2+b1)
shows Linux kernel function usage in a style like top
ketm (0.0.6-25)
old school 2D-scrolling shooter
ketm-data (0.0.6-25)
graphics and audio data for ketm
keurocalc (1.3.0-3)
universal currency converter and calculator
keurocalc-data (1.3.0-3)
universal currency converter and calculator - data package
kexec-tools (1:2.0.20-2.1)
tools to support fast kexec reboots
kexec-tools-udeb (1:2.0.20-2.1)
tools to support fast kexec reboots (udeb)
kexi (1:3.2.0-2)
visual database applications builder
kexi-data (1:3.2.0-2)
data files for kexi
kexi-mysql-driver (1:3.2.0-2)
MySQL support for kexi
kexi-postgresql-driver (1:3.2.0-2)
PostgreSQL support for kexi
kexi-web-form-widget (1:3.2.0-2)
web form widget for Kexi
key2odp (0.9.6-2)
Keynote to OpenDocument converter
keyanalyze
本虛擬套件由這些套件填實: signing-party
keybinder-3.0-doc (0.3.2-1.1)
registers global key bindings for applications - Gtk+3 - documentation
keybinder-doc (0.3.1-2.1)
registers global key bindings for applications - documentation
keyboard-configuration (1.205)
system-wide keyboard preferences
keyboard-setup
本虛擬套件由這些套件填實: kbd-chooser, console-setup-udeb
keyboards-rg (0.3+nmu1)
Various keyboard layouts for X-window and linux console
keychain (2.8.5-2)
key manager for OpenSSH
keyjnote
本虛擬套件由這些套件填實: impressive
keylaunch (1.3.9+b2)
A small utility for binding commands to a hot key
keyman (11.0.103-2)
Type in your language with Keyman for Linux
keymapper (0.6.2)
Keyboard map decision tree builder and interpreter
keynav (0.20180421~git6505bd0d-3)
keyboard-driven mouse cursor mover
keyringer (0.5.5-1)
Distributed secret management using GnuPG and Git
keystone (2:18.0.0-3+deb11u1)
OpenStack identity service
keystone-doc (2:18.0.0-3+deb11u1)
OpenStack identity service - documentation
keystone-tempest-plugin (0.5.0-2)
OpenStack Integration Test Suite - Keystone plugin
keyutils (1.6.1-2)
Linux Key Management Utilities
kf5-kdepim-apps-libs-data (4:20.08.3-1)
KDE PIM mail related libraries, data files
kf5-messagelib-data (4:20.08.3-5)
KDE PIM messaging library, data files
kfind (4:20.12.0-1)
file search utility by KDE
kfloppy (4:20.12.0-1)
floppy formatter
kfourinline (4:20.12.1-1)
Connect Four game
kgames (1.0-2.1)
Venerable selection of Xaw-based games
kgamma5 (5.20.5-1)
monitor calibration panel for KDE
kgb (1.0b4+ds-14)
Archiver for .kgb files
kgb-bot (1.58-1)
IRC collaboration bot
kgb-client (1.58-1)
client for KGB (IRC collaboration bot)
kgendesignerplugin (5.78.0-2)
Integration of KF5 widgets in Qt Designer/Creator
kgendesignerplugin-bin (5.78.0-2)
Integration of KF5 widgets in Qt Designer/Creator (bin files)
kgeography (4:20.12.0-1)
geography learning aid for KDE
kgeography-data (4:20.12.0-1)
data files for KGeography
kget (4:20.12.2-1)
download manager
kgoldrunner (4:20.12.1-1)
Lode Runner arcade game
kgpg (4:20.12.0-1)
graphical front end for GNU Privacy Guard
kgx (0.2.1-2+b1 [amd64], 0.2.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple user-friendly terminal emulator for the GNOME desktop
khal (1:0.10.3-1)
Standards based CLI and terminal calendar program
khal-doc (1:0.10.3-1)
Standards based CLI and terminal calendar program - documentation
khangman (4:20.12.1-1)
Hangman word puzzle
khard (0.17.0-1)
address book for the Linux console
khelpcenter (4:20.12.0-1)
KDE documentation viewer
khmer (2.1.2+dfsg-8)
in-memory DNA sequence kmer counting, filtering & graph traversal
khmer-common (2.1.2+dfsg-8)
common files for the khmer project tools
khotkeys (4:5.20.5-1)
configure input actions settings
khotkeys-data (4:5.20.5-1)
configure input actions settings
khotkeys-dev (4:5.20.5-1)
configure input actions settings
khronos-api (4.6+git20180514-2)
Khronos XML API Registry
kic (2.4a-2) [non-free]
Enhanced KIC layout editor
kicad (5.1.9+dfsg1-1+deb11u1)
Electronic schematic and PCB design software
kicad-demos (5.1.9+dfsg1-1+deb11u1)
Demo projects for kicad
kicad-doc-ca (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Catalan)
kicad-doc-de (5.1.9+dfsg1-1+deb11u1)
Kicad help files (German)
kicad-doc-en (5.1.9+dfsg1-1+deb11u1)
Kicad help files (English)
kicad-doc-es (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Spanish)
kicad-doc-fr (5.1.9+dfsg1-1+deb11u1)
Kicad help files (French)
kicad-doc-id (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Indonesian)
kicad-doc-it (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Italian)
kicad-doc-ja (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Japanese)
kicad-doc-pl (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Polish)
kicad-doc-ru (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Russian)
kicad-doc-zh (5.1.9+dfsg1-1+deb11u1)
Kicad help files (Chinese)
kicad-footprints (5.1.7-1)
Footprint symbols for KiCad's Pcbnew
kicad-libraries (5.1.9+dfsg1-1+deb11u1)
Virtual package providing common used libraries by kicad
kicad-packages3d (5.1.7-1)
3D models for 3D viewer in KiCad's Pcbnew and Footprint Editor
kicad-symbols (5.1.9-1)
Schematic symbols for KiCad's Eeschema
kicad-templates (5.1.7-1)
Project templates for KiCad
kickpass (0.2.0-4)
simple password safe
kickseed-common (0.63)
Common files for Kickstart compatibility
kid3 (3.8.5-3)
KDE audio tag editor
kid3-cli (3.8.5-3)
Command line audio tag editor
kid3-core (3.8.5-3)
Audio tag editor core libraries and data
kid3-qt (3.8.5-3)
Audio tag editor
kig (4:20.12.2-1)
interactive geometry tool
kigo (4:20.12.1-1)
go game
kildclient (3.2.0-2+b3)
powerful MUD client with a built-in Perl interpreter
kildclient-doc (3.2.0-2)
powerful MUD client with a built-in Perl interpreter - manual
kile (4:2.9.93-2)
KDE Integrated LaTeX Environment
kile-doc (4:2.9.93-2)
KDE Integrated LaTeX Environment (documentation)
kile-l10n (4:2.9.93-2)
KDE Integrated LaTeX Environment (localization)
killbots (4:20.12.1-1)
port of the classic BSD console game robots
killer (0.90-13)
Background job killer
kimageformat-plugins (5.78.0-5)
additional image format plugins for QtGui
kimagemapeditor (4:20.12.0-1)
HTML image map editor
kindleclip (0.6-1.1)
User interface for managing Amazon Kindle's "My Clippings" file
kinect-audio-setup (0.5-1+b1) [contrib]
Microsoft Kinect sensor audio setup helpers
kineticstools (0.6.1+git20200729.e3723e0+dfsg-1)
detection of DNA modifications
kineticstools-data (0.6.1+git20200729.e3723e0+dfsg-1)
detection of DNA modifications -- data files
kinfocenter (4:5.20.5-1)
system information viewer
king (2.23.161103+dfsg1-4)
interactive system for three-dimensional vector graphics
king-probe (2.16.160404+git20200121.9b198c1-3)
Evaluate and visualize protein interatomic packing
kinit (5.78.0-2)
process launcher to speed up launching KDE applications
kinit-dev (5.78.0-2)
process launcher to speed up launching KDE applications
kinput2-canna (3.1-13+b2)
input server for X11 applications that want Japanese text input
kinput2-canna-wnn (3.1-13+b2)
input server for X11 applications that want Japanese text input
kinput2-common (3.1-13)
Files shared among kinput2 packages
kinput2-wnn (3.1-13+b2)
input server for X11 applications that want Japanese text input
kio (5.78.0-5)
resource and network access abstraction
kio-audiocd (4:20.12.0-1)
transparent audio CD access for applications using the KDE Platform
kio-audiocd-dev (4:20.12.0-1)
development files for the audio CD KIO plugin
kio-extras (4:20.12.2-1)
Extra functionality for kioslaves.
kio-extras-data (4:20.12.2-1)
Extra functionality for kioslaves data files.
kio-fuse (5.0.0-4)
FUSE Interface for KIO
kio-gdrive (20.12.2-1)
KIO access for GDrive
kio-gopher (0.1.99-3)
gopher KIO slave
kio-ldap (20.08.3-1)
library for accessing LDAP - kio slave
kio-perldoc (4:20.12.0-1)
Perl documentation KIO slave
kio-sieve (4:20.08.3-1)
Sieve mail filtering language support for kdepim, kio slave
kipi-plugins (4:20.12.0-1)
image manipulation/handling plugins for KIPI aware programs
kipi-plugins-common (4:20.12.0-1)
kipi-plugins architecture-independent data
kirigami-gallery (20.12.0-1)
set of QtQuick components targeted for mobile use
kirigami2-dev (5.78.0-3)
set of QtQuick components targeted for mobile use
kiriki (4:20.12.1-1)
Yahtzee dice game
kism3d (0.2.2.1-3+b1)
802.11 visualizer for s3d
kissfft-tools (131.1.0-1)
Mixed-radix Fast Fourier Transform library (CLI tools)
kissplice (2.5.3-3+b1)
Detection of various kinds of polymorphisms in RNA-seq data
kiten (4:20.12.2-1)
Japanese reference and study aid for KDE
kitty (0.19.3-1)
fast, featureful, GPU based terminal emulator
kitty-doc (0.19.3-1)
fast, featureful, GPU based terminal emulator (documentation)
kitty-terminfo (0.19.3-1)
fast, featureful, GPU based terminal emulator (terminfo file)
kiwix (2.0.5-3)
offline Wikipedia reader
kiwix-tools (3.1.2-3)
collection of Kiwix tools
kjots (4:5.1.0-1)
note-taking utility
kjumpingcube (4:20.12.1-1)
simple tactical game
klatexformula (4.1.0-1)
GUI to easily get an image from a LaTeX formula or equation
klaus (1.5.2-4)
simple easy-to-set-up Git web viewer
klavaro (3.11-1+b1 [amd64], 3.11-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Flexible touch typing tutor
klayout (0.26.2-3+b1)
High Performance Layout Viewer and Editor
kleborate (2.0.1-1)
tool to screen Klebsiella genome assemblies
kleborate-examples (2.0.1-1)
tool to screen Klebsiella genome assemblies (example data)
kleopatra (4:20.08.3-1)
Certificate Manager and Unified Crypto GUI
klettres (4:20.12.1-1)
foreign alphabet tutor for KDE
klettres-data (4:20.12.1-1)
data files for KLettres foreign alphabet tutor
klibc-utils (2.0.8-6.1)
small utilities built with klibc for early boot
klick (0.12.2-4.1)
advanced metronome for JACK
klickety (4:20.12.1-1)
SameGame puzzle game
klines (4:20.12.1-1)
color lines game
klog (1.4.6-1)
Multiplatform ham radio logging program
kluppe (0.6.20-1.1+b1 [amd64], 0.6.20-1.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
loop-player and recorder designed for live use
klustakwik (3.0.2+ds-1)
automatic sorting of the samples (spikes) into clusters
klystrack (0.20171212-5)
Chiptune tracker
kma (1.3.10-1)
mapping genomic sequences to raw reads directly against redundant databases
kmag (4:20.12.0-1)
screen magnifier tool
kmahjongg (4:20.12.1-1)
mahjongg solitaire game
kmail (4:20.08.3-1)
full featured graphical email client
kmailtransport-akonadi (20.08.3-1)
mail transport akonadi library
kmc (3.1.1+dfsg-3+b2)
count kmers in genomic sequences
kmenuedit (4:5.20.5-1)
XDG menu editor
kmer (0~20150903+r2013-8)
suite of tools for DNA sequence analysis
kmer-examples (0~20150903+r2013-8)
sample data for kmer suite of tools for DNA sequence analysis
kmerresistance (2.2.0-2)
correlates mapped genes with the predicted species of WGS samples
kmetronome (1.0.1-1)
ALSA MIDI Metronome
kmfl-keyboards-mywin (2.1.1-3.1)
myWin Myanmar (Burmese) Unicode Keyboard
kmflcomp (11.0.101-1)
KMFL (Keyboard Mapping for Linux) Compiler
kmines (4:20.12.1-1)
minesweeper game
kmix (4:20.12.0-1)
volume control and mixer
kmod (28-1)
tools for managing Linux kernel modules
kmod-udeb (28-1)
libkmod shared library
kmousetool (4:20.12.0-1)
mouse manipulation tool for the disabled
kmouth (4:20.12.0-1)
type-and-say frontend for speech synthesizers
kmplayer (1:0.12.0b-3+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1:0.12.0b-3 [armel, armhf])
media player for KDE
kmplot (4:20.12.0-1)
mathematical function plotter for KDE
kmscube (0.0.0~git20210103-1)
Example KMS/GBM/EGL application
kmymoney (5.1.1-1)
personal finance manager for KDE
kmymoney-common (5.1.1-1)
KMyMoney architecture independent files
knavalbattle (4:20.12.1-1)
battleship board game
knetwalk (4:20.12.1-1)
wire puzzle game
knews (1.0b.1-33)
Graphical threaded news reader
knewstuff-dialog (5.78.0-4+deb11u1)
Support for downloading application assets from the network.
knfs
本虛擬套件由這些套件填實: nfs-kernel-server
knights (20.12.1-1)
chess interface for the KDE Platform
knockd (0.7-1+b1)
small port-knock daemon
knockpy (4.1.0-4)
Python3 tool designed to enumerate subdomains on a target domain
knot (3.0.5-1+deb11u1)
Authoritative domain name server
knot-dnsutils (3.0.5-1+deb11u1)
Clients provided with Knot DNS (kdig, knsupdate, kzonecheck)
knot-doc (3.0.5-1+deb11u1)
Documentation for Knot DNS
knot-host (3.0.5-1+deb11u1)
Version of 'host' bundled with Knot DNS
knot-module-dnstap (3.0.5-1+deb11u1)
dnstap module for Knot DNS
knot-module-geoip (3.0.5-1+deb11u1)
geoip module for Knot DNS
knot-resolver (5.3.1-1+deb11u1)
caching, DNSSEC-validating DNS resolver
knot-resolver-doc (5.3.1-1+deb11u1)
Documentation for Knot Resolver
knot-resolver-module-http (5.3.1-1+deb11u1)
HTTP module for Knot Resolver
knotes (4:20.08.3-1)
sticky notes application
knowthelist (2.3.1-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.3.1-1 [armel, armhf])
awesome party music player
knxd (0.14.46-1)
daemon to access the KNX bus
knxd-dev (0.14.46-1)
development files for knxd
knxd-tools (0.14.46-1)
tools to use knxd
ko.tex
本虛擬套件由這些套件填實: texlive-lang-korean
kobodeluxe (0.5.1-10)
game of space battle
kobodeluxe-data (0.5.1-10)
game of space battle -- shared data
kodi (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (executable binaries)
kodi-addons-dev (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (addon development package)
kodi-addons-dev-common (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (architecture-independent addon development package)
kodi-api-audiodecoder (= 3.0.0)
本虛擬套件由這些套件填實: kodi
kodi-api-audioencoder (= 2.1.0)
本虛擬套件由這些套件填實: kodi
kodi-api-audioengine (= 1.1.1)
本虛擬套件由這些套件填實: kodi
kodi-api-filesystem (= 1.1.6)
本虛擬套件由這些套件填實: kodi
kodi-api-game (= 2.1.0)
本虛擬套件由這些套件填實: kodi
kodi-api-general (= 1.0.5)
本虛擬套件由這些套件填實: kodi
kodi-api-gui (= 5.15.0)
本虛擬套件由這些套件填實: kodi
kodi-api-imagedecoder (= 2.1.1)
本虛擬套件由這些套件填實: kodi
kodi-api-inputstream (= 3.0.1)
本虛擬套件由這些套件填實: kodi
kodi-api-main (= 1.3.0)
本虛擬套件由這些套件填實: kodi
kodi-api-network (= 1.0.4)
本虛擬套件由這些套件填實: kodi
kodi-api-peripheral (= 2.0.0)
本虛擬套件由這些套件填實: kodi
kodi-api-pvr (= 7.1.0)
本虛擬套件由這些套件填實: kodi
kodi-api-screensaver (= 2.1.0)
本虛擬套件由這些套件填實: kodi
kodi-api-vfs (= 3.0.0)
本虛擬套件由這些套件填實: kodi
kodi-api-videocodec (= 2.0.2)
本虛擬套件由這些套件填實: kodi
kodi-api-visualization (= 3.0.0)
本虛擬套件由這些套件填實: kodi
kodi-audiodecoder-fluidsynth (3.0.0+ds1-2)
Fluidsynth audio decoder for Kodi
kodi-audiodecoder-openmpt (3.0.0+ds1-2)
OpenMPT audio decoder for Kodi
kodi-audiodecoder-sidplay (3.0.0+ds1-2)
SidPlay audio decoder for Kodi
kodi-audioencoder-flac (3.0.0+ds1-2)
FLAC audio encoder add-on for Kodi
kodi-audioencoder-lame (3.0.2+ds1-1)
LAME (mp3) audio encoder add-on for Kodi
kodi-audioencoder-vorbis (3.0.1+ds1-2)
Vorbis audio encoder add-on for Kodi
kodi-audioencoder-wav (3.0.0-2)
WAV audio encoder add-on for Kodi
kodi-bin (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (architecture-dependent files)
kodi-data (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (arch-independent data package)
kodi-eventclients-common (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (Event Client Common package)
kodi-eventclients-dev (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (Event Client Dev package)
kodi-eventclients-kodi-send (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (Event Client Kodi-SEND package)
kodi-eventclients-ps3 (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (Event Client PS3 package)
kodi-eventclients-wiiremote (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (Event Client WII Remote support package)
kodi-eventclients-zeroconf (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (Event Client ZeroConf script package)
kodi-imagedecoder-heif (1.3.0+ds1-2)
HEIF image decoder for Kodi
kodi-imagedecoder-raw (3.0.1+ds1-2)
RAW image decoder for Kodi
kodi-inputstream-adaptive (2.6.14+ds1-1)
Adaptive inputstream addon for Kodi
kodi-inputstream-ffmpegdirect (1.19.2+ds1-1)
FFmpegDirect inputstream addon for Kodi
kodi-inputstream-rtmp (3.4.0+ds1-2)
Kodi input stream addon for RTMP
kodi-peripheral-joystick (1.7.1+ds1-2)
Kodi Joystick Library
kodi-peripheral-xarcade (1.2.0-2)
X-Arcade Tankstick driver for Kodi
kodi-pvr-argustv (7.1.0+ds1-1)
ARGUS TV PVR addon for Kodi
kodi-pvr-dvblink (9.1.0+ds1-2)
DVBLink PVR Client for Kodi
kodi-pvr-dvbviewer (7.2.0+ds1-1)
DVBViewer Kodi PVR Addon
kodi-pvr-filmon (6.1.0+ds1-1)
Filmon PVR client addon for Kodi
kodi-pvr-hdhomerun (7.1.0+ds1-1)
HDHomeRun PVR Addon for Kodi
kodi-pvr-hts (8.3.0+ds1-1)
Kodi PVR Addon TvHeadend Hts
kodi-pvr-iptvsimple (7.4.3+ds1-1)
IPTV Simple Client Kodi PVR Addon
kodi-pvr-mediaportal-tvserver (8.1.2+ds1-1)
MediaPortal's TV-Server PVR addon for Kodi
kodi-pvr-mythtv (7.3.1+ds1-1)
MythTV PVR Addon for Kodi
kodi-pvr-nextpvr (8.2.3+ds1-1)
NextPVR PVR addon for Kodi
kodi-pvr-njoy (7.1.0+ds1-1)
NJOY PVR Addon for Kodi
kodi-pvr-octonet (4.1.0-2+ds1-1+b1 [amd64], 4.1.0-2+ds1-1 [arm64, armel, armhf, i386, ppc64el, s390x])
Digital Devices Octopus NET PVR for Kodi
kodi-pvr-pctv (6.1.0+ds1-1)
PCTV PVR client addon for Kodi
kodi-pvr-sledovanitv-cz (4.5.0+ds1-1)
sledovanitv.cz PVR for Kodi
kodi-pvr-stalker (7.1.0+ds1-1)
Stalker Middleware PVR client addon for Kodi
kodi-pvr-teleboy (19.8.0+ds1-1)
Teleboy PVR for Kodi
kodi-pvr-vbox (8.1.0+ds1-2)
VBox Home TV Gateway addon for Kodi
kodi-pvr-vdr-vnsi (8.2.2+ds1-1)
Kodi PVR Addon VDR VNSI
kodi-pvr-vuplus (7.4.3+ds1-1)
Vu+/Enigma2 PVR Addon for Kodi
kodi-pvr-waipu (2.6.1+ds1-1)
waipu PVR for Kodi
kodi-pvr-wmc (6.1.1-1)
WMC PVR Addon for Kodi
kodi-pvr-zattoo (19.7.9+ds1-1)
Zattoo PVR for Kodi
kodi-repository
本虛擬套件由這些套件填實: kodi-repository-kodi
kodi-repository-kodi (2:19.1+dfsg2-2+deb11u1)
Open Source Home Theatre (official addons repository feed)
kodi-screensaver-asteroids (2.6.0+ds1-2)
Asteroids screensaver for Kodi
kodi-screensaver-biogenesis (2.5.0-2)
BioGenesis screensaver for Kodi
kodi-screensaver-greynetic (2.5.0+ds1-2)
Greynetic screensaver for Kodi
kodi-screensaver-pingpong (2.4.0+ds1-2)
Pingpong screensaver for Kodi
kodi-screensaver-pyro (3.3.0-2)
Pyro screensaver for Kodi
kodi-screensaver-shadertoy (3.2.0+ds1-2)
Shadertoy screensaver for Kodi
kodi-vfs-libarchive (2.0.1+ds1-1)
Libarchive VFS add-on for Kodi
kodi-vfs-sftp (2.0.0+ds1-2)
SSH File Transfer Protocol for Kodi
kodi-visualization-fishbmc (6.3.0+ds1-2)
Fishbmc audio visualization addon for Kodi
kodi-visualization-pictureit (3.4.0+ds1-3)
pictureit visualizer for Kodi
kodi-visualization-shadertoy (2.3.0+ds1-3)
Shadertoy audio visualization for Kodi
kodi-visualization-shadertoy-data (2.3.0+ds1-3)
Shadertoy audio visualization for Kodi (common data)
kodi-visualization-spectrum (3.4.0+ds1-2)
Spectrum visualizer addon for Kodi
kodi-visualization-waveform (4.4.0+ds1-2)
Waveform audio visualization addon for Kodi
kolf (4:20.12.1-1)
miniature golf game
kollision (4:20.12.1-1)
simple ball dodging game
kolourpaint (4:20.12.0-1)
simple image editor and drawing application
kolourpaint4 (4:20.12.0-1)
transitional package for kolourpaint
komi (1.04-5+b2)
Single player arcade game with Komi the Space Frog!
kompare (4:20.12.0-2)
file difference viewer
konclude (0.7.0+1137~dfsg-1)
tableau-based description logic reasoner for the semantic web
konfont (0.1-8.1)
Public domain japanese fonts for KON2
kongress (1.0.1-1)
companion application for conferences made by KDE
konq-plugins (4:20.12.0-4)
plugins for Konqueror, the KDE file/web/document browser
konqueror (4:20.12.0-4)
advanced file manager, web browser and document viewer
konquest (4:20.12.1-1)
simple turn-based strategy game
konsole (4:20.12.3-1)
X terminal emulator
konsole-kpart (4:20.12.3-1)
Konsole plugin for Qt applications
konsolekalendar (4:20.08.3-1)
konsole personal organizer
kontact (4:20.08.3-1)
integrated application for personal information management
kontrast (20.12.1-1+b1 [amd64], 20.12.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
check contrast ratio between 2 colors
konversation (20.12.1-1)
user friendly Internet Relay Chat (IRC) client for KDE
konversation-data (20.12.1-1)
data files for Konversation
konwert (1.8-13.1)
用於檔案或终端機輸入輸出的字集轉換工具
konwert-dev (1.8-13.1)
Tools to define new charset conversion for konwert
konwert-filters (1.8-13.1)
Filters used by konwert for charset conversion
kookbook (0.2.1-2)
simple recipe manager taking structured markdown for recipes
kore (4.1.0-3)
Web platform for writing scalable, concurrent APIs in C or Python
korganizer (4:20.08.3-1)
calendar and personal organizer
koules (1.4-27)
abstract space action game
kpackagelauncherqml (5.78.0-2)
commandline tool for launching kpackage QML application
kpackagetool5 (5.78.0-3)
command line kpackage tool
kpart5-kompare (4:20.12.0-2)
file difference viewer - kpart
kpartloader (4:20.12.0-1)
test tool for KParts
kpartx (0.8.5-2+deb11u1)
create device mappings for partitions
kpartx-udeb (0.8.5-2+deb11u1)
create device mappings for partitions - udeb package
kpat (4:20.12.1-1)
solitaire card games
kpcli (3.1-3.1)
command line interface to KeePassX password manager databases
kpeople-vcard (0.1-2)
vCard plugin for the KPeople framework
kphotoalbum (5.7.0-1)
tool for indexing, searching and viewing images by keywords for KDE
kquickcharts-dev (5.78.0-2)
Quick Charts - development files
kraft (0.96-1)
small business-management application
kraken (1.1.1-2)
assigning taxonomic labels to short DNA sequences
kraken2 (2.1.1-1)
taxonomic classification system using exact k-mer matches
kramdown (2.3.0-5)
Fast, pure-Ruby Markdown-superset converter - kramdown command
krank (0.7+dfsg2-4)
game of dexterity where you match stones together
kraptor (0.0.20040403+ds-2)
Classic shoot 'em up scroller game
kraptor-data (0.0.20040403+ds-2)
Classic shoot 'em up scroller game -- data files
krb5-admin-server (1.18.3-6+deb11u4)
MIT Kerberos master server (kadmind)
krb5-auth-dialog (3.26.1-4)
tray applet for reauthenticating kerberos tickets
krb5-config (2.6+nmu1)
Configuration files for Kerberos Version 5
krb5-doc (1.18.3-6+deb11u4)
documentation for MIT Kerberos
krb5-gss-samples (1.18.3-6+deb11u4)
MIT Kerberos GSS Sample applications
krb5-k5tls (1.18.3-6+deb11u4)
TLS plugin for MIT Kerberos
krb5-kdc (1.18.3-6+deb11u4)
MIT Kerberos key server (KDC)
krb5-kdc-ldap (1.18.3-6+deb11u4)
MIT Kerberos key server (KDC) LDAP plugin
krb5-kpropd (1.18.3-6+deb11u4)
MIT Kerberos key server (Slave KDC Support)
krb5-locales (1.18.3-6+deb11u4)
internationalization support for MIT Kerberos
krb5-multidev (1.18.3-6+deb11u4)
development files for MIT Kerberos without Heimdal conflict
krb5-otp (1.18.3-6+deb11u4)
OTP plugin for MIT Kerberos
krb5-pkinit (1.18.3-6+deb11u4)
PKINIT plugin for MIT Kerberos
krb5-strength (3.2-3)
Password strength checking for Kerberos KDCs
krb5-sync-plugin (3.1-2+b1)
MIT Kerberos Active Directory synchronization plugin
krb5-sync-tools (3.1-2+b1)
Kerberos Active Directory synchronization tools
krb5-user (1.18.3-6+deb11u4)
basic programs to authenticate using MIT Kerberos
krdc (4:20.12.3-1)
Remote Desktop Connection client
krename (5.0.1-1+b1)
powerful batch renamer for KDE
kreversi (4:20.12.1-1)
reversi board game
krfb (4:20.12.2-1)
Desktop Sharing utility
krita (1:4.4.2+dfsg-1)
pixel-based image manipulation program
krita-data (1:4.4.2+dfsg-1)
data files for Krita painting program
krita-gmic (2.9.4-4)
GREYC's Magic for Image Computing - Helper Tool for Krita
krita-l10n (1:4.4.2+dfsg-1)
translations for Krita painting program
kronolith
本虛擬套件由這些套件填實: php-horde-kronolith
kronometer (2.2.3-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.2.3-1 [armel, armhf])
simple stopwatch application
krop (0.6.0-2)
tool to crop PDF files
kross (5.78.0-2)
Multi-language application scripting.
kross-dev (5.78.0-2)
development files for kross
kruler (4:20.12.0-1)
screen ruler
krusader (2:2.7.2-2)
twin-panel (commander-style) file manager
kscreen (4:5.20.5-1)
KDE monitor hotplug and screen handling
kscreenlocker-dev (5.20.5-1+deb11u1)
Development files for kscreenlocker
ksh (2020.0.0+really93u+20120801-9)
Real, AT&T version of the Korn shell
kshisen (4:20.12.1-1)
Shisen-Sho solitaire game
kshutdown (4.2-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 4.2-1 [armel, armhf])
advanced shut down utility for KDE
ksirk (4:20.12.1-1)
Risk strategy game
ksmtuned (4.20150326)
enables and tunes Kernel Samepage Merging
ksnakeduel (4:20.12.1-1)
snake race game
ksnip (1.8.0-2)
Qt-based cross-platform screenshot tool
kspaceduel (4:20.12.1-1)
SpaceWar! arcade game
ksquares (4:20.12.1-1)
Dots and Boxes game
ksshaskpass (4:5.20.5-1)
interactively prompt users for a passphrase for ssh-add
kst (2.0.8-4+b1)
scientific data plotting tool
kst-doc (2.0.8-4)
set of tutorials for kst
kstars (5:3.4.3-1+b2)
desktop planetarium, observation planning and telescope control
kstars-data (5:3.4.3-1)
data files for KStars desktop planetarium
kstars-data-extra-tycho2 (1.1r1-9.1) [non-free]
Tycho-2 star catalog for KStars
kstart (4.2-3)
Kerberos kinit supporting AFS and ticket refreshing
ksudoku (4:20.12.1-1)
Sudoku puzzle game and solver
ksysguard (4:5.20.5-2)
process monitor and system statistics
ksysguard-data (4:5.20.5-2)
library for monitoring your system - shared library
ksysguardd (4:5.20.5-2)
System Guard Daemon
ksystemlog (4:20.12.0-1)
system log viewer
kteatime (4:20.12.0-1)
utility for making a fine cup of tea
ktechlab (0.50.0-2)
IDE for microcontrollers and electronics
ktexteditor-data (5.78.0-3)
provide advanced plain text editing services
ktexteditor-katepart (5.78.0-3)
provide advanced plain text editing services
kthresher (1.4.1-2)
Purge Unused Kernels
ktikz (0.13.1+ds1-1)
editor for the TikZ drawing language - KDE version
ktimer (4:20.12.0-1)
countdown timer
ktimetracker (4:5.0.1-3)
time tracker tool
ktoblzcheck (1.53-2)
tool for verification of account numbers and bank codes
ktorrent (5.2.0-2)
BitTorrent client based on the KDE platform
ktorrent-data (5.2.0-2)
KTorrent data and other architecture independent files
ktouch (4:20.12.0-2)
touch typing tutor for KDE
ktouch-data (4:20.12.0-2)
data files for ktouch
ktuberling (4:20.12.1-1)
stamp drawing toy
ktuberling-data (4:20.12.1-1)
stamp drawing toy - data files
kturtle (4:20.12.1-1)
educational programming environment
kubecolor (0.0.9-2+b5)
colorizes kubectl output
kubernetes-client (1.20.5+really1.20.2-1)
Kubernetes client binary (kubectl)
kubetail (1.6.5-2)
Aggregate logs from multiple Kubernetes pods into one stream
kubrick (4:20.12.1-1)
game based on Rubik's Cube
kuiviewer (4:20.12.0-1)
viewer for Qt Designer user interface files
kunststoff (2.0.2-5.1)
suite of skins for different applications
kup-backup (0.8.0-1+b2)
backup tool for KDE's Plasma desktop
kup-client (0.3.6-2)
kernel.org upload tool
kup-server (0.3.6-2)
kernel.org upload server
kupfer (0+v320-1)
fast and lightweight desktop summoner/launcher
kuserfeedback-bin (1.0.0-3)
user feedback for applications - executables
kuserfeedback-dev (1.0.0-3)
development files for KUserFeedback
kuserfeedback-doc (1.0.0-3)
user feedback for applications - documentation
kuttypy-gui (2.1.1-2)
Graphic user interface to interact with KuttyPy
kuvert (2.2.2+b1 [amd64], 2.2.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
wrapper that encrypts or signs outgoing mail
kvirc (4:5.0.0+dfsg-5)
KDE-based next generation IRC client with module support
kvirc-data (4:5.0.0+dfsg-5)
Data files for KVIrc
kvirc-modules (4:5.0.0+dfsg-5)
KVIrc (IRC client) modules
kwalify (0.7.2-9)
parser, schema validator, and data-binding tool for YAML and JSON
kwalletcli (3.03-1)
command line interface to the KDE Wallet
kwalletmanager (4:20.12.0-1)
secure password wallet manager
kwartz-client (1.9-2)
Configuration of a Kwartz client
kwave (20.12.2-1)
sound editor for KDE
kwayland-data (4:5.78.0-2)
Qt library wrapper for Wayland libraries - data files
kwayland-integration (5.20.5-1)
kwayland runtime integration plugins
kwin
本虛擬套件由這些套件填實: kwin-wayland, kwin-x11
kwin-addons (4:5.20.5-1)
additional desktop and window switchers for KWin
kwin-common (4:5.20.5-1)
KDE window manager, common files
kwin-data (4:5.20.5-1)
KDE window manager data files
kwin-decoration-oxygen (4:5.20.5-1)
KWin decoration for the Oxygen desktop theme
kwin-dev (4:5.20.5-1)
KDE window manager - devel files
kwin-effect-xrdesktop (0.15.1-1+b1)
xrdesktop effect for KWin
kwin-style-breeze (4:5.20.5-4)
KWin Breeze Style
kwin-wayland (4:5.20.5-1)
KDE window manager, wayland version, PREVIEW release
kwin-wayland-backend
本虛擬套件由這些套件填實: kwin-wayland-backend-drm, kwin-wayland-backend-fbdev, kwin-wayland-backend-virtual, kwin-wayland-backend-x11, kwin-wayland-backend-wayland
kwin-wayland-backend-drm (4:5.20.5-1)
KDE window manager drm plugin
kwin-wayland-backend-fbdev (4:5.20.5-1)
KDE window manager fbdev plugin
kwin-wayland-backend-virtual (4:5.20.5-1)
KDE window manager virtual plugin
kwin-wayland-backend-wayland (4:5.20.5-1)
KDE window manager nested wayland plugin
kwin-wayland-backend-x11 (4:5.20.5-1)
KDE window manager x11 plugin
kwin-x11 (4:5.20.5-1)
KDE window manager, X11 version
kwordquiz (4:20.12.1-1)
flashcard learning program
kwrite (4:20.12.2-1)
simple text editor
kwrited (4:5.20.5-1)
Read and write console output to X
kwstyle (1.1.0~git20200506.b253a2b-1)
Style checker for source code
kxc (0.15-2)
Key exchange daemon -- client
kxd (0.15-2)
Key exchange daemon
kxgencert (0.15-2)
Key exchange daemon -- certificate generator
kxstitch (2.2.0-3)
Cross stitch pattern editor
kxstitch-common (2.2.0-3)
Data files, icons and documentation for kxstitch
kylin-burner (3.0.9-1)
CD/DVD burning application for UKUI
kylin-display-switch (1.0.4-1)
Gui tool for display switching
kylin-nm (3.0.1-1)
Gui Applet tool for display and edit network simply
kylin-scanner (1.0.0-1.1)
Scanning utility based on SANE
kylin-video (2.1.2-1)
Front-end for MPlayer and MPV
kyotocabinet-doc (1.2.76-4.2)
Straightforward implementation of DBM - docs
kyotocabinet-utils (1.2.76-4.2+b1)
Straightforward implementation of DBM - utilities
kytos-sphinx-theme-common (0.0.1+dfsg-2)
Theme used by kytos with sphinx -- common files
kytos-utils (2019.2-3)
command line utilities to use with Kytos
kyua (0.13-3)
testing framework for infrastructure software
l2tpd
本虛擬套件由這些套件填實: xl2tpd
l2tpns (2.3.3-2)
layer 2 tunnelling protocol network server (LNS)
labelme (4.5.7-1)
Image Polygonal Annotation with Python
labelme-examples (4.5.7-1)
Image Polygonal Annotation with Python, examples
labgrid (0.3.1-2)
Embedded board control programs
lablie (0.6.1-3)
CLI tool for printable labels generation from SVG templates
labltk (8.06.9-1+b1)
OCaml bindings to Tcl/Tk (executables)
labplot (2.8.1-2)
interactive graphing and analysis of scientific data
labplot-data (2.8.1-2)
data files for labplot
labrea (2.5-stable-3.1)
a "sticky" honeypot and IDS
laby (0.7.0-1+b1)
Learn how to program with ants and spider webs
lacheck (1.26-17)
Simple syntax checker for LaTeX
lacme (0.8.0-2+deb11u1)
ACME client written with process isolation and minimal privileges in mind
lacme-accountd (0.8.0-2+deb11u1)
lacme account key manager
ladspa-host
本虛擬套件由這些套件填實: ladspa-sdk
ladspa-plugin
本虛擬套件由這些套件填實: csladspa, cmt, ambdec, caps, blop, mcp-plugins, rev-plugins, autotalent, fil-plugins, blepvco, tap-plugins, guitarix-ladspa, invada-studio-plugins-ladspa, bs2b-ladspa, omins, lsp-plugins-ladspa, amb-plugins, wah-plugins, vco-plugins, ste-plugins, ladspa-sdk, swh-plugins
ladspa-sdk (1.15-2)
sample tools for linux-audio-dev plugin architecture
ladspa-sdk-dev
本虛擬套件由這些套件填實: ladspa-sdk
ladspalist (3.9.1~repack-4)
List LADSPA plugins for use by LADSPA UGen
ladvd (1.1.2-1+b2 [amd64], 1.1.2-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LLDP/CDP sender
lagan (2.0-6)
highly parametrizable pairwise global genome sequence aligner
lakai (0.1-2+b1)
transfers samples between a PC and an AKAI sampler
lalrpop (0.17.2-7+b1)
Convenient LR(1) parser generator
lam-dev
本虛擬套件由這些套件填實: lam4-dev
lam-mpidoc (7.1.4-6.1)
Documentation for the Message Passing Interface standard
lam-runtime (7.1.4-6.1)
LAM runtime environment for executing parallel programs
lam4-dev (7.1.4-6.1)
Development of parallel programs using LAM
lamarc (2.1.10.1+dfsg-5)
Likelihood Analysis with Metropolis Algorithm using Random Coalescence
lambda-align (1.0.3-6)
Local Aligner for Massive Biological DatA
lambda-align2 (2.0.0-9)
Local Aligner for Massive Biological DatA - v2
lambdahack (0.9.5.0-3)
tactical squad ASCII roguelike dungeon crawler game engine
lame (3.100-3)
MP3 encoding library (frontend)
lame-doc (3.100-3)
MP3 encoding library (documentation)
laminar (1.0-3)
lightweight and modular continuous integration service (metapackage)
laminarc (1.0-3)
lightweight and modular continuous integration service (client)
laminard (1.0-3)
lightweight and modular continuous integration service (server)
lammps (20210122~gita77bb+ds1-2+b1)
Molecular Dynamics Simulator
lammps-data (20210122~gita77bb+ds1-2)
Molecular Dynamics Simulator. Data (potentials)
lammps-doc (20210122~gita77bb+ds1-2)
Molecular Dynamics Simulator (documentation)
lammps-examples (20210122~gita77bb+ds1-2)
Molecular Dynamics Simulator (examples)
langdrill (0.3-8)
language drills to test vocabulary
langford-dkms (0.0.20130228-6.1)
Kernel drivers for the Per Vices Noctar IQ demodulator board
langford-utils (0.0.20130228-6.1)
Control programs for the Per Vices Noctar IQ demodulator board
laptop-detect (0.16)
system chassis type checker
laptop-mode-tools (1.74-1.1)
Tools for Power Savings based on battery/AC status
larch (1.1.2-2)
tool to copy messages from one IMAP server to another
largetifftools (1.3.10-2)
process very large TIFF files
laserboy (2016.03.15-1.1+b4)
Laser show software for soundcard operation
laserboy-indep (2016.03.15-1.1)
Laser show software for soundcard operation - data files
last-align (1179-1+b1)
genome-scale comparison of biological sequences
lastpass-cli (1.3.3-4)
command line interface to LastPass.com
lastz (1.04.03-4)
pairwise aligning DNA sequences
lastz-examples (1.04.03-4)
pairwise aligning DNA sequences (examples and test scripts)
latd (1.35+b1)
LAT (Local Area Transport) Daemon
late (0.1.0-14)
simple game of capturing balls
late-data (0.1.0-14)
data files for late game
latex-cjk-all (4.8.4+git20170127-3)
installs all LaTeX CJK packages
latex-cjk-chinese (4.8.4+git20170127-3)
Chinese module of LaTeX CJK
latex-cjk-chinese-arphic-bkai00mp (1.23+nmu1)
AR PL KaitiM Big5 fonts for CJK
latex-cjk-chinese-arphic-bsmi00lp (1.23+nmu1)
AR PL Mingti2L Big5 fonts for CJK
latex-cjk-chinese-arphic-gbsn00lp (1.23+nmu1)
AR PL SungtiL GB fonts for CJK
latex-cjk-chinese-arphic-gkai00mp (1.23+nmu1)
AR PL KaitiM GB fonts for CJK
latex-cjk-common (4.8.4+git20170127-3)
LaTeX macro package for CJK (Chinese/Japanese/Korean)
latex-cjk-japanese (4.8.4+git20170127-3)
Japanese module of LaTeX CJK
latex-cjk-japanese-wadalab (0.20050817-17.1)
type1 and tfm DNP Japanese fonts for latex-cjk
latex-cjk-korean (4.8.4+git20170127-3)
Korean module of LaTeX CJK
latex-cjk-thai (4.8.4+git20170127-3)
Thai module of LaTeX CJK
latex-coffee-stains (7-1)
Add a coffee stain to your LaTeX documents
latex-fonts-arundina (0.3.2-1)
Thai DejaVu-compatible fonts for LaTeX
latex-fonts-sipa-arundina (0.3.2-1)
transitional package
latex-make (2.4.2-1)
easy compiling of complex (and simple) LaTeX documents
latex-mk (2.1-2)
tool for managing LaTeX projects
latex209-base (25.mar.1992-21)
macro files of LaTeX 2.09 25-mar-1992 version
latex209-bin (25.mar.1992-21)
latex209 command for LaTeX 2.09 25-mar-1992 version
latex209-src (25.mar.1992-21)
source files of macros of LaTeX 2.09 25-mar-1992 version
latex2html (2021-debian1-1)
LaTeX to HTML translator
latex2rtf (2.3.18a-3)
Converts documents from LaTeX to RTF format
latex2rtf-doc (2.3.18a-3)
Converts documents from LaTeX to RTF - documentation
latexdiff (1.3.1-1)
utility to mark up significant differences between LaTeX files
latexdraw (3.3.8+ds1-1)
vector drawing program for LaTeX using PSTricks
latexila (3.22.0-1.1)
LaTeX editor designed for the GNOME desktop
latexila-data (3.22.0-1.1)
LaTeXila editor -- arch-independent files
latexmk (1:4.70b-0.2)
Perl script for running LaTeX the correct number of times
latexml (0.8.5-3)
LaTeX to XML Converter
latte-dock (0.9.11-1)
Dock based on plasma frameworks
lava (2020.12-5+deb11u2)
Linaro Automated Validation Architecture metapackage
lava-common (2020.12-5+deb11u2)
Linaro Automated Validation Architecture common
lava-coordinator (2020.12-5+deb11u2)
LAVA coordinator daemon
lava-dev (2020.12-5+deb11u2)
Linaro Automated Validation Architecture developer support
lava-dispatcher (2020.12-5+deb11u2)
Linaro Automated Validation Architecture dispatcher
lava-dispatcher-host (2020.12-5+deb11u2)
LAVA dispatcher host tools
lava-lxc-mocker (2020.12-5+deb11u2)
Linaro Automated Validation Architecture LXC Mocker
lava-server (2020.12-5+deb11u2)
Linaro Automated Validation Architecture server
lava-server-doc (2020.12-5+deb11u2)
Linaro Automated Validation Architecture documentation
lavacli (1.0-1)
LAVA XML-RPC command line interface
lazarus (2.0.10+dfsg-4)
IDE for Free Pascal - SDK dependency package
lazarus
本虛擬套件由這些套件填實: lazarus-2.0
lazarus-2.0 (2.0.10+dfsg-4)
IDE for Free Pascal - suite
lazarus-doc (2.0.10+dfsg-4)
IDE for Free Pascal - documentation dependency package
lazarus-doc
本虛擬套件由這些套件填實: lazarus-doc-2.0
lazarus-doc-2.0 (2.0.10+dfsg-4)
IDE for Free Pascal - documentation
lazarus-ide (2.0.10+dfsg-4)
IDE for Free Pascal - dependency package
lazarus-ide
本虛擬套件由這些套件填實: lazarus-ide-qt5-2.0, lazarus-ide-gtk2-2.0, lazarus-ide-2.0
lazarus-ide-2.0 (2.0.10+dfsg-4+b2)
IDE for Free Pascal - common IDE files
lazarus-ide-gtk-2.0
本虛擬套件由這些套件填實: lazarus-ide-gtk2-2.0
lazarus-ide-gtk2 (2.0.10+dfsg-4)
IDE for Free Pascal - Last GTK+ version dependency package
lazarus-ide-gtk2
本虛擬套件由這些套件填實: lazarus-ide-gtk2-2.0
lazarus-ide-gtk2-2.0 (2.0.10+dfsg-4+b2)
IDE for Free Pascal - GTK+ version
lazarus-ide-qt-2.0
本虛擬套件由這些套件填實: lazarus-ide-qt5-2.0
lazarus-ide-qt5 (2.0.10+dfsg-4)
IDE for Free Pascal - Last Qt version dependency package
lazarus-ide-qt5
本虛擬套件由這些套件填實: lazarus-ide-qt5-2.0
lazarus-ide-qt5-2.0 (2.0.10+dfsg-4+b2)
IDE for Free Pascal - Qt version
lazarus-src (2.0.10+dfsg-4)
IDE for Free Pascal - LCL source code dependency package
lazarus-src
本虛擬套件由這些套件填實: lazarus-src-2.0
lazarus-src-2.0 (2.0.10+dfsg-4)
IDE for Free Pascal - LCL source code
lazpaint-gtk2 (7.1.6-1)
Image editor with raster and vector layers (gtk2)
lazpaint-qt5 (7.1.6-1)
Image editor with raster and vector layers (qt5)
lazygal (0.10.2-1)
static web gallery generator
lbcd (3.5.2-3+b1 [amd64], 3.5.2-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Return system load via UDP for remote load balancers
lbdb (0.49)
Little Brother's DataBase for the mutt mail reader
lbreakout2 (2.6.5-2)
ball-and-paddle game with nice graphics
lbreakout2-data (2.6.5-2)
ball-and-paddle game with nice graphics (DATA FILES)
lbt (1.2.2-7)
converts from LTL formulas to Büchi automata
lbzip2 (2.5-2.1)
fast, multi-threaded bzip2 utility
lcab (1.0b12-7+b1)
create cabinet (.cab) archives
lcalc (1.23+dfsg-11+b1)
program for calculating with L-functions
lcas-interface (1.3.19-2.1)
Local Centre Authorization Service API
lcas-lcmaps-gt4-interface (0.3.1-1+b2 [amd64], 0.3.1-1+b1 [mips64el], 0.3.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Mapping interface between Globus Toolkit and LCAS/LCMAPS
lcd4linux (0.11.0~svn1203-3)
Grabs information and displays it on an external lcd
lcdf-typetools (2.108-2)
tools for OpenType, multiple-master, and Type 1 fonts
lcdproc (0.5.9-6)
LCD display driver daemon and clients
lcdproc-extra-drivers (0.5.9-6)
extra drivers for the LCD display driver daemon
lcl (2.0.10+dfsg-4)
Lazarus Components Library - LCL dependency package
lcl
本虛擬套件由這些套件填實: lcl-2.0
lcl-2.0 (2.0.10+dfsg-4+b2)
Lazarus Components Library - LCL suite
lcl-gtk
本虛擬套件由這些套件填實: lcl-gtk2-2.0
lcl-gtk2 (2.0.10+dfsg-4)
Lazarus Components Library - GTK+ backend dependency package
lcl-gtk2
本虛擬套件由這些套件填實: lcl-gtk2-2.0
lcl-gtk2-2.0 (2.0.10+dfsg-4+b2)
Lazarus Components Library - GTK+ backend
lcl-nogui (2.0.10+dfsg-4)
Lazarus Components Library - no GUI backend dependency package
lcl-nogui
本虛擬套件由這些套件填實: lcl-nogui-2.0
lcl-nogui-2.0 (2.0.10+dfsg-4+b2)
Lazarus Components Library - no GUI backend
lcl-qt
本虛擬套件由這些套件填實: lcl-qt5-2.0
lcl-qt5 (2.0.10+dfsg-4)
Lazarus Components Library - Qt backend dependency package
lcl-qt5
本虛擬套件由這些套件填實: lcl-qt5-2.0
lcl-qt5-2.0 (2.0.10+dfsg-4+b2)
Lazarus Components Library - Qt backend
lcl-units (2.0.10+dfsg-4)
Lazarus Components Library - backend independent components dependency package
lcl-units
本虛擬套件由這些套件填實: lcl-units-2.0
lcl-units-2.0 (2.0.10+dfsg-4+b2)
Lazarus Components Library - backend independent components
lcl-utils (2.0.10+dfsg-4)
Lazarus Components Library - command line build tools dependency package
lcl-utils
本虛擬套件由這些套件填實: lcl-utils-2.0
lcl-utils-2.0 (2.0.10+dfsg-4+b2)
Lazarus Components Library - command line build tools
lcmaps-basic-interface (1.6.6-2.1)
LCMAPS header files for basic interfaces
lcmaps-globus-interface (1.6.6-2.1)
LCMAPS header files for Globus interfaces
lcmaps-interface
本虛擬套件由這些套件填實: lcmaps-globus-interface
lcmaps-openssl-interface (1.6.6-2.1)
LCMAPS header files for OpenSSL interfaces
lcmaps-plugins-basic-bandn (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
LCMAPS plugin to ban a user based on the Subject DN
lcmaps-plugins-basic-dummy (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Dummy LCMAPS plug-ins
lcmaps-plugins-basic-ldap (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
LCMAPS plug-in to update ldap according to credentials
lcmaps-plugins-basic-localaccount (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Localaccount LCMAPS plug-in
lcmaps-plugins-basic-poolaccount (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Poolaccount LCMAPS plug-in
lcmaps-plugins-basic-posixenf (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
LCMAPS plug-in to switch user identity
lcmaps-plugins-jobrep (1.5.6-1.1)
Jobrepository plugin for the LCMAPS authorization framework
lcmaps-plugins-jobrep-admin (1.5.6-1.1)
Jobrepository database setup tools
lcmaps-plugins-verify-proxy (1.5.10-2+b2 [amd64], 1.5.10-2+b1 [mips64el], 1.5.10-2 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Proxy verification plugin for LCMAPS
lcmaps-plugins-voms (1.7.1-1+b2 [amd64], 1.7.1-1+b1 [mips64el], 1.7.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
VOMS plugins for the LCMAPS authorization framework
lcov (1.14-2)
Summarise Code coverage information from GCOV
ld10k1 (1.2.2-1)
ALSA emu10k1/2 patch loader
ldap-account-manager (8.0.1-0+deb11u1)
webfrontend for managing accounts in an LDAP directory
ldap-account-manager-lamdaemon (8.0.1-0+deb11u1)
Quota and home directory management for LDAP Account Manager
ldap-client
本虛擬套件由這些套件填實: ldap-utils
ldap-git-backup (1.0.8-1.1)
Back up LDAP database in an Git repository
ldap-haskell-doc
本虛擬套件由這些套件填實: libghc-ldap-doc
ldap-server
本虛擬套件由這些套件填實: slapd
ldap-utils (2.4.57+dfsg-3+deb11u1)
OpenLDAP utilities
ldap2dns (0.3.1-3.2+b1)
LDAP based DNS management system
ldap2zone (0.2-11+deb11u1)
Extract DNS zones from LDAP trees
ldapscripts (2.0.8-2)
Add and remove users and groups (stored in a LDAP directory)
ldapvi (1.7-10+b5)
perform an LDAP search and update results using a text editor
ldb-tools (2:2.2.3-2~deb11u2)
LDAP-like embedded database - tools
ldc (1:1.24.0-2)
LLVM D Compiler
ldh-gui-suite (0.1~20200908-4)
graphical clients for Liberty Deckplan Host services
ldirectord (1:4.7.0-1)
Monitors virtual services provided by LVS
ldmtool (0.2.4-3)
tool for managing Microsoft Windows dynamic disks
ldnsutils (1.7.1-2+b1)
ldns library for DNS programming
ldp-docbook-dsssl (0.0.20040321-3)
The Linux Documentation Project's DSSSL stylesheets
ldp-docbook-xsl (0.0.20040321-3)
The Linux Documentation Project's XSL stylesheets
ldraw-mklist (2003+ds-1) [non-free]
LDraw mklist program
ldraw-parts (2003+ds-1) [non-free]
LDraw parts library
le (1.16.8-0.1)
Text editor with block and binary operations
le-dico-de-rene-cougnenc (1.3-2.3+b1 [amd64], 1.3-2.3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
the "Dico" by Rene Cougnenc
leaff (0~20150903+r2013-8+b1)
biological sequence library utilities and applications
leafnode (1.11.11-3)
NNTP server for small sites
leaktracer (2.4-6+b1)
Simple and efficient memory-leak tracer for C++ programs
leap-archive-keyring (2017.11.24+nmu1)
OpenPGP archive key for the leap.se software repositories
leap-keyring
本虛擬套件由這些套件填實: leap-archive-keyring
leave (1.12-2.1+b1)
Reminds you when you have to leave
lebiniou (3.54.1-1)
user-friendly, powerful music visualization / VJing tool
lebiniou-data (3.54.1-1)
datafiles for Le Biniou
lecm (0.0.9-1)
Let's Encrypt Certificate Manager
ledger (3.2.1-7+b2)
command-line double-entry accounting program
ledger-autosync (1.0.2-1)
automated synchronization between OFX providers and ledger files
ledger-wallets-udev (0.3)
udev rules for Ledger wallets
ledger2beancount (2.5-1)
Convert Ledger-based textual ledgers to Beancount ones
ledgersmb (1.6.9+ds-2+deb11u3)
financial accounting and ERP program
ledit (2.04-5)
line editor for interactive programs
ledmon (0.95-1)
Enclosure LED Utilities
leds-alix-source (0.0.1-1.3)
Source for the LEDs driver of PCEngines ALIX 2/3 boards
leds-modules
本虛擬套件由這些套件填實: leds-modules-5.10.0-28-armmp-di, leds-modules-5.10.0-25-armmp-di, leds-modules-5.10.0-25-arm64-di, leds-modules-5.10.0-27-marvell-di, leds-modules-5.10.0-26-marvell-di, leds-modules-5.10.0-28-marvell-di, leds-modules-5.10.0-26-armmp-di, leds-modules-5.10.0-27-armmp-di, leds-modules-5.10.0-28-arm64-di, leds-modules-5.10.0-26-arm64-di, leds-modules-5.10.0-25-marvell-di, leds-modules-5.10.0-27-arm64-di
leds-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
LED modules
leds-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
LED modules
leds-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
LED modules
leds-modules-5.10.0-26-arm64-di (5.10.197-1)
LED modules
leds-modules-5.10.0-26-armmp-di (5.10.197-1)
LED modules
leds-modules-5.10.0-26-marvell-di (5.10.197-1)
LED modules
leds-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
LED modules
leds-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
LED modules
leds-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
LED modules
leds-modules-5.10.0-28-arm64-di (5.10.209-2)
LED modules
leds-modules-5.10.0-28-armmp-di (5.10.209-2)
LED modules
leds-modules-5.10.0-28-marvell-di (5.10.209-2)
LED modules
leela-zero (0.17-1+b2)
Go engine with no human-provided knowledge, modeled after the AlphaGo Zero paper
lefse (1.0.8-3)
determine features of organisms, clades, taxonomic units, genes
legit (1.2.0.post0-1)
Git extension to assist in manipulating branches
lego (3.2.0-3.1+b5)
Let's Encrypt client
leiningen (2.9.1-5)
Automation tool and dependency manager for Clojure projects
lektor (3.1.3-1)
Python-based static website generator
lemon (3.34.1-3)
LALR(1) Parser Generator for C or C++
lemonbar (1.4-1)
lightweight bar based on XCB
lemonldap-ng (2.0.11+ds-4+deb11u5)
OpenID-Connect, CAS and SAML compatible Web-SSO system
lemonldap-ng-doc (2.0.11+ds-4+deb11u5)
Lemonldap::NG Web-SSO system documentation
lemonldap-ng-fastcgi-server (2.0.11+ds-4+deb11u5)
Lemonldap::NG FastCGI server
lemonldap-ng-handler (2.0.11+ds-4+deb11u5)
Lemonldap::NG handler part
lemonldap-ng-uwsgi-app (2.0.11+ds-4+deb11u5)
Lemonldap::NG uWSGI server
leocad (19.07.1-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 19.07.1-1 [armel, armhf])
virtual brick CAD software
lepton-eda (1.9.13-4)
Lepton Electronic Design Automation (metapackage)
leptonica-progs (1.79.0-1.1+deb11u1)
sample programs for Leptonica image processing library
lesana (0.8.1-1)
manage collection inventories through yaml files
less (551-2)
pager program similar to more
letsencrypt
本虛擬套件由這些套件填實: certbot
letterize (1.4-2+b1 [amd64], 1.4-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
phone digits to letter-mnemonics
levee (3.5a-4+b1)
very small vi clone
leveldb-doc (1.22-3)
LevelDB documentation
lexicon (3.3.17-1)
CLI for manipulating DNS records on various DNS providers (Python 3)
lfm (3.1-2)
simple but powerful file manager for the UNIX console
lft (3.91-1)
layer-four traceroute
lftp (4.8.4-2+b1)
Sophisticated command-line FTP/HTTP/BitTorrent client programs
lgc-pg (1.4.4-1)
LGeneral converter for Panzer General game data
lgeneral (1.4.4-1)
strategy game in the tradition of Panzer General
lgeneral-data (1.1-2)
strategy game in the tradition of Panzer General -- data
lgogdownloader (3.7-1+b4)
downloader for GOG.com files
lgrind (3.67-4) [non-free]
A pretty printer for various programming languages
lha
本虛擬套件由這些套件填實: lhasa, jlha-utils
lhasa (0.3.1-3)
lzh archive decompressor
lhs2tex (1.24-1)
Generates LaTeX code from literate Haskell sources
lib++dfb-1.7-7 (1.7.7-9)
direct frame buffer graphics (++DFB shared library)
lib-rxtx-java
本虛擬套件由這些套件填實: librxtx-java
lib32asan5 (9.3.0-22)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan5-amd64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan5-ppc64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan5-s390x-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan5-sparc64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan5-x32-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan6 (10.2.1-6)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan6-amd64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan6-ppc64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan6-s390x-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan6-sparc64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32asan6-x32-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (32bit)
lib32atomic1 (10.2.1-6)
support library providing __atomic built-in functions (32bit)
lib32atomic1-amd64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-mips64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-mips64el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-mips64r6-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-mips64r6el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-ppc64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-s390x-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-sparc64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32atomic1-x32-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (32bit)
lib32c-dev
本虛擬套件由這些套件填實: libc6-dev-i386, libc6-dev-s390, libc6-dev-mips32
lib32c-dev-amd64-cross
本虛擬套件由這些套件填實: libc6-dev-i386-amd64-cross
lib32c-dev-mips64-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64-cross
lib32c-dev-mips64el-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64el-cross
lib32c-dev-mips64r6-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64r6-cross
lib32c-dev-mips64r6el-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64r6el-cross
lib32c-dev-mipsn32-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32-cross
lib32c-dev-mipsn32el-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32el-cross
lib32c-dev-mipsn32r6-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32r6-cross
lib32c-dev-mipsn32r6el-cross
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32r6el-cross
lib32c-dev-ppc64-cross
本虛擬套件由這些套件填實: libc6-dev-powerpc-ppc64-cross
lib32c-dev-s390x-cross
本虛擬套件由這些套件填實: libc6-dev-s390-s390x-cross
lib32c-dev-sparc64-cross
本虛擬套件由這些套件填實: libc6-dev-sparc-sparc64-cross
lib32c-dev-x32-cross
本虛擬套件由這些套件填實: libc6-dev-i386-x32-cross
lib32gcc-10-dev (10.2.1-6)
GCC support library (32 bit development files)
lib32gcc-10-dev-amd64-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-mips64-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-mips64el-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-mips64r6-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-mips64r6el-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-ppc64-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-s390x-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-sparc64-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-10-dev-x32-cross (10.2.1-6cross1)
GCC support library (32 bit development files)
lib32gcc-9-dev (9.3.0-22)
GCC support library (32 bit development files)
lib32gcc-9-dev-amd64-cross (9.3.0-22cross1)
GCC support library (32 bit development files)
lib32gcc-9-dev-ppc64-cross (9.3.0-22cross1)
GCC support library (32 bit development files)
lib32gcc-9-dev-s390x-cross (9.3.0-22cross1)
GCC support library (32 bit development files)
lib32gcc-9-dev-sparc64-cross (9.3.0-22cross1)
GCC support library (32 bit development files)
lib32gcc-9-dev-x32-cross (9.3.0-22cross1)
GCC support library (32 bit development files)
lib32gcc-s1 (10.2.1-6)
GCC support library (32 bit Version)
lib32gcc-s1-amd64-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-amd64-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-amd64-cross
lib32gcc-s1-mips64-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-mips64-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-mips64-cross
lib32gcc-s1-mips64el-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-mips64el-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-mips64el-cross
lib32gcc-s1-mips64r6-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-mips64r6-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-mips64r6-cross
lib32gcc-s1-mips64r6el-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-mips64r6el-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-mips64r6el-cross
lib32gcc-s1-ppc64-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-ppc64-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-ppc64-cross
lib32gcc-s1-s390x-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-s390x-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-s390x-cross
lib32gcc-s1-sparc64-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-sparc64-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-sparc64-cross
lib32gcc-s1-x32-cross (10.2.1-6cross1)
GCC support library (32 bit Version)
lib32gcc-s1-x32-dcv1
本虛擬套件由這些套件填實: lib32gcc-s1-x32-cross
lib32gcc1-amd64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-amd64-cross
lib32gcc1-mips64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-mips64-cross
lib32gcc1-mips64el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-mips64el-cross
lib32gcc1-mips64r6-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-mips64r6-cross
lib32gcc1-mips64r6el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-mips64r6el-cross
lib32gcc1-ppc64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-ppc64-cross
lib32gcc1-s390x-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-s390x-cross
lib32gcc1-sparc64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-sparc64-cross
lib32gcc1-x32-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib32gcc-s1-x32-cross
lib32gfortran-10-dev (10.2.1-6)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-9-dev (9.3.0-22)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-9-dev-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-9-dev-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-9-dev-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (32bit development files)
lib32gfortran5 (10.2.1-6)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32gfortran5-x32-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (32bit)
lib32go-10-dev (10.2.1-6)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-9-dev (9.3.0-22)
Runtime library for GNU Go applications (32bit development files)
lib32go-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-9-dev-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-9-dev-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-9-dev-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit development files)
lib32go14 (9.3.0-22)
Runtime library for GNU Go applications (32bit)
lib32go14-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit)
lib32go14-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit)
lib32go14-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit)
lib32go14-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit)
lib32go14-x32-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (32bit)
lib32go16 (10.2.1-6)
Runtime library for GNU Go applications (32bit)
lib32go16-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32go16-x32-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (32bit)
lib32gomp1 (10.2.1-6)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-amd64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-mips64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-mips64el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-mips64r6-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-mips64r6el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-ppc64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-s390x-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-sparc64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gomp1-x32-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (32bit)
lib32gphobos-10-dev (10.2.1-6)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-amd64-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-mips64-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-mips64el-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-mips64r6-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-mips64r6el-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-ppc64-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-s390x-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-10-dev-x32-cross (10.2.1-6cross1)
Phobos D standard library (32bit development files)
lib32gphobos-9-dev (9.3.0-22)
Phobos D standard library (32bit development files)
lib32gphobos-9-dev-amd64-cross (9.3.0-22cross1)
Phobos D standard library (32bit development files)
lib32gphobos-9-dev-s390x-cross (9.3.0-22cross1)
Phobos D standard library (32bit development files)
lib32gphobos-9-dev-x32-cross (9.3.0-22cross1)
Phobos D standard library (32bit development files)
lib32gphobos1 (10.2.1-6)
Phobos D standard library (runtime library)
lib32gphobos1-amd64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-mips64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-mips64el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-mips64r6-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-mips64r6el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-ppc64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-s390x-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos1-x32-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib32gphobos76 (9.3.0-22)
Phobos D standard library (runtime library)
lib32gphobos76-amd64-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
lib32gphobos76-s390x-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
lib32gphobos76-x32-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
lib32itm1 (10.2.1-6)
GNU Transactional Memory Library (32bit)
lib32itm1-amd64-cross (10.2.1-6cross1)
GNU Transactional Memory Library (32bit)
lib32itm1-ppc64-cross (10.2.1-6cross1)
GNU Transactional Memory Library (32bit)
lib32itm1-s390x-cross (10.2.1-6cross1)
GNU Transactional Memory Library (32bit)
lib32itm1-sparc64-cross (10.2.1-6cross1)
GNU Transactional Memory Library (32bit)
lib32itm1-x32-cross (10.2.1-6cross1)
GNU Transactional Memory Library (32bit)
lib32lsan0 (10.2.1-6)
LeakSanitizer -- a memory leak detector (32bit)
lib32lsan0-amd64-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (32bit)
lib32lsan0-ppc64-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (32bit)
lib32ncurses-dev (6.2+20201114-2+deb11u2)
developer's libraries for ncurses (32-bit)
lib32ncurses5-dev
本虛擬套件由這些套件填實: lib32ncurses-dev
lib32ncurses6 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (32-bit)
lib32ncursesw5-dev
本虛擬套件由這些套件填實: lib32ncurses-dev
lib32ncursesw6 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (wide character support) (32-bit)
lib32objc-10-dev (10.2.1-6)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-9-dev (9.3.0-22)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-9-dev-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-9-dev-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-9-dev-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (32bit development files)
lib32objc4 (10.2.1-6)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32objc4-x32-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (32bit)
lib32quadmath0 (10.2.1-6)
GCC Quad-Precision Math Library (32bit)
lib32quadmath0-amd64-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library (32bit)
lib32quadmath0-x32-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library (32bit)
lib32readline-dev (8.1-1)
GNU readline and history libraries, development files (32-bit)
lib32readline6-dev
本虛擬套件由這些套件填實: lib32readline-dev
lib32readline8 (8.1-1)
GNU readline and history libraries, run-time libraries (32-bit)
lib32stdc++-10-dev (10.2.1-6)
GNU Standard C++ Library v3 (development files)
lib32stdc++-10-dev-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (amd64
lib32stdc++-10-dev-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64
lib32stdc++-10-dev-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64el
lib32stdc++-10-dev-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64r6
lib32stdc++-10-dev-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64r6el
lib32stdc++-10-dev-ppc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (ppc64
lib32stdc++-10-dev-s390x-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (s390x
lib32stdc++-10-dev-sparc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (sparc64
lib32stdc++-10-dev-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (x32
lib32stdc++-9-dev (9.3.0-22)
GNU Standard C++ Library v3 (development files)
lib32stdc++-9-dev-amd64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (amd64
lib32stdc++-9-dev-ppc64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (ppc64
lib32stdc++-9-dev-s390x-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (s390x
lib32stdc++-9-dev-sparc64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (sparc64
lib32stdc++-9-dev-x32-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (x32
lib32stdc++6 (10.2.1-6)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-10-dbg (10.2.1-6)
GNU Standard C++ Library v3 (debug build)
lib32stdc++6-9-dbg (9.3.0-22)
GNU Standard C++ Library v3 (debug build)
lib32stdc++6-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-amd64-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-amd64-cross
lib32stdc++6-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-mips64-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-mips64-cross
lib32stdc++6-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-mips64el-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-mips64el-cross
lib32stdc++6-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-mips64r6-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-mips64r6-cross
lib32stdc++6-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-mips64r6el-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-mips64r6el-cross
lib32stdc++6-ppc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-ppc64-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-ppc64-cross
lib32stdc++6-s390x-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-s390x-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-s390x-cross
lib32stdc++6-sparc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-sparc64-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-sparc64-cross
lib32stdc++6-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (32 bit Version)
lib32stdc++6-x32-dcv1
本虛擬套件由這些套件填實: lib32stdc++6-x32-cross
lib32tinfo-dev
本虛擬套件由這些套件填實: lib32ncurses-dev
lib32tinfo6 (6.2+20201114-2+deb11u2)
shared low-level terminfo library for terminal handling (32-bit)
lib32ubsan1 (10.2.1-6)
UBSan -- undefined behaviour sanitizer (32bit)
lib32ubsan1-amd64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (32bit)
lib32ubsan1-ppc64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (32bit)
lib32ubsan1-s390x-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (32bit)
lib32ubsan1-sparc64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (32bit)
lib32ubsan1-x32-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (32bit)
lib32z-dev
本虛擬套件由這些套件填實: lib32z1-dev
lib32z1 (1:1.2.11.dfsg-2+deb11u2)
compression library - 32 bit runtime
lib32z1-dev (1:1.2.11.dfsg-2+deb11u2)
compression library - 32 bit - DO NOT USE EXCEPT FOR PACKAGING
lib3ds-1-3 (1.3.0-10)
Autodesk 3D Studio file reader C library
lib3ds-dev (1.3.0-10)
Autodesk 3D Studio file reader development files
lib3mf-dev (1.8.1+ds-4)
Lib3MF is a C++ implementation of the 3D Manufacturing Format (development)
lib3mf-doc (1.8.1+ds-4)
Lib3MF is a C++ implementation of the 3D Manufacturing Format (documentation)
lib3mf1 (1.8.1+ds-4)
Lib3MF is a C++ implementation of the 3D Manufacturing Format
lib64asan5 (9.3.0-22)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan5-i386-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan5-powerpc-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan5-x32-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan6 (10.2.1-6)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan6-i386-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan6-powerpc-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (64bit)
lib64asan6-x32-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (64bit)
lib64atomic1 (10.2.1-6)
support library providing __atomic built-in functions (64bit)
lib64atomic1-i386-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64atomic1-mips-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64atomic1-mipsel-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64atomic1-mipsr6-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64atomic1-mipsr6el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64atomic1-powerpc-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64atomic1-x32-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (64bit)
lib64c-dev
本虛擬套件由這些套件填實: libc6-dev-mips64, libc6-dev-amd64
lib64c-dev-i386-cross
本虛擬套件由這些套件填實: libc6-dev-amd64-i386-cross
lib64c-dev-mips-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mips-cross
lib64c-dev-mipsel-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsel-cross
lib64c-dev-mipsn32-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32-cross
lib64c-dev-mipsn32el-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32el-cross
lib64c-dev-mipsn32r6-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32r6-cross
lib64c-dev-mipsn32r6el-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32r6el-cross
lib64c-dev-mipsr6-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsr6-cross
lib64c-dev-mipsr6el-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsr6el-cross
lib64c-dev-powerpc-cross
本虛擬套件由這些套件填實: libc6-dev-ppc64-powerpc-cross
lib64c-dev-x32-cross
本虛擬套件由這些套件填實: libc6-dev-amd64-x32-cross
lib64gcc-10-dev (10.2.1-6)
GCC support library (64bit development files)
lib64gcc-10-dev-i386-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-10-dev-mips-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-10-dev-mipsel-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-10-dev-mipsr6-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-10-dev-mipsr6el-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-10-dev-powerpc-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-10-dev-x32-cross (10.2.1-6cross1)
GCC support library (64bit development files)
lib64gcc-9-dev (9.3.0-22)
GCC support library (64bit development files)
lib64gcc-9-dev-i386-cross (9.3.0-22cross1)
GCC support library (64bit development files)
lib64gcc-9-dev-powerpc-cross (9.3.0-22cross1)
GCC support library (64bit development files)
lib64gcc-9-dev-x32-cross (9.3.0-22cross1)
GCC support library (64bit development files)
lib64gcc-s1 (10.2.1-6)
GCC support library (64bit)
lib64gcc-s1-i386-cross (10.2.1-6cross1)
GCC support library (i386) (64bit)
lib64gcc-s1-i386-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-i386-cross
lib64gcc-s1-mips-cross (10.2.1-6cross1)
GCC support library (mips) (64bit)
lib64gcc-s1-mips-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-mips-cross
lib64gcc-s1-mipsel-cross (10.2.1-6cross1)
GCC support library (mipsel) (64bit)
lib64gcc-s1-mipsel-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-mipsel-cross
lib64gcc-s1-mipsr6-cross (10.2.1-6cross1)
GCC support library (mipsr6) (64bit)
lib64gcc-s1-mipsr6-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-mipsr6-cross
lib64gcc-s1-mipsr6el-cross (10.2.1-6cross1)
GCC support library (mipsr6el) (64bit)
lib64gcc-s1-mipsr6el-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-mipsr6el-cross
lib64gcc-s1-powerpc-cross (10.2.1-6cross1)
GCC support library (powerpc) (64bit)
lib64gcc-s1-powerpc-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-powerpc-cross
lib64gcc-s1-x32-cross (10.2.1-6cross1)
GCC support library (x32) (64bit)
lib64gcc-s1-x32-dcv1
本虛擬套件由這些套件填實: lib64gcc-s1-x32-cross
lib64gcc1-i386-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-i386-cross
lib64gcc1-mips-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-mips-cross
lib64gcc1-mipsel-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-mipsel-cross
lib64gcc1-mipsr6-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-mipsr6-cross
lib64gcc1-mipsr6el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-mipsr6el-cross
lib64gcc1-powerpc-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-powerpc-cross
lib64gcc1-x32-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: lib64gcc-s1-x32-cross
lib64gfortran-10-dev (10.2.1-6)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-9-dev (9.3.0-22)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-9-dev-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (64bit development files)
lib64gfortran5 (10.2.1-6)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-i386-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-mips-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64gfortran5-x32-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (64bit)
lib64go-10-dev (10.2.1-6)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-9-dev (9.3.0-22)
Runtime library for GNU Go applications (64bit development files)
lib64go-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-9-dev-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (64bit development files)
lib64go14 (9.3.0-22)
Runtime library for GNU Go applications (64bit)
lib64go14-i386-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (64bit)
lib64go14-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (64bit)
lib64go14-x32-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (64bit)
lib64go16 (10.2.1-6)
Runtime library for GNU Go applications (64bit)
lib64go16-i386-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64go16-mips-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64go16-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64go16-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64go16-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64go16-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64go16-x32-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (64bit)
lib64gomp1 (10.2.1-6)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-i386-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-mips-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-mipsel-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-mipsr6-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-mipsr6el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-powerpc-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gomp1-x32-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (64bit)
lib64gphobos-10-dev (10.2.1-6)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-i386-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-mips-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-mipsel-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-mipsr6-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-mipsr6el-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-powerpc-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-10-dev-x32-cross (10.2.1-6cross1)
Phobos D standard library (64bit development files)
lib64gphobos-9-dev (9.3.0-22)
Phobos D standard library (64bit development files)
lib64gphobos-9-dev-i386-cross (9.3.0-22cross1)
Phobos D standard library (64bit development files)
lib64gphobos-9-dev-x32-cross (9.3.0-22cross1)
Phobos D standard library (64bit development files)
lib64gphobos1 (10.2.1-6)
Phobos D standard library (runtime library)
lib64gphobos1-i386-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos1-mips-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos1-mipsel-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos1-mipsr6-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos1-mipsr6el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos1-powerpc-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos1-x32-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
lib64gphobos76 (9.3.0-22)
Phobos D standard library (runtime library)
lib64gphobos76-i386-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
lib64gphobos76-x32-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
lib64itm1 (10.2.1-6)
GNU Transactional Memory Library (64bit)
lib64itm1-i386-cross (10.2.1-6cross1)
GNU Transactional Memory Library (64bit)
lib64itm1-x32-cross (10.2.1-6cross1)
GNU Transactional Memory Library (64bit)
lib64ncurses-dev (6.2+20201114-2+deb11u2)
developer's libraries for ncurses (64-bit)
lib64ncurses5-dev
本虛擬套件由這些套件填實: lib64ncurses-dev
lib64ncurses6 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (64-bit)
lib64ncursesw6 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (wide character support) (64-bit)
lib64objc-10-dev (10.2.1-6)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-9-dev (9.3.0-22)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-9-dev-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (64bit development files)
lib64objc4 (10.2.1-6)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-i386-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-mips-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64objc4-x32-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (64bit)
lib64quadmath0 (10.2.1-6)
GCC Quad-Precision Math Library (64bit)
lib64quadmath0-i386-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library (64bit)
lib64quadmath0-x32-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library (64bit)
lib64readline-dev (8.1-1)
GNU readline and history libraries, development files (64-bit)
lib64readline6-dev
本虛擬套件由這些套件填實: lib64readline-dev
lib64readline8 (8.1-1)
GNU readline and history libraries, run-time libraries (64-bit)
lib64stdc++-10-dev (10.2.1-6)
GNU Standard C++ Library v3 (development files)
lib64stdc++-10-dev-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (i386)
lib64stdc++-10-dev-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips)
lib64stdc++-10-dev-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsel)
lib64stdc++-10-dev-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsr6)
lib64stdc++-10-dev-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsr6el)
lib64stdc++-10-dev-powerpc-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (powerpc)
lib64stdc++-10-dev-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (x32)
lib64stdc++-9-dev (9.3.0-22)
GNU Standard C++ Library v3 (development files)
lib64stdc++-9-dev-i386-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (i386)
lib64stdc++-9-dev-powerpc-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (powerpc)
lib64stdc++-9-dev-x32-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (x32)
lib64stdc++6 (10.2.1-6)
GNU Standard C++ Library v3 (64bit)
lib64stdc++6-10-dbg (10.2.1-6)
GNU Standard C++ Library v3 (debug build)
lib64stdc++6-9-dbg (9.3.0-22)
GNU Standard C++ Library v3 (debug build)
lib64stdc++6-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (i386) (64bit)
lib64stdc++6-i386-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-i386-cross
lib64stdc++6-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips) (64bit)
lib64stdc++6-mips-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-mips-cross
lib64stdc++6-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsel) (64bit)
lib64stdc++6-mipsel-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-mipsel-cross
lib64stdc++6-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsr6) (64bit)
lib64stdc++6-mipsr6-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-mipsr6-cross
lib64stdc++6-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsr6el) (64bit)
lib64stdc++6-mipsr6el-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-mipsr6el-cross
lib64stdc++6-powerpc-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (powerpc) (64bit)
lib64stdc++6-powerpc-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-powerpc-cross
lib64stdc++6-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (x32) (64bit)
lib64stdc++6-x32-dcv1
本虛擬套件由這些套件填實: lib64stdc++6-x32-cross
lib64tinfo6 (6.2+20201114-2+deb11u2)
shared low-level terminfo library for terminal handling (64-bit)
lib64ubsan1 (10.2.1-6)
UBSan -- undefined behaviour sanitizer (64bit)
lib64ubsan1-i386-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (64bit)
lib64ubsan1-powerpc-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (64bit)
lib64ubsan1-x32-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (64bit)
lib64z-dev
本虛擬套件由這些套件填實: lib64z1-dev
lib64z1 (1:1.2.11.dfsg-2+deb11u2)
compression library - 64 bit runtime
lib64z1-dev (1:1.2.11.dfsg-2+deb11u2)
compression library - 64 bit - DO NOT USE EXCEPT FOR PACKAGING
liba52-0.7.4 (0.7.4-20)
library for decoding ATSC A/52 streams
liba52-0.7.4-dev (0.7.4-20)
library for decoding ATSC A/52 streams (development)
liba52-dev
本虛擬套件由這些套件填實: liba52-0.7.4-dev
libaa-bin (1.4p5-48)
sample programs using aalib
libaa1 (1.4p5-48)
ASCII art library
libaa1-dev (1.4p5-48)
ASCII art library, development kit
libaacs-dev (0.9.0-2)
free-and-libre implementation of AACS (development files)
libaacs0 (0.9.0-2)
free-and-libre implementation of AACS
libaal-dev (1.0.7-1)
Reiser4's application abstraction library: Development files
libabigail-dev (1.8-1)
ABI Generic Analysis and Instrumentation Library (development files)
libabigail0 (1.8-1)
ABI Generic Analysis and Instrumentation Library (runtime)
libabiword-3.0 (3.0.4~dfsg-3)
efficient, featureful word processor with collaboration -- shared library
libabiword-dev (3.0.4~dfsg-3)
efficient, featureful word processor with collaboration -- development files
libabsl-dev (0~20200923.3-2)
extensions to the C++ standard library (development files)
libabsl20200923 (0~20200923.3-2)
extensions to the C++ standard library
libabw-0.1-1 (0.1.3-1)
library for reading and writing AbiWord(tm) documents
libabw-dev (0.1.3-1)
library for reading and writing AbiWord(tm) documents -- development
libaccess-modifier-checker-java (1.21-1)
Maven plugin for custom access modifier checking
libaccessodf-java (0.1.1~b-2)
library for checking accessibility in ODF/ODT documents using Libreoffice
libaccessors-perl (1.01-2.1)
Perl module to create accessor methods in caller's package
libaccinj64-11.2 (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA ACCINJ Library (64-bit)
libaccounts-glib-dev (1.25-1)
Accounts database access - development files
libaccounts-glib-doc (1.25-1)
Accounts database access - documentation
libaccounts-glib-tools (1.25-1)
Accounts database access - tools
libaccounts-glib0 (1.25-1)
Accounts database access - shared library
libaccounts-qt-doc (1.16-2)
Accounts database access Qt version - documentation
libaccounts-qt5-1 (1.16-2)
Accounts database access Qt version - Qt5 shared library
libaccounts-qt5-dev (1.16-2)
Accounts database access Qt version - Qt5 development files
libaccountsservice-dev (0.6.55-3)
query and manipulate user account information - header files
libaccountsservice-doc (0.6.55-3)
query and manipulate user account information - documentation
libaccountsservice0 (0.6.55-3)
query and manipulate user account information - shared libraries
libace-6.5.12 (6.5.12+dfsg-3)
C++ network programming framework
libace-dev (6.5.12+dfsg-3)
C++ network programming framework - development files
libace-doc (6.5.12+dfsg-3)
C++ network programming framework - documentation
libace-flreactor-6.5.12 (6.5.12+dfsg-3)
ACE-GUI reactor integration for FLTK
libace-flreactor-dev (6.5.12+dfsg-3)
ACE-GUI reactor integration for FLTK - development files
libace-foxreactor-6.5.12 (6.5.12+dfsg-3)
ACE-GUI reactor integration for FOX
libace-foxreactor-dev (6.5.12+dfsg-3)
ACE-GUI reactor integration for FOX - development files
libace-htbp-6.5.12 (6.5.12+dfsg-3)
ACE protocol over HTTP tunneling library
libace-htbp-dev (6.5.12+dfsg-3)
ACE protocol over HTTP tunneling library - development files
libace-inet-6.5.12 (6.5.12+dfsg-3)
ACE Inet protocol library
libace-inet-dev (6.5.12+dfsg-3)
ACE Inet protocol library - development files
libace-inet-ssl-6.5.12 (6.5.12+dfsg-3)
ACE SSL-enabled Inet protocol library
libace-inet-ssl-dev (6.5.12+dfsg-3)
ACE SSL-enabled Inet protocol library - development files
libace-perl (1.92-10)
Object-Oriented Access to ACEDB Databases
libace-rmcast-6.5.12 (6.5.12+dfsg-3)
ACE reliable multicast library
libace-rmcast-dev (6.5.12+dfsg-3)
ACE reliable multicast library - development files
libace-ssl-6.5.12 (6.5.12+dfsg-3)
ACE secure socket layer library
libace-ssl-dev (6.5.12+dfsg-3)
ACE secure socket layer library - development files
libace-tkreactor-6.5.12 (6.5.12+dfsg-3)
ACE-GUI reactor integration for Tk
libace-tkreactor-dev (6.5.12+dfsg-3)
ACE-GUI reactor integration for Tk - development files
libace-tmcast-6.5.12 (6.5.12+dfsg-3)
ACE transactional multicast library
libace-tmcast-dev (6.5.12+dfsg-3)
ACE transactional multicast library - development files
libace-xml-utils-6.5.12 (6.5.12+dfsg-3)
ACE XML utility classes and methods
libace-xml-utils-dev (6.5.12+dfsg-3)
ACE XML utility classes and methods - development files
libace-xtreactor-6.5.12 (6.5.12+dfsg-3)
ACE-GUI reactor integration for Xt
libace-xtreactor-dev (6.5.12+dfsg-3)
ACE-GUI reactor integration for Xt - development files
libacexml-6.5.12 (6.5.12+dfsg-3)
ACE SAX based XML parsing library
libacexml-dev (6.5.12+dfsg-3)
ACE SAX based XML parsing library - development files
libacl1 (2.2.53-10)
access control list - shared library
libacl1-dev (2.2.53-10)
access control list - static libraries and headers
libacl1-udeb (2.2.53-10)
access control list - udeb shared library
libacme-bleach-perl (1.150-2.1)
Perl module for really clean programs
libacme-brainfck-perl (1.1.1-2.1)
Embed Brainf*ck in your perl code
libacme-brainfuck-perl
本虛擬套件由這些套件填實: libacme-brainfck-perl
libacme-constant-perl (0.1.3-1.1)
module that makes inconstant constants, except actually not
libacme-damn-perl (0.08-1+b5)
Perl module to unbless objects
libacme-eyedrops-perl (1.62-1.1)
funny way for visual programming in Perl
libacme-poe-knee-perl (1.12-2)
Time sliced pony race using the POE event loop
libacpi-dev (0.2-7)
development files for libacpi
libacpi0 (0.2-7)
general purpose library for ACPI
libacsccid1 (1.1.8-1)
PC/SC driver for ACS USB CCID smart card readers
libactionlib-dev (1.13.2-6)
Robot OS actionlib library - development files
libactionlib-msgs-dev (1.13.0-2)
Messages relating to the Robot OS actionlib, C/C++ interface
libactionlib1d (1.13.2-6)
Robot OS actionlib library
libactivation-java (1.2.0-2)
JavaBeans Activation Framework
libactivemq-activeio-java (3.1.4-3)
ActiveMQ ActiveIO protocol implementation framework
libactivemq-activeio-java-doc (3.1.4-3)
ActiveMQ ActiveIO protocol implementation framework - documentation
libactivemq-java (5.16.1-1)
Java message broker core libraries
libactivemq-protobuf-java (1.1-6)
ActiveMQ Protocol Buffers Maven plugin
libactivemq-protobuf-java-doc (1.1-6)
ActiveMQ Protocol Buffers Maven plugin - documentation
libad9361-0 (0.2-1)
Library of functions specific to the Analog Devices AD9361
libad9361-dev (0.2-1)
Development files specific to the Analog Devices AD9361
libadacgi4 (1.6-26+b1)
Ada CGI interface: shared library
libadacgi4-dev (1.6-26+b1)
Ada CGI interface: development
libadasockets10 (1.12-2)
bindings for socket services in Ada: shared library
libadasockets10-dev (1.12-2)
bindings for socket services in Ada: development files
libaddresses-dev (0.4.8-3+b2)
Database API backend framework for GNUstep (development files)
libaddresses0 (0.4.8-3+b2)
Database API backend framework for GNUstep (library files)
libaddressview-dev (0.4.8-3+b2)
Address display/edit framework for GNUstep (development files)
libaddressview0 (0.4.8-3+b2)
Address display/edit framework for GNUstep (library files)
libadios-bin (1.13.1-28.2)
ADIOS Adaptable IO system for simulations - binaries
libadios-dev (1.13.1-28.2)
ADIOS Adaptable IO system for simulations
libadios-examples (1.13.1-28.2)
Examples for the ADIOS Adaptable IO system
libadios-mpich-dev (1.13.1-28.2)
ADIOS Adaptable IO system (MPICH development files)
libadios-openmpi-dev (1.13.1-28.2)
ADIOS Adaptable IO system (OpenMPI development files)
libadmesh-dev (0.98.4-1)
Tool for processing triangulated solid meshes. Development files
libadmesh1 (0.98.4-1)
Tool for processing triangulated solid meshes. Shared library
libadms0 (2.3.6-3)
Shared library for automatic device model synthesizer
libadns1 (1.6.0-2)
Asynchronous-capable DNS client library
libadns1-bin
本虛擬套件由這些套件填實: adns-tools
libadns1-dbg (1.6.0-2)
Asynchronous-capable DNS client library (debugging symbols)
libadns1-dev (1.6.0-2)
Asynchronous-capable DNS client library (development)
libadolc-dev (2.7.2-2)
ADOLC development libs and headers
libadolc2 (2.7.2-2)
ADOLC automatic differentiation system, runtime libs
libadplug-2.3.3-0 (2.3.3+dfsg-2)
free AdLib sound library
libadplug-dev (2.3.3+dfsg-2)
free AdLib sound library (development)
libadwaitaqt-dev (1.2.0-2)
Qt 5 port of GNOME’s Adwaita theme — development files
libadwaitaqt1 (1.2.0-2)
Qt 5 port of GNOME’s Adwaita theme — public library
libadwaitaqtpriv1 (1.2.0-2)
Qt 5 port of GNOME’s Adwaita theme — private library
libaec-dev (1.0.4-1)
Development files for the Adaptive Entropy Coding library
libaec-tools (1.0.4-1)
Adaptive Entropy Coding library (utilies)
libaec0 (1.0.4-1)
Adaptive Entropy Coding library
libaether-ant-tasks-java (1.0.1-5)
Aether Ant Tasks
libafflib-dev (3.7.19-1)
Advanced Forensics Format Library (development files)
libafflib0v5 (3.7.19-1)
Advanced Forensics Format Library
libafs-pag-perl (1.02-2+b3)
Perl bindings for AFS PAG manipulation
libafsauthent2 (1.8.6-5)
AFS distributed file system runtime library (authentication)
libafsrpc2 (1.8.6-5)
AFS distributed file system runtime library (RPC layer)
libafterburner.fx-java (1.7.0-3)
minimalistic JavaFX MVP framework
libafterburner.fx-java-doc (1.7.0-3)
Documentation for afterburner.fx
libafterimage-dev (2.2.12-15)
imaging library designed for AfterStep - development files
libafterimage0 (2.2.12-15)
imaging library designed for AfterStep - runtime files
libafterstep1 (2.2.12-15)
shared libraries for the AfterStep window manager
libagg-dev (1:2.6.1-r134+dfsg1-2+b1)
AntiGrain Geometry graphical toolkit (static development files)
libagg2 (1:2.6.1-r134+dfsg1-2+b1)
AntiGrain Geometry graphical toolkit (runtime files)
libagg2-dev (1:2.6.1-r134+dfsg1-2+b1)
AntiGrain Geometry graphical toolkit (static and shared development files)
libagrep-ocaml (1.0-13+b2)
Wu-Manber algorithm for string searching with errors
libagrep-ocaml-15jh7
本虛擬套件由這些套件填實: libagrep-ocaml
libagrep-ocaml-3k9w4
本虛擬套件由這些套件填實: libagrep-ocaml
libagrep-ocaml-dev (1.0-13+b2)
Wu-Manber algorithm for string searching with errors
libagrep-ocaml-dev-15jh7
本虛擬套件由這些套件填實: libagrep-ocaml-dev
libagrep-ocaml-dev-3k9w4
本虛擬套件由這些套件填實: libagrep-ocaml-dev
libagrep-ocaml-dev-omba8
本虛擬套件由這些套件填實: libagrep-ocaml-dev
libagrep-ocaml-dev-p2nb3
本虛擬套件由這些套件填實: libagrep-ocaml-dev
libagrep-ocaml-omba8
本虛擬套件由這些套件填實: libagrep-ocaml
libagrep-ocaml-p2nb3
本虛擬套件由這些套件填實: libagrep-ocaml
libags-audio-dev (3.7.44-3)
Advanced Gtk+ Sequencer audio processing engine (Development files)
libags-audio-doc (3.7.44-3)
Advanced Gtk+ Sequencer audio processing engine (API documentation)
libags-audio3 (3.7.44-3)
Advanced Gtk+ Sequencer audio processing library
libags-dev (3.7.44-3)
Advanced Gtk+ Sequencer core library (Development files)
libags-doc (3.7.44-3)
Advanced Gtk+ Sequencer core library (API documentation)
libags-gui-dev (3.7.44-3)
Advanced Gtk+ Sequencer widget library (Development files)
libags-gui-doc (3.7.44-3)
Advanced Gtk+ Sequencer widget library (API documentation)
libags-gui3 (3.7.44-3)
Advanced Gtk+ Sequencer widget library
libags3 (3.7.44-3)
Advanced Gtk+ Sequencer core library
libahven-doc (2.8-1)
Unit test library for Ada (documentation)
libahven30 (2.8-1)
Unit test library for Ada
libahven9-dev (2.8-1)
Unit test library for Ada (development)
libai-decisiontree-perl (0.11-1+b3)
module to create decision trees from training data
libai-fann-perl (0.10-5+b1)
Perl wrapper for the FANN library
libaiksaurus-1.2-0c2a (1.2.1+dev-0.12-6.3)
This package provides an English-language thesaurus (development)
libaiksaurus-1.2-data (1.2.1+dev-0.12-6.3)
This package provides an English-language thesaurus (data)
libaiksaurus-1.2-dev (1.2.1+dev-0.12-6.3)
This package provides an English-language thesaurus (development files)
libaiksaurus-bin
本虛擬套件由這些套件填實: aiksaurus
libaiksaurus-data
本虛擬套件由這些套件填實: libaiksaurus-1.2-data
libaiksaurus-dev
本虛擬套件由這些套件填實: libaiksaurus-1.2-dev
libaiksaurusgtk-1.2-0c2a (1.2.1+dev-0.12-6.3)
graphical interface to the Aiksaurus toolkit (library)
libaiksaurusgtk-1.2-dev (1.2.1+dev-0.12-6.3)
graphical interface to the Aiksaurus toolkit (development)
libaiksaurusgtk-bin
本虛擬套件由這些套件填實: gaiksaurus
libaiksaurusgtk-dev
本虛擬套件由這些套件填實: libaiksaurusgtk-1.2-dev
libaio-dev (0.3.112-9)
Linux kernel AIO access library - development files
libaio1 (0.3.112-9)
Linux kernel AIO access library - shared library
libaio1-udeb (0.3.112-9)
Linux kernel AIO access library - shared library
libair-modes1 (0.0.20190917-2+b5)
Gnuradio Mode-S/ADS-B radio - library
libairline-java (0.7-3)
Java annotation-based framework for parsing command line parameters
libairspy-dev (1.0.9-4)
Tiny and efficient software defined radio receiver - development
libairspy0 (1.0.9-4)
Tiny and efficient software defined radio receiver - library
libairspyhf-dev (1.6.8-3)
HF+VHF software defined radio receiver - development
libairspyhf1 (1.6.8-3)
HF+VHF software defined radio receiver - library
libais-tools (0.17+git.20190917.master.e464cf8-2)
Cli tools for decoding maritime Automatic Identification System messages
libajaxtags-java (1.5.1-3.1)
Java based library supporting AJAX in Java Server Pages
libakai0 (4.2.0~ds1-2)
library for loading and modifying akai files
libakuma-java (1.10-3)
Embeddable daemonization library for Java
libakuma-java-doc (1.10-3)
Documentation for Embeddable daemonization library
libalberta-dev (3.0.1-2)
adaptive finite element library (development files)
libalberta4 (3.0.1-2)
adaptive finite element library (library)
libaldmb1 (1:0.9.3-6+b3)
dynamic universal music bibliotheque, Allegro version
libaldmb1-dev (1:0.9.3-6+b3)
development files for libaldmb1
libalglib-dev (3.17.0-2)
Development files for the alglib library
libalglib3.17 (3.17.0-2)
Numerical analysis and data processing library
libalgorithm-c3-perl (0.11-1)
Perl module for merging hierarchies using the C3 algorithm
libalgorithm-checkdigits-perl (0.50-1.1)
Perl extension to generate and test check digits
libalgorithm-combinatorics-perl (0.27-2+b7)
module for the efficient generation of combinatorial sequences
libalgorithm-dependency-perl (1.110-1.2)
Base class for implementing various dependency trees in Perl
libalgorithm-diff-perl (1.201-1)
module to find differences between files
libalgorithm-diff-xs-perl (0.04-6+b1)
module to find differences between files (XS accelerated)
libalgorithm-lbfgs-perl (0.16-2+b7)
Perl interface to an L-BFGS non-linear optimization algorithm
libalgorithm-merge-perl (0.08-3)
Perl module for three-way merge of textual data
libalgorithm-munkres-perl (0.08-3)
extension for Munkres' solution to Assignment problem
libalgorithm-naivebayes-perl (0.04-1.1)
module to make bayesian prediction of categories
libalgorithm-numerical-sample-perl (2010011201-2)
Draw samples from a set
libalgorithm-permute-perl (0.16-1+b3)
module to perform permutations with object oriented interface
libalgorithm-svm-perl (0.13-2+b5 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.13-2+b3 [armel, armhf])
bindings for the libsvm Support Vector Machine library
libalgorithms1 (2.6.7.6+ds-3+b1)
GDAL add-on tools to perform useful raster processing - libalgorithms
libalias-perl (2.32-11+b7)
module for accessing perl variables through aliases
libaliased-perl (0.34-1.1)
Perl module to provide aliases of class names
libalien-gnuplot-perl (1.033-1)
module to find and validate the gnuplot executable
libalien-sdl-dev-perl (1.446-3.1)
helper to build Perl program using SDL libraries
libalien-sdl-perl (1.446-3.1)
helper to get, build and use SDL libraries
libalien-wxwidgets-perl (0.69+dfsg-3+b2)
Perl module for locating wxWidgets binaries
libalkimia5-7 (7.0.2-2)
Financial library common classes for KDE SC
libalkimia5-dev (7.0.2-2)
Financial library - Development files
liballeggl4-dev (2:4.4.3.1-2)
development files for the allegrogl library
liballeggl4.4 (2:4.4.3.1-2)
library to mix OpenGL graphics with Allegro routines
liballegro-acodec5-dev (2:5.2.6.0-3)
header files for the Allegro 5 audio codec addon
liballegro-acodec5.2 (2:5.2.6.0-3)
audio codec addon for the Allegro 5 library
liballegro-audio5-dev (2:5.2.6.0-3)
header files for the Allegro 5 audio addon
liballegro-audio5.2 (2:5.2.6.0-3)
audio addon for the Allegro 5 library
liballegro-dev
本虛擬套件由這些套件填實: liballegro4-dev
liballegro-dialog5-dev (2:5.2.6.0-3)
header files for the Allegro 5 dialog addon
liballegro-dialog5.2 (2:5.2.6.0-3)
dialog addon for the Allegro 5 library
liballegro-image5-dev (2:5.2.6.0-3)
header files for the Allegro 5 image addon
liballegro-image5.2 (2:5.2.6.0-3)
image addon for the Allegro 5 library
liballegro-physfs5-dev (2:5.2.6.0-3)
header files for the Allegro 5 physfs addon
liballegro-physfs5.2 (2:5.2.6.0-3)
physfs addon for the Allegro 5 library
liballegro-ttf5-dev (2:5.2.6.0-3)
header files for the Allegro 5 ttf addon
liballegro-ttf5.2 (2:5.2.6.0-3)
ttf addon for the Allegro 5 library
liballegro-video5-dev (2:5.2.6.0-3)
header files for the Allegro 5 video addon
liballegro-video5.2 (2:5.2.6.0-3)
video addon for the Allegro 5 library
liballegro4-dev (2:4.4.3.1-2)
development files for the Allegro library
liballegro4.2-dev
本虛擬套件由這些套件填實: liballegro4-dev
liballegro4.4 (2:4.4.3.1-2)
portable library for cross-platform game and multimedia development
liballegro5-dev (2:5.2.6.0-3)
development files for the Allegro 5 library
liballegro5.2 (2:5.2.6.0-3)
portable library for cross-platform game and multimedia development
liballelecount-perl (4.2.1-1)
Perl interface to NGS copy number algorithms
libalog-doc (0.6.1-4)
Logging framework for Ada (documentation)
libalog0.6.2 (0.6.1-4)
Logging framework for Ada
libalog6-dev (0.6.1-4)
Logging framework for Ada (development)
libalsa-ocaml (0.3.0-1+b1)
OCaml bindings for the ALSA library
libalsa-ocaml-6r625
本虛擬套件由這些套件填實: libalsa-ocaml
libalsa-ocaml-cb2a9
本虛擬套件由這些套件填實: libalsa-ocaml
libalsa-ocaml-cnp72
本虛擬套件由這些套件填實: libalsa-ocaml
libalsa-ocaml-dev (0.3.0-1+b1)
OCaml bindings for the ALSA library
libalsa-ocaml-dev-6r625
本虛擬套件由這些套件填實: libalsa-ocaml-dev
libalsa-ocaml-dev-cb2a9
本虛擬套件由這些套件填實: libalsa-ocaml-dev
libalsa-ocaml-dev-cnp72
本虛擬套件由這些套件填實: libalsa-ocaml-dev
libalsa-ocaml-dev-z5137
本虛擬套件由這些套件填實: libalsa-ocaml-dev
libalsa-ocaml-z5137
本虛擬套件由這些套件填實: libalsa-ocaml
libalsaplayer-dev (0.99.81-2+b1)
alsaplayer plugin library (development files)
libalsaplayer0 (0.99.81-2+b1)
alsaplayer plugin library
libalt-base-perl (0.2-3.1)
base class for alternate modules
libalt-perl (0.19-1.1)
namespace for alternate module implementations
libalure-dev (1.2-7)
AL Utilities REtooled (development files)
libalure1 (1.2-7)
AL Utilities REtooled (shared library)
libalut-dev (1.1.0-6)
OpenAL Utility Toolkit development files
libalut0 (1.1.0-6)
OpenAL Utility Toolkit
libalzabo-perl (0.92-4.1)
Data modelling tool and RDBMS-OO mapper
libam7xxx-dev (0.1.7-1+b1)
library for accessing am7xxx devices - development files
libam7xxx0.1 (0.1.7-1+b1)
library for accessing am7xxx based devices
libam7xxx0.1-bin (0.1.7-1+b1)
library for accessing am7xxx devices - utilities
libam7xxx0.1-doc (0.1.7-1)
library for accessing am7xxx devices - documentation
libamazon-s3-perl (0.45-2)
portable client interface to Amazon Simple Storage System (S3)
libamazon-sqs-simple-perl (2.07-1.1)
Perl module for accessing the Amazon Simple Queue
libambix-dev (0.1.1-2)
AMBIsonics eXchange library (development files)
libambix-doc (0.1.1-2)
AMBIsonics eXchange library (documentation)
libambix-utils (0.1.1-2)
AMBIsonics eXchange library (utilities)
libambix0 (0.1.1-2)
AMBIsonics eXchange library
libamd2 (1:5.8.1+dfsg-2)
approximate minimum degree ordering library for sparse matrices
libamplsolver-dev (0~20190702-2)
library of routines that help solvers work with AMPL (devel)
libamplsolver0 (0~20190702-2)
library of routines that help solvers work with AMPL
libamtk-5-0 (5.2.0-1)
Actions, Menus and Toolbars Kit for GTK+
libamtk-5-common (5.2.0-1)
Actions, Menus and Toolbars Kit for GTK+ - architecture-independent files
libamtk-5-dev (5.2.0-1)
Actions, Menus and Toolbars Kit for GTK+ - development files
libanalitza-dev (4:20.12.0-2)
development files for Analitza
libanalitza8 (4:20.12.0-2)
library to work with mathematical expressions
libanalitzagui8 (4:20.12.0-2)
library to work with mathematical expressions - GUI routines
libanalitzaplot8 (4:20.12.0-2)
library to work with mathematical expressions - plotting bits
libanalitzawidgets8 (4:20.12.0-2)
library to work with mathematical expressions - widgets
libandroid-23-java (6.0.1+r72-6)
Android framework for API Level 23 (6.0 Marshmallow)
libandroid-json-java (10.0.0+r36-1)
Android rewrite of the evil licensed json.org
libandroid-json-org-java (20121204-20090211-5)
androids rewrite of the evil licensed json.org
libandroid-json-org-java-doc (20121204-20090211-5)
Documentation for androids rewrite of the evil licensed json.org
libandroid-uiautomator-23-java (6.0.1+r72-6)
UI Automator framework for API Level 23 (6.0 Marshmallow)
libanet0.4.3 (0.4.2-4)
Ada networking library
libanet5-dev (0.4.2-4)
Ada networking library (development)
libanfo-dev
本虛擬套件由這些套件填實: libanfo0-dev
libanfo0 (0.98-8)
Library for Short Read Aligner/Mapper from MPG
libanfo0-dev (0.98-8)
Development files for Short Read Aligner/Mapper from MPG
libangles-dev (1.9.13-2)
Robot OS set of simple math utilities to work with angles
libanimal-sniffer-java (1.16-1)
JDK/API verification tools
libanimal-sniffer-java-doc (1.16-1)
Documentation for Animal Sniffer
libanjuta-3-0 (2:3.34.0-3+b4)
GNOME development IDE, for C/C++ - shared libraries
libanjuta-dev (2:3.34.0-3+b4)
GNOME development IDE, for C/C++ - development files
libann-dev (1.1.2+doc-7)
Approximate Nearest Neighbor Searching library (development files)
libann0 (1.1.2+doc-7)
Approximate Nearest Neighbor Searching library
libannotation-indexer-java (1.14-1)
Indexing and validation of Java annotations
libansicolor-perl (= 5.01)
本虛擬套件由這些套件填實: perl
libansilove-dev (1.2.8-1)
Convert ANSI, ASCII, and other formats to PNG - development files
libansilove1 (1.2.8-1)
Library for converting ANSI, ASCII, and other formats to PNG
libantelope-java (3.5.1-5)
graphical user interface for Ant
libantelope-java-doc (3.5.1-5)
graphical user interface for Ant - documentation
libanthy-dev (1:0.4-2)
Japanese kana-kanji conversion - runtime library
libanthy1 (1:0.4-2)
Japanese kana-kanji conversion - runtime library
libanthyinput-dev (1:0.4-2)
Japanese kana-kanji conversion - runtime library for input
libanthyinput0 (1:0.4-2)
Japanese kana-kanji conversion - runtime library for input
libantlr-dev (2.7.7+dfsg-10+b2)
language tool for constructing recognizers, compilers etc
libantlr-java (2.7.7+dfsg-10)
language tool for constructing recognizers, compilers etc (java library)
libantlr-maven-plugin-java (2.2-4)
Maven ANTLR Plugin
libantlr3-gunit-java (3.5.2-9)
Unit Test framework for ANTLR grammars
libantlr3-gunit-java-doc (3.5.2-9)
API documentation for gUnit
libantlr3-runtime-java (3.5.2-9)
Runtime library for ANTLR 3
libantlr3.2-gunit-java (3.2-16)
Unit Test framework for ANTLR grammars
libantlr3c-3.4-0 (3.4+dfsg-4)
ANTLR v3 parser generator C runtime
libantlr3c-3.4-0
本虛擬套件由這些套件填實: libantlr3c-antlrdbg-3.4-0
libantlr3c-antlrdbg-3.4-0 (3.4+dfsg-4)
ANTLR v3 parser generator C runtime with ANTLR debugger
libantlr3c-dev (3.4+dfsg-4)
ANTLR v3 parser generator C runtime [development files]
libantlr4-runtime-dev (4.9+dfsg-1.1)
ANTLR Parser Generator - C++ runtime support (development files)
libantlr4-runtime-java (4.7.2-5)
Runtime library for ANTLR 4
libantlr4-runtime4.9 (4.9+dfsg-1.1)
ANTLR Parser Generator - C++ runtime support (shared library)
libany-moose-perl (0.27-2)
module to use either Moose or Mouse, based on availability
libany-template-processdir-perl (0.08-3)
Perl module for processing a directory of templates
libany-uri-escape-perl (0.01-3)
module to load URI::Escape::XS preferentially over URI::Escape
libanydata-perl (0.12-1.1)
simple tied hash interface for files and data structures
libanyevent-aggressiveidle-perl (0.04-1.1)
Aggressive idle processes for AnyEvent.
libanyevent-cachedns-perl (0.08-2.1)
simple DNS resolver with caching for AnyEvent
libanyevent-callback-perl (0.06-1.1)
callback aggregator for AnyEvent watchers
libanyevent-connection-perl (0.06-5)
base class for TCP clients
libanyevent-connector-perl (0.03-2)
tcp_connect with transparent proxy handling
libanyevent-dbd-pg-perl (0.03-5)
AnyEvent interface to DBD::Pg's async interface
libanyevent-dbi-perl (3.04-1.1)
module supporting asynchronous DBI access
libanyevent-fcgi-perl (0.04-1.1)
Perl non-blocking FastCGI server
libanyevent-feed-perl (0.3-2)
Perl module to retrieve new entries in an RSS/Atom feed
libanyevent-fork-perl (1.31-1.1)
module to create new processes
libanyevent-forkmanager-perl (0.07-2)
simple parallel processing fork manager with AnyEvent
libanyevent-forkobject-perl (0.09-1.1)
fork jail for AnyEvent
libanyevent-handle-udp-perl (0.050-1)
client/server UDP handles for AnyEvent
libanyevent-http-perl (2.25-1)
simple non-blocking HTTP/HTTPS client
libanyevent-http-scopedclient-perl (0.0.5-2)
AnyEvent::HTTP based scoped client
libanyevent-httpd-perl (0.93-5)
simple lightweight event based web (application) server
libanyevent-i3-perl (0.17-1)
Perl module to communicate with the i3 window manager
libanyevent-irc-perl (0.97-2)
Perl module for handling IRC connections
libanyevent-memcached-perl (0.08-1)
AnyEvent memcached client
libanyevent-perl (7.170-2+b1)
event loop framework with multiple implementations
libanyevent-processor-perl (0.006-1)
class for AnyEvent tasks that may be interrupted
libanyevent-rabbitmq-perl (1.19+dfsg-2)
asynchronous and multi channel Perl AMQP client
libanyevent-redis-perl (0.24-2)
Perl module that implements a non-blocking Redis client
libanyevent-serialize-perl (0.04-1.1)
serializer and deserializer for AnyEvent
libanyevent-termkey-perl (0.02-3)
module for terminal key input using libtermkey with AnyEvent
libanyevent-tools-perl (0.12-1.1)
instrument collection for AnyEvent
libanyevent-websocket-client-perl (0.54-1)
Perl WebSocket client for AnyEvent
libanyevent-xmpp-perl (0.55-5)
implementation of the XMPP Protocol
libanyevent-yubico-perl (0.9.3-2)
Perl module for validating YubiKey OTPs in AnyEvent applications
libao-common (1.2.2+20180113-1.1)
Cross Platform Audio Output Library (Common files)
libao-dbg (1.2.2+20180113-1.1)
Cross Platform Audio Output Library Debug Symbols
libao-dev (1.2.2+20180113-1.1)
Cross Platform Audio Output Library Development
libao-ocaml (0.2.1-1+b1)
OCaml bindings for libao -- runtime files
libao-ocaml-1v0z7
本虛擬套件由這些套件填實: libao-ocaml
libao-ocaml-8tjv6
本虛擬套件由這些套件填實: libao-ocaml
libao-ocaml-dev (0.2.1-1+b1)
OCaml bindings for libao -- development files
libao-ocaml-dev-1v0z7
本虛擬套件由這些套件填實: libao-ocaml-dev
libao-ocaml-dev-8tjv6
本虛擬套件由這些套件填實: libao-ocaml-dev
libao-ocaml-dev-u1h73
本虛擬套件由這些套件填實: libao-ocaml-dev
libao-ocaml-dev-xdig7
本虛擬套件由這些套件填實: libao-ocaml-dev
libao-ocaml-u1h73
本虛擬套件由這些套件填實: libao-ocaml
libao-ocaml-xdig7
本虛擬套件由這些套件填實: libao-ocaml
libao4 (1.2.2+20180113-1.1)
Cross Platform Audio Output Library
libaoflagger0 (3.0.0-2+b3)
Find RFI in radio astronomical observations (shared lib)
libaom-dev (1.0.0.errata1-3+deb11u1)
AV1 Video Codec Library -- Development Files
libaom-doc (1.0.0.errata1-3+deb11u1)
AV1 Video Codec Library -- Documentation
libaom0 (1.0.0.errata1-3+deb11u1)
AV1 Video Codec Library
libaopalliance-java (20070526-6)
library for interoperability for Java AOP implementations
libaopalliance-java-doc (20070526-6)
library for interoperability for Java AOP implementations - documentation
libaosd-dev (0.2.7-1.1+b1)
atheme.org's on screen display library - dev
libaosd-text2 (0.2.7-1.1+b1)
atheme.org's on screen display library - text layout
libaosd2 (0.2.7-1.1+b1)
atheme.org's on screen display library - main
libapache-admin-config-perl (0.95-2)
module to read/write Apache like configuration files
libapache-asp-perl (2.63-1)
perl Apache::ASP - Active Server Pages for Apache with mod_perl
libapache-authenhook-perl (2.00-04+pristine-7+b2)
Perl API for Apache 2.1 authentication
libapache-authznetldap-perl (0.07-6)
Apache-Perl module that enables to authorize a user with LDAP attributes
libapache-db-perl (0.18-1+b1)
module to run the interactive Perl debugger under mod_perl
libapache-dbi-perl (1.12-2)
interface connecting Apache server to database via perl's DBI
libapache-dbilogger-perl (0.93-13.1)
Perl module for tracking what's being transferred in a DBI database
libapache-directory-api-java (1.0.0-2)
Apache Directory LDAP API
libapache-directory-jdbm-java (2.0.0~M2-2)
ApacheDS JDBM Implementation
libapache-gallery-perl (1.0.2-5)
Apache module to create image galleries on-the-fly
libapache-htgroup-perl (1.23-2.1)
interface to Apache authentication group files
libapache-htpasswd-perl (1.9-1)
module to manage Unix crypt-style password file
libapache-logformat-compiler-perl (0.36-1)
Perl module to pre-compile a LogFormat string
libapache-mime4j-java (0.8.2-1)
MIME and RFC822 parser for Java
libapache-mod-jk-doc (1:1.2.48-1+deb11u1)
Documentation of libapache2-mod-jk package
libapache-opennlp-java (1.9.3-1)
machine learning based toolkit for the processing of natural language text
libapache-poi-java (4.0.1-1)
Apache POI - Java API for Microsoft Documents
libapache-poi-java-doc (4.0.1-1)
Apache POI - Java API for Microsoft Documents (Documentation)
libapache-pom-java (18-1)
Maven metadata for all Apache Software projects
libapache-session-browseable-perl (1.3.8-1)
module adding index and search methods to Apache::Session
libapache-session-ldap-perl (0.5-1)
LDAP implementation of Apache::Session
libapache-session-memcached-perl (0.03-3)
Perl module for storing persistent data using memcached
libapache-session-perl (1.94-1)
modules for keeping persistent user data across HTTP requests
libapache-session-sqlite3-perl (0.03-1.1)
SQLite3 implementation of Apache::Session
libapache-session-wrapper-perl (0.34-3)
simple wrapper around Apache::Session
libapache-sessionx-perl (2.01-5)
extended persistence framework for session data
libapache-singleton-perl (0.17-1)
Singleton class for mod_perl
libapache-ssllookup-perl (2.00-04-3+b2)
glue layer between Perl handlers and the mod_ssl public API
libapache2-authcassimple-perl (0.10-3)
Apache2 module to authenticate trough a CAS server
libapache2-authcookie-perl (3.30-1)
Perl Authentication and Authorization via cookies
libapache2-mod-apparmor (2.13.6-10)
changehat AppArmor library as an Apache module
libapache2-mod-apreq2 (2.13-7+deb11u1)
generic Apache request library - Apache module
libapache2-mod-auth-cas (1.2-1+b1 [amd64], 1.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
CAS authentication module for Apache2
libapache2-mod-auth-gssapi (1.6.3-1)
GSSAPI Authentication module for Apache2
libapache2-mod-auth-mellon (0.17.0-1+deb11u1)
SAML 2.0 authentication module for Apache
libapache2-mod-auth-openid (0.8-5)
OpenID authentication module for Apache2
libapache2-mod-auth-openidc (2.4.9.4-0+deb11u3)
OpenID Connect authentication module for Apache
libapache2-mod-auth-plain (2.0.52+b1)
Module for Apache2 which provides plaintext authentication
libapache2-mod-auth-pubtkt (0.13-1)
key-based single-sign-on authentication module for Apache
libapache2-mod-auth-radius (1.5.8-1.3+b2)
Apache 2.x module for RADIUS authentication
libapache2-mod-auth-tkt (2.3.99~b1-1)
lightweight single-sign-on authentication module for Apache
libapache2-mod-authn-sasl (1.2-2+b1)
SASL authentication backend provider for Apache
libapache2-mod-authn-yolo (1.1-1+b1 [arm64], 1.1-1 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Yolo style authentication for Apache 2
libapache2-mod-authn-yubikey (1.0-2)
Yubikey authentication provider for Apache
libapache2-mod-authnz-external (3.3.2-0.1+b3)
authenticate Apache against external authentication services
libapache2-mod-authnz-pam (1.2.1-1)
PAM authorization checker and PAM Basic Authentication provider
libapache2-mod-authz-unixgroup (1.1.0-0.1+b1)
access control based on on unix group membership for Apache
libapache2-mod-bw (0.92-11+b1)
bandwidth limiting module for apache2
libapache2-mod-defensible (1.4-3.2)
module for Apache2 which provides DNSBL usage
libapache2-mod-dnssd (0.6-3.2)
Zeroconf support for Apache 2 via avahi
libapache2-mod-encoding (20040616-6)
Apache2 module for non-ascii filename interoperability
libapache2-mod-evasive (1.10.1-4)
evasive module to minimize HTTP DoS or brute force attacks
libapache2-mod-fcgid (1:2.3.9-4)
FastCGI interface module for Apache 2
libapache2-mod-form (0~svn146-1.1)
Apache module to decode data submitted from Web forms
libapache2-mod-form-dev (0~svn146-1.1)
Apache module to decode data submitted from Web forms (development files)
libapache2-mod-geoip (1.2.10-1+b1)
GeoIP support for apache2
libapache2-mod-intercept-form-submit (1.1.0-1)
Apache module to intercept login form submission and run PAM authentication
libapache2-mod-jk (1:1.2.48-1+deb11u1)
Apache 2 connector for the Tomcat Java servlet engine
libapache2-mod-ldap-userdir (1.1.19-2.1+b2)
Apache module that provides UserDir lookups via LDAP
libapache2-mod-ldap-userdir-dbg (1.1.19-2.1+b2)
Debugging symbols for mod_ldap_userdir
libapache2-mod-lisp (1.3.1-1.3+b1)
An Apache2 module that interfaces with Lisp environments
libapache2-mod-log-slow (1.0.8-3+b1)
Apache module for logging of slow requests handling
libapache2-mod-log-sql (1.100-16.3+b1)
Use SQL to store/write your Apache queries logs - Base
libapache2-mod-log-sql-dbi (1.100-16.3+b1)
Use SQL to store/write your Apache queries logs - DBI interface
libapache2-mod-log-sql-mysql (1.100-16.3+b1)
Use SQL to store/write your Apache queries logs - MySQL interface
libapache2-mod-log-sql-ssl (1.100-16.3+b1)
Use SQL to store/write your Apache queries logs - SSL extension
libapache2-mod-lookup-identity (1.0.0-1)
Apache module to retrieve additional information about the authenticated user
libapache2-mod-mapcache (1.10.0-2+b1)
tile caching server - Apache module
libapache2-mod-md (2.4.59-1~deb11u1) [security]
transitional package
libapache2-mod-mime-xattr (0.4-6+b2)
Apache2 module to get MIME info from filesystem extended attributes
libapache2-mod-mono (3.8-3+b2)
Apache module for running ASP.NET applications on Mono
libapache2-mod-musicindex (1.4.1-3.1)
Browse, stream, download and search through MP3/Ogg/FLAC files
libapache2-mod-neko (2.3.0-1+b1)
Apache module for running server-side Neko programs
libapache2-mod-netcgi-apache (4.1.8-2)
OCaml application-level Internet libraries - netcgi2 Apache2 connector
libapache2-mod-ocamlnet
本虛擬套件由這些套件填實: libapache2-mod-netcgi-apache
libapache2-mod-parser3 (3.4.6-2)
Parser 3, HTML-embedded scripting language (Apache2 module)
libapache2-mod-passenger (5.0.30-1.2+deb11u1)
Rails and Rack support for Apache2
libapache2-mod-perl2 (2.0.11-4)
Integration of perl with the Apache2 web server
libapache2-mod-perl2-dev (2.0.11-4)
Integration of perl with the Apache2 web server - development files
libapache2-mod-perl2-doc (2.0.11-4)
Integration of perl with the Apache2 web server - documentation
libapache2-mod-php (2:7.4+76)
server-side, HTML-embedded scripting language (Apache 2 module) (default)
libapache2-mod-php
本虛擬套件由這些套件填實: libapache2-mod-php7.4
libapache2-mod-php7.4 (7.4.33-1+deb11u5) [security]
server-side, HTML-embedded scripting language (Apache 2 module)
libapache2-mod-proxy-uwsgi (2.4.59-1~deb11u1) [security]
transitional package
libapache2-mod-python (3.5.0-1+b1)
Python-embedding module for Apache 2
libapache2-mod-python-doc (3.5.0-1)
Python-embedding module for Apache 2 - documentation
libapache2-mod-qos (11.63-1+b1 [amd64], 11.63-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
quality of service module for the apache2
libapache2-mod-r-base (1.2.8-1+b4)
server-side R integration with Apache 2
libapache2-mod-removeip (1.0b-5.3)
Module to remove IP from apache2's logs
libapache2-mod-rivet (3.2.0-1)
Server-side Tcl programming system combining ease of use and power
libapache2-mod-rivet-doc (3.2.0-1)
Documentation for Rivet, a server-side Tcl programming system
libapache2-mod-rpaf (0.6-13+b1)
module for Apache2 which takes the last IP from the 'X-Forwarded-For' header
libapache2-mod-ruwsgi (2.0.19.1-7.1)
uwsgi module for Apache2 (mod_Ruwsgi)
libapache2-mod-ruwsgi-dbg (2.0.19.1-7.1)
debugging symbols for Apache2 mod_Ruwsgi
libapache2-mod-security2 (2.9.3-3+deb11u2)
Tighten web applications security for Apache
libapache2-mod-shib (3.2.2+dfsg1-1)
Federated web single sign-on system (Apache module)
libapache2-mod-svn (1.14.1-3+deb11u1)
Apache Subversion server modules for Apache httpd
libapache2-mod-tile (0.5-2)
Apache module to deliver tiles created by renderd
libapache2-mod-upload-progress (0.2-2+b2)
upload progress support for the Apache web server
libapache2-mod-uwsgi (2.0.19.1-7.1)
uwsgi module for Apache2 (mod_uwsgi)
libapache2-mod-uwsgi-dbg (2.0.19.1-7.1)
debugging symbols for Apache2 mod_uwsgi
libapache2-mod-vhost-ldap (2.4.0-1+b2)
Apache 2 module for Virtual Hosting from LDAP
libapache2-mod-watchcat (1.1.2-2)
Process monitoring Apache module
libapache2-mod-webauth (4.7.0-8)
Apache module for WebAuth authentication
libapache2-mod-webauthldap (4.7.0-8)
Apache module for WebAuth LDAP lookup and authorization
libapache2-mod-webkdc (4.7.0-8)
Apache modules for a WebAuth authentication KDC
libapache2-mod-wsgi-py3 (4.7.1-3+deb11u1)
Python 3 WSGI adapter module for Apache
libapache2-mod-xforward (0.6-1+b2)
Apache module implements redirection based on X-Forward response header
libapache2-mod-xsendfile (0.12-2+b1)
Serve large static files efficiently from web applications
libapache2-mpm-itk (2.4.7-04-1+b1)
multiuser module for Apache
libapache2-reload-perl (0.13-3)
module for reloading Perl modules when changed on disk
libapache2-request-perl (2.13-7+deb11u1)
generic Apache request library - Perl modules
libapache2-sitecontrol-perl (1.05-2)
perl web site authentication/authorization system
libapacheds-i18n-java (2.0.0~M24-4)
Apache Directory Server (i18n)
libapacheds-java (2.0.0~M24-4)
Apache Directory Server (Libraries)
libapacheds-kerberos-codec-java (2.0.0~M24-4)
Apache Directory Server (Kerberos Codec)
libapbs-dev (3.0.0+dfsg1-3+b1)
Adaptive Poisson Boltzmann Solver
libapbs3 (3.0.0+dfsg1-3+b1)
Adaptive Poisson Boltzmann Solver
libapertium
本虛擬套件由這些套件填實: libapertium3-3.7-1
libapertium3-3.7-1 (3.7.1-1)
Shared library for Apertium
libaperture-0-0 (0.1.0+git20200908-2)
Camera library for GTK3
libaperture-0-dev (0.1.0+git20200908-2)
Development files for libaperture
libapfloat-java (1.9.1-3)
high performance arbitrary precision arithmetic library for Java
libapiguardian-java (1.1.0-2)
Level of stability annotation for frameworks or applications
libapk-parser-java (2.6.10+ds-4)
Decode binary XML files and get APK meta info
libapksig-java (30.0.3-4)
library to sign and verify Android APKs
libapophenia
本虛擬套件由這些套件填實: libapophenia2
libapophenia-dev
本虛擬套件由這些套件填實: libapophenia2-dev
libapophenia2 (1.0+ds-8+b1)
Apophenia Statistical C Library -- library package
libapophenia2-dev (1.0+ds-8+b1)
Apophenia Statistical C Library -- development package
libapp-cache-perl (0.37-2.1)
Easy application-level caching library for perl
libapp-cell-perl (0.229-1)
configuration, error-handling, localization, and logging "framework"
libapp-cli-perl (0.313-2)
Dispatcher module for command line interface programs
libapp-cmd-perl (0.331-1)
Perl interface to write command line apps with less suffering
libapp-cmd-plugin-prompt-perl (1.005-3.1)
plug prompting routines into your commands
libapp-control-perl (1.02-3)
Perl module for apachectl style control of another executable
libapp-cpants-lint-perl (0.05-5.1)
commandline frontend to Module::CPANTS::Analyse - library
libapp-daemon-perl (0.22-3)
Perl module to start an Application as a Daemon
libapp-fatpacker-perl (0.010008-1)
module to pack dependencies onto script files
libapp-info-perl (0.57-3)
module to provide metadata about software packages installed
libapp-nopaste-perl (1.013-1)
application for easy access to any pastebin
libapp-options-perl (1.12-2)
command-line option values processing system
libapp-rad-perl (1.05-2)
Perl module for rapid and easy creation of command line applications
libapp-repl-perl (0.012-2.1)
container for functions for the iperl program
libapp-st-perl (1.1.4-5)
perl module to mathematical calculations
libapp-termcast-perl (0.13-3)
termcasting module and client
libapparmor-dev (2.13.6-10)
AppArmor development libraries and header files
libapparmor-perl (2.13.6-10)
AppArmor library Perl bindings
libapparmor1 (2.13.6-10)
changehat AppArmor library
libappconfig-perl (1.71-2.1)
Perl module for configuration file and command line handling
libappconfig-std-perl (1.10-1)
Perl module for standard app configuration
libappframework-java
本虛擬套件由這些套件填實: libbetter-appframework-java
libappindicator-dev
本虛擬套件由這些套件填實: libayatana-appindicator-dev
libappindicator1
本虛擬套件由這些套件填實: libayatana-appindicator1
libappindicator3-0.1-cil (12.10.0+git20151221-5.1)
CLI binding for libayatana-appindicator3 using GObject introspection
libappindicator3-0.1-cil-dev (12.10.0+git20151221-5.1)
CLI binding for libayatana-appindicator3 using GObject introspection
libappindicator3-1
本虛擬套件由這些套件填實: libayatana-appindicator3-1
libappindicator3-dev
本虛擬套件由這些套件填實: libayatana-appindicator3-dev
libappmenu-gtk-parser-dev-common (0.7.6-2)
Common header files for GtkMenuShell to GMenuModel parser
libappmenu-gtk2-parser-dev (0.7.6-2)
GtkMenuShell to GMenuModel parser (GTK+2.0 development files)
libappmenu-gtk2-parser0 (0.7.6-2)
GtkMenuShell to GMenuModel parser (GTK+2.0)
libappmenu-gtk3-parser-dev (0.7.6-2)
GtkMenuShell to GMenuModel parser (GTK+3.0 development files)
libappmenu-gtk3-parser0 (0.7.6-2)
GtkMenuShell to GMenuModel parser (GTK+3.0)
libappstream-compose-dev (0.14.4-1)
Building blocks to compose AppStream metadata (development files)
libappstream-compose0 (0.14.4-1)
Building blocks to compose AppStream metadata
libappstream-dev (0.14.4-1)
Library to access AppStream services (development files)
libappstream-glib-dev (0.7.18-1+deb11u1)
GNOME library to access AppStream services (development files)
libappstream-glib8 (0.7.18-1+deb11u1)
GNOME library to access AppStream services
libappstream4 (0.14.4-1)
Library to access AppStream services
libappstreamqt-dev (0.14.4-1)
Qt5 library to access AppStream services (development files)
libappstreamqt2 (0.14.4-1)
Qt5 library to access AppStream services
libapr-memcache-dev (0.7.0-3+b1)
memcache client - development libraries
libapr-memcache0 (0.7.0-3+b1)
memcache client - shared library
libapr1 (1.7.0-6+deb11u2)
Apache Portable Runtime Library
libapr1-dev (1.7.0-6+deb11u2)
Apache Portable Runtime Library - Development Headers
libapreq2-3 (2.13-7+deb11u1)
generic Apache request library
libapreq2-dev (2.13-7+deb11u1)
generic Apache request library - development files
libapreq2-doc (2.13-7+deb11u1)
generic Apache request library - documentation
libapriltag-dev (0.10.0-6+b2)
AprilTags Visual Fiducial System
libapriltag1 (0.10.0-6+b2)
AprilTags Visual Fiducial System
libapron (0.9.10-9.1+b2)
runtime libraries for APRON
libapron-dev (0.9.10-9.1)
abstract interpretation library
libapron-ocaml (0.9.10-9.1+b2)
runtime libraries for APRON (OCaml interface)
libapron-ocaml-0ooh1
本虛擬套件由這些套件填實: libapron-ocaml
libapron-ocaml-8p542
本虛擬套件由這些套件填實: libapron-ocaml
libapron-ocaml-dev (0.9.10-9.1+b2)
abstract interpretation library (OCaml interface)
libapron-ocaml-dev-0ooh1
本虛擬套件由這些套件填實: libapron-ocaml-dev
libapron-ocaml-dev-8p542
本虛擬套件由這些套件填實: libapron-ocaml-dev
libapron-ocaml-dev-do077
本虛擬套件由這些套件填實: libapron-ocaml-dev
libapron-ocaml-dev-f72a2
本虛擬套件由這些套件填實: libapron-ocaml-dev
libapron-ocaml-do077
本虛擬套件由這些套件填實: libapron-ocaml
libapron-ocaml-f72a2
本虛擬套件由這些套件填實: libapron-ocaml
libaprutil1 (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library
libaprutil1-dbd-mysql (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library - MySQL Driver
libaprutil1-dbd-odbc (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library - ODBC Driver
libaprutil1-dbd-pgsql (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library - PostgreSQL Driver
libaprutil1-dbd-sqlite3 (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library - SQLite3 Driver
libaprutil1-dev (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library - Development Headers
libaprutil1-ldap (1.6.1-5+deb11u1)
Apache Portable Runtime Utility Library - LDAP Driver
libapt-pkg (= 2.2.4)
本虛擬套件由這些套件填實: libapt-pkg6.0
libapt-pkg-dev (2.2.4)
development files for APT's libapt-pkg and libapt-inst
libapt-pkg-doc (2.2.4)
documentation for APT development
libapt-pkg-perl (0.1.39)
Perl interface to libapt-pkg
libapt-pkg6.0 (2.2.4)
package management runtime library
libaqbanking-data (6.2.10-1)
configuration files for libaqbanking
libaqbanking-dev (6.2.10-1)
library for online banking applications
libaqbanking44 (6.2.10-1)
library for online banking applications
libaqsis-dev (1.8.2-12+b3)
3D rendering solution adhering to the RenderMan(R) standard, development files
libaqsis1 (1.8.2-12+b3)
3D rendering solution adhering to the RenderMan(R) standard, shared libs
libaravis-0.8-0 (0.8.6-1)
glib/gobject based library for video acquisition using GenICam cameras
libaravis-dev (0.8.6-1)
Development files for aravis library
libaravis-doc (0.8.6-1)
Documentation files for aravis library
libarb (6.0.6-4) [non-free]
phylogenetic sequence analysis suite - libraries
libarb-dev (6.0.6-4) [non-free]
phylogenetic sequence analysis suite - development files
libarccommon3v5 (6.10.2-1)
Advanced Resource Connector Middleware
libarcglobusutils3 (6.10.2-1)
ARC Globus plugins common libraries
libarch-perl (0.5.2-2)
high-level interface to GNU Arch
libarchive-any-create-perl (0.3-2)
abstract API to create tar/tar.gz/zip archives
libarchive-any-lite-perl (0.11-1)
simple CPAN package extractor
libarchive-any-perl (0.0946-1)
Perl module to deal with file archives in any format
libarchive-ar-perl (2.02-2)
Perl interface for manipulating ar archives
libarchive-cpio-perl (0.10-1.1)
module for manipulations of cpio archives
libarchive-dev (3.4.3-2+deb11u1)
Multi-format archive and compression library (development files)
libarchive-extract-perl (0.86-1)
generic archive extracting module
libarchive-peek-perl (0.35-2)
Perl module for peeking into archives without extracting them
libarchive-tar-perl (= 2.36)
本虛擬套件由這些套件填實: perl
libarchive-tar-wrapper-perl (0.38-1)
API wrapper around the 'tar' utility
libarchive-tools (3.4.3-2+deb11u1)
FreeBSD implementations of 'tar' and 'cpio' and other archive tools
libarchive-zip-perl (1.68-1)
Perl module for manipulation of ZIP archives
libarchive13 (3.4.3-2+deb11u1)
Multi-format archive and compression library (shared library)
libarcus-dev (4.8-1+b1)
message queue for Cura based on protobuf (development files)
libarcus3 (4.8-1+b1)
message queue for Cura based on protobuf (shared library)
libargh-dev (1.3.1+ds-2)
C++ header-only command line processing library
libargon2-0 (0~20171227-0.2)
memory-hard hashing function - runtime library
libargon2-0-dev
本虛擬套件由這些套件填實: libargon2-dev
libargon2-1 (0~20171227-0.2)
memory-hard hashing function - runtime library
libargon2-1-udeb (0~20171227-0.2)
memory-hard hashing function - runtime library (udeb)
libargon2-dev (0~20171227-0.2)
memory-hard hashing function - development files
libargparse4j-java (0.4.4-1.1)
command-line argument parser for Java based on Python's argparse module
libargparse4j-java-doc (0.4.4-1.1)
documentation for libargparse4j-java
libargs-dev (6.2.4-1)
simple header-only C++ argument parser library
libargs4j-java (2.33-1.1)
Java command line arguments parser
libargs4j-java-doc (2.33-1.1)
Documentation for Java command line arguments parser
libargtable2-0 (13-1.1)
Library for parsing GNU style command line arguments
libargtable2-dev (13-1.1)
Library for parsing GNU style command line arguments (development headers)
libargtable2-docs (13-1.1)
Library for parsing GNU style command line arguments (documentation)
libaria2-0 (1.35.0-3)
C++ library interface to aria2
libaria2-0-dev (1.35.0-3)
High speed download utility (Development files)
libaribb24-0 (1.0.3-2)
library for ARIB STD-B24 decoding (runtime files)
libaribb24-dev (1.0.3-2)
library for ARIB STD-B24 decoding (development files)
libarm-compute-dev (20.08+dfsg-5)
Arm Compute Library - development files
libarm-compute-doc (20.08+dfsg-5)
Arm Compute Library - documentation
libarm-compute20 (20.08+dfsg-5)
Arm Compute Library
libarmadillo-dev (1:10.1.2+dfsg-6+b1 [amd64], 1:10.1.2+dfsg-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
streamlined C++ linear algebra library - Headers
libarmadillo10 (1:10.1.2+dfsg-6+b1 [amd64], 1:10.1.2+dfsg-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
streamlined C++ linear algebra library
libarmci-mpi-dev (0.3.1~beta-1)
ARMCI-MPI (Development version)
libarmnn-cpuacc-backend22 (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnn-cpuref-backend22 (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnn-dev (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnn-gpuacc-backend22 (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnn22 (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnnaclcommon22 (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnntfliteparser-dev (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarmnntfliteparser22 (20.08-9)
Arm NN is an inference engine for CPUs, GPUs and NPUs
libarpack++2-dev (2.3-10)
Object-oriented version of the ARPACK package (development)
libarpack++2c2a (2.3-10)
Object-oriented version of the ARPACK package (runtime)
libarpack2 (3.8.0-1)
Fortran77 subroutines to solve large scale eigenvalue problems
libarpack2-dev (3.8.0-1)
Fortran77 subroutines to solve large scale eigenvalue problems (development)
libarray-base-perl (0.006-3+b1)
array index offseting
libarray-compare-perl (3.0.8-1)
Perl module to easily compare arrays
libarray-diff-perl (0.09-1)
Perl module to find the differences between two arrays
libarray-group-perl (4.2-1)
module that converts an array into array of arrayrefs of uniform size N
libarray-intspan-perl (2.004-1)
Handles arrays of scalars or objects using integer ranges as index
libarray-iterator-perl (0.12-1)
simple class to provide iterators over Perl arrays
libarray-printcols-perl (2.6-2.1)
Perl module to print array elements vertically
libarray-refelem-perl (1.00+ds-1+b5)
module to set up array elements as aliases
libarray-unique-perl (0.08-2.1)
Tie-able array that allows only unique values
libarray-utils-perl (0.5-1.1)
collection of small utils for array manipulation
libart-2.0-2 (2.3.21-4)
Library of functions for 2D graphics - runtime files
libart-2.0-dev (2.3.21-4)
Library of functions for 2D graphics - development files
libasa-perl (1.04-1)
Perl module for expanding a class or object's list of base classes
libasan5 (9.3.0-22)
AddressSanitizer -- a fast memory error detector
libasan5-amd64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-arm64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-armel
本虛擬套件由這些套件填實: libasan5
libasan5-armel-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-armhf
本虛擬套件由這些套件填實: libasan5
libasan5-armhf-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-i386-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-powerpc-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-ppc64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-ppc64el-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-s390x-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-sparc64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan5-x32-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector
libasan6 (10.2.1-6)
AddressSanitizer -- a fast memory error detector
libasan6-amd64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-arm64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-armel
本虛擬套件由這些套件填實: libasan6
libasan6-armel-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-armhf
本虛擬套件由這些套件填實: libasan6
libasan6-armhf-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-i386-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-powerpc-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-ppc64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-ppc64el-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-s390x-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-sparc64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasan6-x32-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector
libasedrive-serial (3.7-8)
PC/SC driver for the Athena ASEDrive IIIe serial smart card reader
libasedrive-usb (3.7-8)
PC/SC driver for the Athena ASEDrive IIIe USB smart card reader
libasio-dev (1:1.18.1-1)
cross-platform C++ library for network programming
libasio-doc (1:1.18.1-1)
documentation for asio C++ library for network programming
libasis2019.1 (2019-5)
Ada Semantic Interface Specification (ASIS) runtime library
libasis2019.1-dev (2019-5)
Ada Semantic Interface Specification (ASIS) headers and libraries
libasl-dev (0.1.7-2+b5)
development files for ASL
libasl0 (0.1.7-2+b5)
multiphysics simulation software
libasm-dev (0.183-1)
libasm development libraries and header files
libasm-java (9.1-1)
Java bytecode manipulation framework
libasm-java-doc (9.1-1)
Java bytecode manipulation framework (documentation)
libasm1 (0.183-1)
library with a programmable assembler interface
libasmjit-dev (0.0~git20201108.4b13f71-1)
Complete x86/x64 JIT and AOT Assembler for C++ (development files)
libasmjit0 (0.0~git20201108.4b13f71-1)
Complete x86/x64 JIT and AOT Assembler for C++
libasmtools-java (7.0-b09-2~deb11u1)
OpenJDK AsmTools
libasn1-8-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - ASN.1 library
libasound-dev
本虛擬套件由這些套件填實: libasound2-dev
libasound2 (1.2.4-1.1)
shared library for ALSA applications
libasound2-data (1.2.4-1.1)
Configuration files and profiles for ALSA drivers
libasound2-dev (1.2.4-1.1)
shared library for ALSA applications -- development files
libasound2-doc (1.2.4-1.1)
documentation for user-space ALSA application programming
libasound2-plugin-equal (0.6-8)
equalizer plugin for ALSA
libasound2-plugin-smixer (1.2.4-1.1)
smixer plugin for ALSA library
libasound2-plugins (1.2.2-2)
ALSA library additional plugins
libasound2-udeb (1.2.4-1.1)
shared library for ALSA applications (udeb)
libaspect-perl (1.04-1.1)
module for Aspect-Oriented Programming in Perl
libaspectj-java (1.9.2-2)
aspect-oriented extension for Java - library
libaspectj-maven-plugin-java (1.11-2)
AspectJ compiler Maven Plugin
libaspell-dev (0.60.8-3)
Development files for applications with GNU Aspell support
libaspell15 (0.60.8-3)
GNU Aspell spell-checker runtime library
libasprintf-dev (0.21-4)
use fprintf and friends in C++ - static libraries and headers
libasprintf0v5 (0.21-4)
use fprintf and friends in C++ - shared library
libass-dev (1:0.15.0-2)
development files for libass
libass9 (1:0.15.0-2)
library for SSA/ASS subtitles rendering
libassa-3.5-5-dev (3.5.1-7)
object-oriented C++ networking library (development files)
libassa-3.5-5v5 (3.5.1-7)
object-oriented C++ networking library
libassertj-core-java (2.3.0-4)
Fluent assertions for Java
libassimp-dev (5.0.1~ds0-2)
3D model import library (development)
libassimp-doc (5.0.1~ds0-2)
3D model import library (documentation)
libassimp5 (5.0.1~ds0-2)
3D model import library
libassuan-dev (2.5.3-7.1)
IPC library for the GnuPG components -- header files
libassuan-mingw-w64-dev (2.5.3-7.1)
IPC library for the GnuPG components -- Windows port
libassuan0 (2.5.3-7.1)
IPC library for the GnuPG components
libast-dev
本虛擬套件由這些套件填實: libast2-dev
libast1-dev
本虛擬套件由這些套件填實: libast2-dev
libast2 (0.7-9)
Library of Assorted Spiffy Things
libast2-dev (0.7-9)
libast2 development files
libastcenc-dev (2.1+ds-3)
ASTC image compression and decompression library headers
libastcenc0d (2.1+ds-3)
ASTC image compression and decompression library
libasterisk-agi-perl (1.08-1)
Collections of Perl modules to be used with Asterisk PBX AGI
libastring-ocaml (0.8.5-1+b1)
alternative String module for OCaml (runtime)
libastring-ocaml-1onp7
本虛擬套件由這些套件填實: libastring-ocaml
libastring-ocaml-dev (0.8.5-1+b1)
alternative String module for OCaml (development)
libastring-ocaml-dev-1onp7
本虛擬套件由這些套件填實: libastring-ocaml-dev
libastring-ocaml-dev-l6fu0
本虛擬套件由這些套件填實: libastring-ocaml-dev
libastring-ocaml-dev-qbf09
本虛擬套件由這些套件填實: libastring-ocaml-dev
libastring-ocaml-dev-wjn59
本虛擬套件由這些套件填實: libastring-ocaml-dev
libastring-ocaml-l6fu0
本虛擬套件由這些套件填實: libastring-ocaml
libastring-ocaml-qbf09
本虛擬套件由這些套件填實: libastring-ocaml
libastring-ocaml-wjn59
本虛擬套件由這些套件填實: libastring-ocaml
libastro-dev (4:20.12.3-1)
development files for the Marble astrononmy library
libastro-fits-cfitsio-perl (1.15-1+b1)
Perl extension for using the cfitsio library
libastro-fits-header-cfitsio
本虛擬套件由這些套件填實: libastro-fits-header-perl
libastro-fits-header-item
本虛擬套件由這些套件填實: libastro-fits-header-perl
libastro-fits-header-perl (3.07-2.1)
module for reading, modifying and writing FITS headers
libastro1 (4:20.12.3-1)
Marble astronomy library
libastrometry-dev (0.82+dfsg-1)
Astrometry plate solver (development files)
libastrometry0 (0.82+dfsg-1)
Astrometry plate solver (shared lib)
libastyle-dev (3.1-2+b1)
Library header files for Artistic Style
libastyle3 (3.1-2+b1)
Shared library for Artistic Style
libastylej-jni (3.1-2+b1)
Java JNI library for Artistic Style
libasync-http-client-java (2.12.2-1)
Java Asynchronous HTTP Client
libasync-interrupt-perl (1.26-1+b1)
module to allow C/XS libraries to interrupt perl
libasync-mergepoint-perl (0.04-2.1)
Perl module to resynchronize multiple control flows
libasyncaudio-dev (19.09.1-3)
AsyncAudio library for SvxLink (development files)
libasyncaudio1.6 (19.09.1-3)
AsyncAudio library for SvxLink
libasynccore-dev (19.09.1-3)
AsyncCore library for SvxLink (development files)
libasynccore1.6 (19.09.1-3)
AsyncCore library for SvxLink
libasynccpp-dev (19.09.1-3)
AsyncCpp library for SvxLink (development files)
libasynccpp1.6 (19.09.1-3)
AsyncCpp library for SvxLink
libasyncns-dev (0.8-6+b2)
Asynchronous name service query library development files
libasyncns0 (0.8-6+b2)
Asynchronous name service query library
libasyncqt-dev (19.09.1-3)
AsyncQt library for SvxLink (development files)
libasyncqt1.6 (19.09.1-3)
AsyncQt library for SvxLink
libat-at-clojure (1.2.0-1.1)
simple ahead-of-time function scheduler for Clojure
libatasmart-bin (0.19-5)
ATA S.M.A.R.T. reading and parsing library - utilities
libatasmart-dev (0.19-5)
ATA S.M.A.R.T. reading and parsing library - development files
libatasmart4 (0.19-5)
ATA S.M.A.R.T. reading and parsing library
libatd-ocaml (2.2.1-1+b1)
syntax for cross-language data types in OCaml - plugins
libatd-ocaml-52ro1
本虛擬套件由這些套件填實: libatd-ocaml
libatd-ocaml-6zpe9
本虛擬套件由這些套件填實: libatd-ocaml
libatd-ocaml-8qyj8
本虛擬套件由這些套件填實: libatd-ocaml
libatd-ocaml-dev (2.2.1-1+b1)
syntax for cross-language data types in OCaml - development files
libatd-ocaml-dev-52ro1
本虛擬套件由這些套件填實: libatd-ocaml-dev
libatd-ocaml-dev-6zpe9
本虛擬套件由這些套件填實: libatd-ocaml-dev
libatd-ocaml-dev-8qyj8
本虛擬套件由這些套件填實: libatd-ocaml-dev
libatd-ocaml-dev-nlt31
本虛擬套件由這些套件填實: libatd-ocaml-dev
libatd-ocaml-nlt31
本虛擬套件由這些套件填實: libatd-ocaml
libatdgen-ocaml (2.2.1-1+b1)
OCaml code generator for biniou and JSON - plugins
libatdgen-ocaml-08qo6
本虛擬套件由這些套件填實: libatdgen-ocaml
libatdgen-ocaml-bgm31
本虛擬套件由這些套件填實: libatdgen-ocaml
libatdgen-ocaml-briu9
本虛擬套件由這些套件填實: libatdgen-ocaml
libatdgen-ocaml-dev (2.2.1-1+b1)
OCaml code generator for biniou and JSON - executable and dev files
libatdgen-ocaml-dev-08qo6
本虛擬套件由這些套件填實: libatdgen-ocaml-dev
libatdgen-ocaml-dev-bgm31
本虛擬套件由這些套件填實: libatdgen-ocaml-dev
libatdgen-ocaml-dev-briu9
本虛擬套件由這些套件填實: libatdgen-ocaml-dev
libatdgen-ocaml-dev-u1mm2
本虛擬套件由這些套件填實: libatdgen-ocaml-dev
libatdgen-ocaml-u1mm2
本虛擬套件由這些套件填實: libatdgen-ocaml
libatf-c++-2 (0.21-6)
Automated Test Framework (shared C library)
libatf-c-1 (0.21-6)
Automated Test Framework (shared C++ library)
libatf-dev (0.21-6)
Automated Test Framework (development files)
libatfs1 (1.4pl6-14)
Attributed File System (AtFS runtime libraries)
libatinject-jsr330-api-java (1.0+ds1-5)
Java API for JSR-330 Dependency Injection
libatinject-jsr330-api-java-doc (1.0+ds1-5)
Documentation for libatinject-jsr330-api-java
libatinject-jsr330-tck-java (1.0+ds1-5)
Java API for JSR-330 Dependency Injection (TCK)
libatk-adaptor (2.38.0-1)
AT-SPI 2 toolkit bridge
libatk-adaptor-udeb (2.38.0-1)
AT-SPI 2 toolkit bridge - module for d-i
libatk-bridge-2.0-0-udeb (2.38.0-1)
AT-SPI 2 toolkit bridge - shared library for d-i
libatk-bridge2.0-0 (2.38.0-1)
AT-SPI 2 toolkit bridge - shared library
libatk-bridge2.0-dev (2.38.0-1)
Development files for the AT-SPI 2 toolkit bridge
libatk-wrapper-java (0.38.0-2+deb11u1)
ATK implementation for Java using JNI
libatk-wrapper-java-jni (0.38.0-2+deb11u1)
ATK implementation for Java using JNI (JNI bindings)
libatk1.0-0 (2.36.0-2)
ATK accessibility toolkit
libatk1.0-data (2.36.0-2)
Common files for the ATK accessibility toolkit
libatk1.0-dev (2.36.0-2)
Development files for the ATK accessibility toolkit
libatk1.0-doc (2.36.0-2)
Documentation files for the ATK toolkit
libatk1.0-udeb (2.36.0-2)
ATK accessibility toolkit
libatk3.0-cil (2.99.3-4)
CLI binding for the ATK accessibility toolkit
libatkmm-1.6-1v5 (2.28.0-3)
C++ wrappers for ATK accessibility toolkit (shared libraries)
libatkmm-1.6-dev (2.28.0-3)
C++ wrappers for ATK accessibility toolkit (development files)
libatkmm-1.6-doc (2.28.0-3)
C++ wrappers for ATK accessibility toolkit (documentation)
libatlas-base-dev (3.10.3-10)
Automatically Tuned Linear Algebra Software, generic static
libatlas-doc (3.10.3-10)
Automatically Tuned Linear Algebra Software, documentation
libatlas-ecmwf-0 (0.23.0-1)
Numerical weather prediction and climate modelling library
libatlas-ecmwf-dev (0.23.0-1)
Numerical weather prediction and climate modelling library - dev files
libatlas-ecmwf-utils (0.23.0-1)
Numerical weather prediction and climate modelling library - utilities
libatlas-test (3.10.3-10)
Automatically Tuned Linear Algebra Software, test programs
libatlas3-base (3.10.3-10)
Automatically Tuned Linear Algebra Software, generic shared
libatm-dev
本虛擬套件由這些套件填實: libatm1-dev
libatm1 (1:2.5.1-4)
shared library for ATM (Asynchronous Transfer Mode)
libatm1-dev (1:2.5.1-4)
Development files for compiling ATM programs
libatombus-perl (1.0405-5.1)
AtomPub server for messaging
libatomic-ops-dev (7.6.10-1+b1 [amd64], 7.6.10-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
A library for atomic operations (development files)
libatomic-queue-dev (0.0+git20201108.d9d66b6-2)
devel files for C++ atomic_queue library
libatomic-queue0 (0.0+git20201108.d9d66b6-2)
C++ atomic_queue library
libatomic1 (10.2.1-6)
support library providing __atomic built-in functions
libatomic1-alpha-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-amd64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-arm64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-armel
本虛擬套件由這些套件填實: libatomic1
libatomic1-armel-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-armhf
本虛擬套件由這些套件填實: libatomic1
libatomic1-armhf-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-hppa-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-i386-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-m68k-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mips-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mips64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mips64el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mips64r6-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mips64r6el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mipsel-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mipsr6-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-mipsr6el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-powerpc-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-ppc64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-ppc64el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-riscv64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-s390x-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-sh4-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-sparc64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomic1-x32-cross (10.2.1-6cross1)
support library providing __atomic built-in functions
libatomicbitvector-dev (0.0+git20200519.e295358-2)
atomic bitset/bitvector with size determined at runtime
libatomicparsley-dev (2.1.5-8)
main library for atomic parsley in gtkpod, development kit
libatomicparsley0 (2.1.5-8)
main library for atomic parsley in gtkpod, shared library
libatompub-perl (0.3.7-3)
implementation of Atom Publishing Protocol
libatopology-dev (1.2.4-1.1)
shared library for handling ALSA topology -- development files
libatopology-dev
本虛擬套件由這些套件填實: libatopology-dev
libatopology2 (1.2.4-1.1)
shared library for handling ALSA topology definitions
libatrildocument-dev (1.24.0-1)
MATE document rendering library (development files)
libatrildocument3 (1.24.0-1)
MATE document rendering library
libatrilview-dev (1.24.0-1)
MATE document viewing library (development files)
libatrilview3 (1.24.0-1)
MATE document viewing library
libatspi0-udeb (2.38.0-4+deb11u1)
Assistive Technology Service Provider Interface - module for d-i
libatspi2.0-0 (2.38.0-4+deb11u1)
Assistive Technology Service Provider Interface - shared library
libatspi2.0-dev (2.38.0-4+deb11u1)
Development files for the assistive technology service provider
libattean-perl (0.030-2)
semantic web framework
libatteanx-compatibility-trine-perl (0.002-3)
compatibility layer between RDF::Trine and Attean
libatteanx-endpoint-perl (0.002-5)
SPARQL 1.1 Protocol Endpoint
libatteanx-parser-jsonld-perl (0.001-3)
JSON-LD RDF parser
libatteanx-serializer-rdfa-perl (0.110-1)
RDFa Serializer for Attean
libatteanx-store-dbi-perl (0.002-2)
DBI-based quad-store for Attean
libatteanx-store-ldf-perl (0.04-1.1)
Linked Data Fragment RDF store
libatteanx-store-lmdb-perl (0.001-2)
LMDB-backed persistent quad-store for Attean
libatteanx-store-sparql-perl (0.012-1)
Attean SPARQL triple store
libattr1 (1:2.4.48-6)
extended attribute handling - shared library
libattr1-dev (1:2.4.48-6)
extended attributes handling - static libraries and headers
libattr1-udeb (1:2.4.48-6)
extended attribute handling - shared library
libattribute-handlers-perl (= 1.01)
本虛擬套件由這些套件填實: perl
libattribute-storage-perl (0.09-1+b3)
declare and retrieve named attributes about CODE
libaubio-dev (0.4.9-4+b4)
library for audio and music analysis, synthesis, and effects
libaubio-doc (0.4.9-4)
library for audio segmentation -- documentation
libaubio5 (0.4.9-4+b4)
library for audio segmentation
libaudclient-dev (3.5~rc2-1+b1)
audacious D-Bus remote control library (development files)
libaudclient2 (3.5~rc2-1+b1)
audacious D-Bus remote control library
libaudcore5 (4.0.5-1)
audacious core engine library
libaudgui5 (4.0.5-1)
audacious media player (libaudgui shared library)
libaudio-cd-perl (0.05-11+b3)
library to handle CDDB and low-level cd io calls
libaudio-dev (1.9.4-7)
Network Audio System - development files
libaudio-ecasound-perl (1.01-4+b3)
Perl binding to the ecasound sampler, recorder, fx-processor
libaudio-file-perl (0.11-4.1)
Perl audio file abstraction library
libaudio-flac-decoder-perl (0.3+dfsg-3+b1)
Perl module providing an object-oriented FLAC decoder
libaudio-flac-header-perl (2.4-3+b3)
Perl interface to FLAC file header metadata
libaudio-mixer-perl (0.7-7+b7)
perl extension for Sound Mixer control
libaudio-moosic-perl (0.10-3)
Moosic client library for Perl
libaudio-mpd-common-perl (2.003-2)
collection of common perl helper classes for MPD
libaudio-mpd-perl (2.004-2)
module to communicate with MPD servers
libaudio-musepack-perl (1.0.1-2.1)
object-oriented interface to Musepack file information and APE tag fields
libaudio-rpld-perl (0.006-1.1)
module to communicate with RoarAudio PlayList Daemon
libaudio-scan-perl (1.01-1+b3)
module for reading metadata from common audio file formats
libaudio-scrobbler-perl (0.01-2.3)
perl interface to audioscrobbler.com/last.fm
libaudio-wav-perl (0.14-2.1)
modules for manipulating WAV Audio files
libaudio-wma-perl (1.3-3)
perl extension for reading WMA/ASF Metadata
libaudio-xmmsclient-perl (0.8+dfsg-21)
XMMS2 - Perl client library
libaudio2 (1.9.4-7)
Network Audio System - shared libraries
libaudiofile-dev (0.3.6-5)
Open-source version of SGI's audiofile library (header files)
libaudiofile1 (0.3.6-5)
Open-source version of SGI's audiofile library
libaudiomask-dev (1.0-3)
Audio masking threshold estimation lib headers, docs and examples
libaudiomask1 (1.0-3)
Audio masking threshold estimation library
libaudit-common (1:3.0-2)
Dynamic library for security auditing - common files
libaudit-dev (1:3.0-2)
Header files and static library for security auditing
libaudit1 (1:3.0-2)
Dynamic library for security auditing
libaudqt2 (4.0.5-1)
audacious media player (libaudqt shared library)
libaudtag3 (4.0.5-1)
audacious media player (libaudtag shared library)
libaugeas-dev (1.12.0-2)
Development files for writing applications based on libaugeas0
libaugeas0 (1.12.0-2)
Augeas configuration editing library and API
libaunit-doc (21.0.0.fa386849-2)
AUnit, a unit testing framework for Ada: documentation
libaunit20 (21.0.0.fa386849-2)
AUnit, a unit testing framework for Ada: shared library
libaunit20-dev (21.0.0.fa386849-2)
AUnit, a unit testing framework for Ada: development
libauparse-dev (1:3.0-2)
Header files and static library for the libauparse0 library
libauparse0 (1:3.0-2)
Dynamic library for parsing security auditing
libauth-googleauth-perl (1.03-1)
Google Authenticator TOTP Abstraction
libauth-yubikey-decrypter-perl (0.07-2.1)
yubikey token output decryptor
libauth-yubikey-webclient-perl (4.02-1)
Perl module to authenticate a Yubikey against the Yubico Web API
libauthcas-perl (1.7-1.1)
Client library for CAS 2.0
libauthen-bitcard-perl (0.90-2.1)
Perl module providing authentication using the Bitcard service
libauthen-captcha-perl (1.024-2)
Perl extension for creating captcha's
libauthen-cas-client-perl (0.07-2)
Perl module for authentication using CAS
libauthen-dechpwd-perl (2.007-1~1+b3)
Perl module for DEC VMS password hashing
libauthen-htpasswd-perl (0.171-2)
Perl module to read and modify Apache .htpasswd files
libauthen-krb5-admin-perl (0.17-1+b14 [s390x], 0.17-1+b12 [amd64, armel, armhf, i386, mipsel], 0.17-1+b10 [arm64, mips64el, ppc64el])
Perl extension for MIT Kerberos 5 admin interface
libauthen-krb5-perl (1.9-5+b6)
Perl interface to Kerberos 5 API
libauthen-krb5-simple-perl (0.43-2+b11 [s390x], 0.43-2+b9 [amd64, armel, armhf, i386, mipsel], 0.43-2+b7 [arm64, mips64el, ppc64el])
Perl module for authentication using Kerberos 5
libauthen-libwrap-perl (0.23-1+b5)
module providing access to the TCP Wrappers library
libauthen-ntlm-perl (1.09-1.1)
authentication module for NTLM
libauthen-oath-perl (2.0.1-1)
Perl module for OATH One Time Passwords
libauthen-pam-perl (0.16-3+b10 [s390x], 0.16-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.16-3+b7 [mips64el, ppc64el])
Perl interface to PAM library
libauthen-passphrase-perl (0.008-2.1)
Perl module encapsulating hashed passwords/passphrases as objects
libauthen-radius-perl (0.32-1)
module to authenticate users using RADIUS
libauthen-sasl-cyrus-perl (0.13-server-11+b1)
Perl extension for Cyrus SASL library
libauthen-sasl-perl (2.1600-1.1)
Authen::SASL - SASL Authentication framework
libauthen-sasl-saslprep-perl (1.100-1)
Stringprep Profile for User Names and Passwords (RFC 4013)
libauthen-scram-perl (0.011-1)
Salted Challenge Response Authentication Mechanism (RFC 5802)
libauthen-simple-cdbi-perl (0.2-3.1)
Simple CDBI authentication
libauthen-simple-dbi-perl (0.2-3.1)
Simple DBI authentication
libauthen-simple-dbm-perl (0.2-4.1)
module to allow simple DBM authentication
libauthen-simple-http-perl (0.2-5.1)
module to allow the use of simple HTTP authentication
libauthen-simple-kerberos-perl (0.1-5.1)
module to allow the use of simple Kerberos authentication methods
libauthen-simple-ldap-perl (0.3-1.1)
module for simple LDAP authentication
libauthen-simple-net-perl (0.2-5.1)
module to use simple NET authentication methods
libauthen-simple-pam-perl (0.2-4.1)
simple PAM authentication module
libauthen-simple-passwd-perl (0.6-4.1)
Simple Passwd authentication
libauthen-simple-perl (0.5-1.1)
simple and consistent perl framework for authentication
libauthen-simple-radius-perl (0.1-3.1)
Simple RADIUS authentication
libauthen-simple-smb-perl (0.1-4.1)
Simple SMB authentication
libauthen-smb-perl (0.91-6+b10 [s390x], 0.91-6+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.91-6+b7 [mips64el, ppc64el])
SMB authentication module for Perl
libauthen-tacacsplus-perl (0.28-1+b1)
Perl module for authentication using TACACS+ server
libauthen-u2f-perl (0.003-1.1)
pure Perl FIDO U2F server library
libauthen-u2f-tester-perl (0.03-1)
FIDO/U2F Authentication Test Client
libauthority-shared-perl
本虛擬套件由這些套件填實: librole-commons-perl
libauto64fto32f-java (1.4+ds-2)
minimalist library for converting double numerical Java code into float
libautobox-core-perl (1.33-1)
module providing automatic methods for core functions
libautobox-dump-perl (20090426.1746-3.1)
Perl module that display results in a Human/perl readable form
libautobox-junctions-perl (0.002-1)
module providing autoboxified junction-style operators
libautobox-list-util-perl (20090629-3.1)
Perl module providing List::Util functions as methods on arrays
libautobox-perl (3.0.1-1+b3)
Perl pragma for method calls on native types
libautobox-transform-perl (1.035-1)
set of autobox methods to transform arrays and hashes
libautocomplete-java (2.5.3-1)
Java library for auto-completion in text component
libautocomplete-java-doc (2.5.3-1)
Java library for auto-completion in text component (documentation)
libautodie-perl (= 2.32)
本虛擬套件由這些套件填實: perl
libautomaton-java (1.11-8+dfsg1-1.1)
Finite-State Automaton for Regular Expressions
libautovivification-perl (0.18-1+b3)
pragma for lexically disabling autovivification
libavahi-client-dev (0.8-5+deb11u2)
Development files for the Avahi client library
libavahi-client3 (0.8-5+deb11u2)
Avahi client library
libavahi-common-data (0.8-5+deb11u2)
Avahi common data files
libavahi-common-dev (0.8-5+deb11u2)
Development files for the Avahi common library
libavahi-common3 (0.8-5+deb11u2)
Avahi common library
libavahi-compat-libdnssd-dev (0.8-5+deb11u2)
Development headers for the Avahi Apple Bonjour compatibility library
libavahi-compat-libdnssd1 (0.8-5+deb11u2)
Avahi Apple Bonjour compatibility library
libavahi-core-dev (0.8-5+deb11u2)
Development files for Avahi's embeddable mDNS/DNS-SD library
libavahi-core7 (0.8-5+deb11u2)
Avahi's embeddable mDNS/DNS-SD library
libavahi-glib-dev (0.8-5+deb11u2)
Development headers for the Avahi GLib integration library
libavahi-glib1 (0.8-5+deb11u2)
Avahi GLib integration library
libavahi-gobject-dev (0.8-5+deb11u2)
Development headers for the Avahi GObject library
libavahi-gobject0 (0.8-5+deb11u2)
Avahi GObject library
libavahi-ui-gtk3-0 (0.8-5+deb11u2)
Avahi GTK+ User interface library for GTK3
libavahi-ui-gtk3-dev (0.8-5+deb11u2)
Development headers for the Avahi GTK+ User interface library
libavalon-framework-java (4.2.0-10)
Common framework for Java server applications
libavalon-framework-java-doc (4.2.0-10)
Common framework for Java server applications (API)
libavc1394-0 (0.5.4-5)
control IEEE 1394 audio/video devices
libavc1394-dev (0.5.4-5)
control IEEE 1394 audio/video devices (development files)
libavc1394-tools (0.5.4-5)
control IEEE 1394 audio/video devices (utilities)
libavcall1 (2.2-1)
foreign function call libraries - calling C functions with variable arguments
libavcodec-dev (7:4.3.6-0+deb11u1)
FFmpeg library with de/encoders for audio/video codecs - development files
libavcodec-extra (7:4.3.6-0+deb11u1)
FFmpeg library with extra codecs (metapackage)
libavcodec-extra58 (7:4.3.6-0+deb11u1)
FFmpeg library with additional de/encoders for audio/video codecs
libavcodec58 (7:4.3.6-0+deb11u1)
FFmpeg library with de/encoders for audio/video codecs - runtime files
libavcodec58 (= 7:4.3.6-0+deb11u1)
本虛擬套件由這些套件填實: libavcodec-extra58
libavdevice-dev (7:4.3.6-0+deb11u1)
FFmpeg library for handling input and output devices - development files
libavdevice58 (7:4.3.6-0+deb11u1)
FFmpeg library for handling input and output devices - runtime files
libavfilter-dev (7:4.3.6-0+deb11u1)
FFmpeg library containing media filters - development files
libavfilter-extra (7:4.3.6-0+deb11u1)
FFmpeg library with extra filters (metapackage)
libavfilter-extra7 (7:4.3.6-0+deb11u1)
FFmpeg library with extra media filters - runtime files
libavfilter7 (7:4.3.6-0+deb11u1)
FFmpeg library containing media filters - runtime files
libavfilter7 (= 7:4.3.6-0+deb11u1)
本虛擬套件由這些套件填實: libavfilter-extra7
libavformat-dev (7:4.3.6-0+deb11u1)
FFmpeg library with (de)muxers for multimedia containers - development files
libavformat58 (7:4.3.6-0+deb11u1)
FFmpeg library with (de)muxers for multimedia containers - runtime files
libavif-bin (0.8.4-2+deb11u1)
Library for handling .avif files (utilities)
libavif-dev (0.8.4-2+deb11u1)
Library for handling .avif files (development files)
libavif-gdk-pixbuf (0.8.4-2+deb11u1)
Library for handling .avif files (GDK pixbuf plugin)
libavif9 (0.8.4-2+deb11u1)
Library for handling .avif files
libavifile-0.7-bin (1:0.7.48~20090503.ds-21)
toolkit for libavifile
libavifile-0.7-common (1:0.7.48~20090503.ds-21)
toolkit for libavifile
libavifile-0.7-dev (1:0.7.48~20090503.ds-21)
development header files for libavifile
libavifile-0.7c2 (1:0.7.48~20090503.ds-21)
shared libraries for AVI read/writing
libavkys-dev (8.6.1+dfsg-2.1)
full featured webcam capture application - dev
libavkys8 (8.6.1+dfsg-2.1)
full featured webcam capture application - library
libavl-dev (0.3.5-4+b1)
AVL tree manipulation library - development
libavl1 (0.3.5-4+b1)
AVL tree manipulation library - runtime
libavogadro-dev (1.93.1-3)
Molecular Graphics and Modelling System (development files)
libavogadro-doc (1.93.1-3)
Molecular Graphics and Modelling System (lib documentation)
libavogadro2-1 (1.93.1-3)
Molecular Graphics and Modelling System (library)
libavresample-dev (7:4.3.6-0+deb11u1)
FFmpeg compatibility library for resampling - development files
libavresample4 (7:4.3.6-0+deb11u1)
FFmpeg compatibility library for resampling - runtime files
libavro-compiler-java (1.8.2-1)
Apache Avro compiler for Java
libavro-dev (1.9.0-1+b1 [amd64], 1.9.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Apache Avro C library headers (avro-c)
libavro-java (1.8.2-1)
Apache Avro data serialization system
libavro-maven-plugin-java (1.8.2-1)
Apache Avro Maven plugin
libavro23 (1.9.0-1+b1 [amd64], 1.9.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Apache Avro C shared library (avro-c)
libavutil-dev (7:4.3.6-0+deb11u1)
FFmpeg library with functions for simplifying programming - development files
libavutil56 (7:4.3.6-0+deb11u1)
FFmpeg library with functions for simplifying programming - runtime files
libawl-php (0.62-1)
Andrew's Web Libraries - PHP Utility Libraries
libaws-bin (20.2-2)
Ada Web Server utilities
libaws-doc (20.2-2)
Ada Web Server documentation
libaws-signature4-perl (1.02-1.1)
module to create a version4 signature for Amazon Web Services
libaws20-dev (20.2-2)
Ada Web Server development files
libaws6 (20.2-2)
Ada Web Server shared library
libax25 (0.0.12-rc5+git20190411+b17ff36-3)
ax25 library for hamradio applications
libax25-dev (0.0.12-rc5+git20190411+b17ff36-3)
ax25 library development files
libaxc-dev (0.3.3-1+b1 [amd64], 0.3.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
development headers for libaxc
libaxc0 (0.3.3-1+b1 [amd64], 0.3.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
client library for libsignal-protocol-c
libaxiom-java (1.2.8-3)
Apache AXIOM - StAX-based XML Infoset compliant object model
libaxis-java (1.4-28+deb11u1)
SOAP implementation in Java
libaxis-java-doc (1.4-28+deb11u1)
SOAP implementation in Java (documentation)
libaxmlrpc-java (1.12.1-1)
XML-RPC Java library
libayatana-appindicator-dev (0.5.5-2+deb11u2)
Ayatana Application Indicators (development files, GTK-2+ version)
libayatana-appindicator-doc (0.5.5-2+deb11u2)
Ayatana Application Indicators (documentation files, GTK-2+ version)
libayatana-appindicator0.1-cil (0.5.5-2+deb11u2)
CLI bindings for libayatana-appindicator
libayatana-appindicator0.1-cil-dev (0.5.5-2+deb11u2)
Ayatana Application Indicators for Mono
libayatana-appindicator1 (0.5.5-2+deb11u2)
Ayatana Application Indicators (GTK-2+ version)
libayatana-appindicator3-1 (0.5.5-2+deb11u2)
Ayatana Application Indicators (GTK-3+ version)
libayatana-appindicator3-dev (0.5.5-2+deb11u2)
Ayatana Application Indicators (development files, GTK-3+ version)
libayatana-ido3-0.4-0 (0.8.2-1)
Widgets and other objects used for Ayatana Indicators
libayatana-ido3-0.4-dev
本虛擬套件由這些套件填實: libayatana-ido3-dev
libayatana-ido3-dev (0.8.2-1)
Widgets and other objects used for Ayatana Indicators - development files
libayatana-indicator-dev (0.8.4-1+deb11u2)
panel indicator applet - library development files (GTK-2+)
libayatana-indicator3-7 (0.8.4-1+deb11u2)
panel indicator applet - shared library (GTK-3+ variant)
libayatana-indicator3-dev (0.8.4-1+deb11u2)
panel indicator applet - library development files (GTK-3+)
libayatana-indicator3-tools (0.8.4-1+deb11u2)
Tools for libayatana-indicator
libayatana-indicator7 (0.8.4-1+deb11u2)
panel indicator applet - shared library (GTK-2+ variant)
libb-compiling-perl (0.06-1+b7)
Perl module for expose PL_compiling to perl
libb-cow-perl (0.004-1+b1)
additional B helpers to check COW status
libb-debug-perl (1.26-2)
module to print debug info about perl ops
libb-hooks-endofscope-perl (0.24-1.1)
module for executing code after a scope finished compilation
libb-hooks-op-annotation-perl (0.44-2+b10 [s390x], 0.44-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.44-2+b7 [mips64el, ppc64el])
module to allow annotation and delegation of hooked OPs
libb-hooks-op-check-entersubforcv-perl (0.10-1+b3)
Invoke callbacks on construction of entersub OPs for certain CVs
libb-hooks-op-check-perl (0.22-1+b3)
Perl wrapper for OP check callbacks
libb-hooks-op-ppaddr-perl (0.06-1+b3)
C API to hook into Perl opcode execution
libb-hooks-parser-perl (0.21-1+b2)
module providing an interface to Perl's parser variables
libb-keywords-perl (1.22-1)
lists of internal perl keywords
libb-lint-perl (1.22-1)
Perl lint
libb-perlreq-perl (0.82-5+b5)
Perl module to determine Perl module dependencies
libb-utils-perl (0.27-1+b7)
Perl module assisting with op tree manipulation
libb2-1 (0.98.1-1.1)
BLAKE2 family of hash functions
libb2-dev (0.98.1-1.1)
BLAKE2 family of hash functions -- development files
libb64-0d (1.2-5+b1)
base64 encoding/decoding library - runtime library
libb64-dev (1.2-5+b1)
base64 encoding/decoding library - development files
libbabeltrace-ctf-dev (1.5.8-1)
Babeltrace development files (transitional package)
libbabeltrace-ctf-dev (= 1.5.8-1+b3)
本虛擬套件由這些套件填實: libbabeltrace-dev
libbabeltrace-ctf1 (1.5.8-1)
Babeltrace conversion libraries (transitional package)
libbabeltrace-ctf1 (= 1.5.8-1+b3)
本虛擬套件由這些套件填實: libbabeltrace1
libbabeltrace-dev (1.5.8-1+b3)
Babeltrace development files
libbabeltrace1 (1.5.8-1+b3)
Babeltrace conversion libraries
libbabeltrace2-0 (2.0.4-1)
Babeltrace2 library and plugins
libbabeltrace2-dev (2.0.4-1)
Babeltrace2 development files
libbabeltrace2-python-plugin-provider (2.0.4-1)
Babeltrace2 Python plugin provider
libbabl-0.1-0 (1:0.1.82-1)
Dynamic, any to any, pixel format conversion library
libbabl-dev (1:0.1.82-1)
Dynamic, any to any, pixel format conversion library (development files)
libbabl-doc (1:0.1.82-1)
Dynamic, any to any, pixel format conversion library (documentation)
libbackport9-java (1.10-2)
Collection of backports and utilities for apps and libraries
libbackport9-java-doc (1.10-2)
Documentation for the backport9 Java library
libbackuppc-xs-perl (0.62-1+b1)
Perl module with C backend for BackupPC 4
libbackward-cpp-dev (1.5-2)
Beautiful stack trace pretty printer for C++
libball1.5 (1.5.0+git20180813.37fc53c-6+b3)
Biochemical Algorithms Library
libball1.5-data (1.5.0+git20180813.37fc53c-6)
Biochemical Algorithms Library (data files)
libball1.5-dev (1.5.0+git20180813.37fc53c-6+b3)
Header files for the Biochemical Algorithms Library
libball1.5-doc (1.5.0+git20180813.37fc53c-6)
documentation for the BALL library
libballview1.5 (1.5.0+git20180813.37fc53c-6+b3)
Biochemical Algorithms Library, VIEW framework
libballview1.5-dev (1.5.0+git20180813.37fc53c-6+b3)
Header files for the VIEW part of the Biochemical Algorithms Library
libbam-dev (0.1.19+dfsg-2)
manipulates nucleotide sequence alignments in BAM or SAM format
libbambamc-dev (0.0.50-4)
Development files for reading and writing BAM (genome alignment) files
libbambamc0 (0.0.50-4)
Runtime library for reading and writing BAM (genome alignment) files
libbamf-doc (0.5.4-2)
Window matching library - documentation
libbamf3-2 (0.5.4-2)
Window matching library - shared library
libbamf3-dev (0.5.4-2)
Window matching library - development files
libbamtools-dev (2.5.1+dfsg-9)
C++ API for manipulating BAM (genome alignment) files
libbamtools-doc (2.5.1+dfsg-9)
docs for dynamic library for manipulating BAM (genome alignment) files
libbamtools2.5.1 (2.5.1+dfsg-9)
dynamic library for manipulating BAM (genome alignment) files
libbarclay-java (2.1.0-3.1)
Java library to parse command line
libbarcode-code128-perl (2.21-2)
Perl library to generate CODE 128 bar codes
libbarcode-datamatrix-perl (0.10-1)
generator for Data Matrix barcodes
libbarcode-datamatrix-png-perl (0.04-2)
generator of PNG Data Matrix barcodes
libbarcode-zbar-perl (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (Perl bindings)
libbareword-filehandles-perl (0.007-1+b2)
Perl pragma to disable bareword filehandles
libbart-dev (0.6.00-3)
Development files for BART
libbase-java (1.1.6-2.1)
A general purpose base service library (OOo 3.1 branch)
libbase-ocaml (0.14.0-1+b1)
Jane Street's alternative standard library (runtime)
libbase-ocaml-cz6f0
本虛擬套件由這些套件填實: libbase-ocaml
libbase-ocaml-dev (0.14.0-1+b1)
Jane Street's alternative standard library (development)
libbase-ocaml-dev-cz6f0
本虛擬套件由這些套件填實: libbase-ocaml-dev
libbase-ocaml-dev-gu8b0
本虛擬套件由這些套件填實: libbase-ocaml-dev
libbase-ocaml-dev-jc0b6
本虛擬套件由這些套件填實: libbase-ocaml-dev
libbase-ocaml-dev-kfsj2
本虛擬套件由這些套件填實: libbase-ocaml-dev
libbase-ocaml-gu8b0
本虛擬套件由這些套件填實: libbase-ocaml
libbase-ocaml-jc0b6
本虛擬套件由這些套件填實: libbase-ocaml
libbase-ocaml-kfsj2
本虛擬套件由這些套件填實: libbase-ocaml
libbase1 (2.6.7.6+ds-3+b1)
GDAL add-on tools to perform useful raster processing - libbase
libbase58-0 (0.1.4-3)
library for Bitcoin's base58 encoding
libbase58-dev (0.1.4-3)
library for Bitcoin's base58 encoding -- development files
libbase64-ocaml (3.4.0-1+b1)
Base64 encoding and decoding OCaml library (runtime files)
libbase64-ocaml-3hfi0
本虛擬套件由這些套件填實: libbase64-ocaml
libbase64-ocaml-4eyk4
本虛擬套件由這些套件填實: libbase64-ocaml
libbase64-ocaml-a1ot1
本虛擬套件由這些套件填實: libbase64-ocaml
libbase64-ocaml-dev (3.4.0-1+b1)
Base64 encoding and decoding OCaml library (dev files)
libbase64-ocaml-dev-3hfi0
本虛擬套件由這些套件填實: libbase64-ocaml-dev
libbase64-ocaml-dev-4eyk4
本虛擬套件由這些套件填實: libbase64-ocaml-dev
libbase64-ocaml-dev-a1ot1
本虛擬套件由這些套件填實: libbase64-ocaml-dev
libbase64-ocaml-dev-pcrk8
本虛擬套件由這些套件填實: libbase64-ocaml-dev
libbase64-ocaml-pcrk8
本虛擬套件由這些套件填實: libbase64-ocaml
libbaseencode-dev (1.0.11-1)
C library for encoding and decoding data using base32 or base64 (development)
libbaseencode1 (1.0.11-1)
C library for encoding and decoding data using base32 or base64
libbash (0.9.11-3)
bash dynamic-like shared libraries
libbash-doc (0.9.11-3)
bash dynamic-like shared libraries - documentation
libbasicobjects-dev (0.6.1-2)
Basic object types for C -- development files
libbasicobjects0 (0.6.1-2)
Basic object types for C
libbasicplayer-java (3.0-7)
threaded simple player class based on JavaSound API
libbasix-dev (0.0.1~git20210122.4f10ef2-2)
Finite Element Basis Function Definition Runtime Library (development headers)
libbasix0 (0.0.1~git20210122.4f10ef2-2)
Finite Element Basis Function Definition Runtime Library (Python 3)
libbatik-java (1.12-4+deb11u2)
xml.apache.org SVG Library
libbatteries-ocaml-dev (3.1.0-2)
Batteries included: OCaml development platform - development files
libbatteries-ocaml-dev-qiev7
本虛擬套件由這些套件填實: libbatteries-ocaml-dev
libbatteries-ocaml-dev-qr6j0
本虛擬套件由這些套件填實: libbatteries-ocaml-dev
libbatteries-ocaml-dev-v61l2
本虛擬套件由這些套件填實: libbatteries-ocaml-dev
libbatteries-ocaml-dev-zvd34
本虛擬套件由這些套件填實: libbatteries-ocaml-dev
libbatteries-ocaml-doc (3.1.0-2)
Batteries included - OCaml development platform - documentation
libbbhash-dev (1.0.0-3)
bloom-filter based minimal perfect hash function library
libbcel-java (6.5.0-1+deb11u1)
Analyze, create, and manipulate (binary) Java class files
libbcel-java-doc (6.5.0-1+deb11u1)
Documentation for Byte Code Engineering Library (BCEL)
libbcg729-0 (1.1.1-2)
ITU G.729 Annex A compatible audio codec
libbcg729-dev (1.1.1-2)
Development files for the ITU G.729 compatible audio codec
libbcmail-java (1.68-2)
Bouncy Castle generators/processors for S/MIME and CMS
libbcmail-java-doc (1.68-2)
Bouncy Castle generators/processors for S/MIME and CMS (Documentation)
libbcpg-java (1.68-2)
Bouncy Castle generators/processors for OpenPGP
libbcpg-java-doc (1.68-2)
Bouncy Castle generators/processors for OpenPGP (Documentation)
libbcpkix-java (1.68-2)
Bouncy Castle Java API for PKIX, CMS, EAC, TSP, PKCS, OCSP, CMP, and CRMF
libbcpkix-java-doc (1.68-2)
Bouncy Castle Java API for PKIX, CMS, EAC, TSP, PKCS... (Documentation)
libbcprov-java (1.68-2)
Bouncy Castle Java Cryptographic Service Provider
libbcprov-java-doc (1.68-2)
Bouncy Castle Java Cryptographic Service Provider (Documentation)
libbctoolbox-dev (4.4.13-2)
Helper code for software by Belledonne Communications (development headers)
libbctoolbox1 (4.4.13-2)
Helper library for software by Belledonne Communications (shared library)
libbdd-dev (2.4-11+b3)
Binary decision-diagram library (development)
libbdd0c2 (2.4-11+b3)
Binary decision-diagram library (runtime)
libbde-dev (20190102-2)
BitLocker Drive Encryption access library -- development files
libbde-utils (20190102-2)
Tools to access the BitLocker Drive Encryption format.
libbde1 (20190102-2)
BitLocker Drive Encryption access library
libbdplus-dev (0.1.2-3)
implementation of BD+ for reading Blu-ray Discs (development files)
libbdplus0 (0.1.2-3)
implementation of BD+ for reading Blu-ray Discs
libbeam-java (1.3.3-3)
SMILES parsing and generation library for cheminformatics
libbeansbinding-java (1.2.1-4)
Beans Binding API (library)
libbeansbinding-java-doc (1.2.1-4)
Beans Binding API (documentation)
libbeckon-clojure (0.1.1-2)
handle POSIX signals in Clojure
libbelcard-dev (4.4.13-2)
VCard standard format manipulation library (development headers)
libbelcard1 (4.4.13-2)
VCard standard format manipulation library
libbellesip-dev (4.4.21+dfsg-1)
SIP stack from the Linphone team (development files)
libbellesip1 (4.4.21+dfsg-1)
SIP stack from the Linphone team
libbelr-dev (4.4.13-2)
language recognition library by Belledonne Communications (development headers)
libbelr1 (4.4.13-2)
language recognition library by Belledonne Communications
libben-ocaml (0.9.2)
OCaml libraries for Debian maintainers (runtime package)
libben-ocaml-6g9w4
本虛擬套件由這些套件填實: libben-ocaml
libben-ocaml-b7t83
本虛擬套件由這些套件填實: libben-ocaml
libben-ocaml-dev (0.9.2)
OCaml libraries for Debian maintainers (development package)
libben-ocaml-dev-6g9w4
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dev-b7t83
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dev-dzey7
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dev-jqnn8
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dev-l7tz2
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dev-pecj6
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dev-sdd83
本虛擬套件由這些套件填實: libben-ocaml-dev
libben-ocaml-dzey7
本虛擬套件由這些套件填實: libben-ocaml
libben-ocaml-jqnn8
本虛擬套件由這些套件填實: libben-ocaml
libben-ocaml-l7tz2
本虛擬套件由這些套件填實: libben-ocaml
libben-ocaml-pecj6
本虛擬套件由這些套件填實: libben-ocaml
libben-ocaml-sdd83
本虛擬套件由這些套件填實: libben-ocaml
libbenchmark-apps-perl (0.05-1)
simple module to benchmark applications
libbenchmark-dev (1.5.2-2)
Microbenchmark support library, development files
libbenchmark-ocaml-dev (1.6-1+b1)
OCaml benchmarking library
libbenchmark-ocaml-dev-cs491
本虛擬套件由這些套件填實: libbenchmark-ocaml-dev
libbenchmark-ocaml-dev-m0ic9
本虛擬套件由這些套件填實: libbenchmark-ocaml-dev
libbenchmark-ocaml-dev-odxe2
本虛擬套件由這些套件填實: libbenchmark-ocaml-dev
libbenchmark-ocaml-dev-wfru4
本虛擬套件由這些套件填實: libbenchmark-ocaml-dev
libbenchmark-progressbar-perl (0.00001-2)
Perl module that displays progress bar during benchmarking
libbenchmark-timer-perl (0.7112-1.1)
benchmarking module with statistical confidence
libbenchmark-tools (1.5.2-2)
Microbenchmark support library, tools and documentation
libbenchmark1 (1.5.2-2)
Microbenchmark support library, shared library
libbencode-perl (1.501-1.1)
Perl module for BitTorrent serialisation format
libberkeleydb-perl (0.64-1+b1)
use Berkeley DB databases from Perl
libbest-perl (0.15-2)
fallbackable module loader
libbetter-appframework-java (1.9.2-1)
Java Better Swing Application Framework (library)
libbetter-appframework-java-doc (1.9.2-1)
Java Better Swing Application Framework (documentation)
libbfb-dev
本虛擬套件由這些套件填實: libbfb0-dev
libbfb0 (0.24-6+b4)
bfb protocol library
libbfb0-dev (0.24-6+b4)
bfb protocol library - development files
libbfd-dev
本虛擬套件由這些套件填實: binutils-dev
libbfio-dev (20170123-6)
Header files and libraries for developing applications for libbfio
libbfio1 (20170123-6)
Library to provide basic input/output abstraction
libbg-dev (2.04+dfsg-2.1)
BG Libraries Collection (development)
libbg2 (2.04+dfsg-2.1)
BG Libraries Collection
libbg2-doc (2.04+dfsg-2.1)
BG Libraries Collection (documentation)
libbiblesync-dev (2.1.0-1)
multicast protocol to support Bible co-navigation (development files)
libbiblesync1.1 (2.1.0-1)
multicast protocol to support Bible co-navigation
libbiblio-citation-parser-perl (1.10+dfsg-2.1)
citation parsing framework
libbiblio-endnotestyle-perl (0.06-1)
Perl module to format bibliographic references using Endnote-like templates
libbiblio-isis-perl (0.24-1.3)
Perl module which reads ISIS databases
libbiblio-thesaurus-perl (0.43-2.1)
Perl extension for managing ISO thesaurus
libbibutils-dev (6.10-2)
bibliography file converter, development kit
libbibutils7 (6.10-2)
bibliography file converter, shared library
libbidi-clojure (2.1.6-1)
bidirectional URI routing for Clojure
libbigarray-compat-ocaml (1.0.0-1+b2 [amd64], 1.0.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
compatibility library for Stdlib.Bigarray (runtime)
libbigarray-compat-ocaml-6apf5
本虛擬套件由這些套件填實: libbigarray-compat-ocaml
libbigarray-compat-ocaml-8vd25
本虛擬套件由這些套件填實: libbigarray-compat-ocaml
libbigarray-compat-ocaml-cf240
本虛擬套件由這些套件填實: libbigarray-compat-ocaml
libbigarray-compat-ocaml-dev (1.0.0-1+b2 [amd64], 1.0.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
compatibility library for Stdlib.Bigarray (dev)
libbigarray-compat-ocaml-dev-6apf5
本虛擬套件由這些套件填實: libbigarray-compat-ocaml-dev
libbigarray-compat-ocaml-dev-8vd25
本虛擬套件由這些套件填實: libbigarray-compat-ocaml-dev
libbigarray-compat-ocaml-dev-cf240
本虛擬套件由這些套件填實: libbigarray-compat-ocaml-dev
libbigarray-compat-ocaml-dev-uo1b9
本虛擬套件由這些套件填實: libbigarray-compat-ocaml-dev
libbigarray-compat-ocaml-uo1b9
本虛擬套件由這些套件填實: libbigarray-compat-ocaml
libbigdoc-java (0.3.0-2)
bigdoc - java library for search gigabyte order file
libbigint-dev (2010.04.30-2)
C++ Big Integer Library (development files)
libbigint0 (2010.04.30-2)
C++ Big Integer Library
libbigwig-dev (0.4.4+dfsg-2)
C library for handling bigWig files - header files
libbigwig-doc (0.4.4+dfsg-2)
C library for handling bigWig files - documentation
libbigwig0 (0.4.4+dfsg-2)
C library for handling bigWig files
libbin-prot-ocaml (1:0.14.0-1+b4 [i386], 1:0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
type-safe binary serialization for OCaml values (runtime)
libbin-prot-ocaml-2s695
本虛擬套件由這些套件填實: libbin-prot-ocaml
libbin-prot-ocaml-a8508
本虛擬套件由這些套件填實: libbin-prot-ocaml
libbin-prot-ocaml-dev (1:0.14.0-1+b4 [i386], 1:0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
type-safe binary serialization for OCaml values (dev files)
libbin-prot-ocaml-dev-2s695
本虛擬套件由這些套件填實: libbin-prot-ocaml-dev
libbin-prot-ocaml-dev-a8508
本虛擬套件由這些套件填實: libbin-prot-ocaml-dev
libbin-prot-ocaml-dev-fvod2
本虛擬套件由這些套件填實: libbin-prot-ocaml-dev
libbin-prot-ocaml-dev-kzk02
本虛擬套件由這些套件填實: libbin-prot-ocaml-dev
libbin-prot-ocaml-fvod2
本虛擬套件由這些套件填實: libbin-prot-ocaml
libbin-prot-ocaml-kzk02
本虛擬套件由這些套件填實: libbin-prot-ocaml
libbind-config-parser-perl (0.01-1.1)
parser for BIND Config files
libbind-confparser-perl (0.95-5)
parser class for BIND configuration files
libbind-dev (1:9.11.19+dfsg-2.1)
Static Libraries and Headers used by BIND
libbind-export-dev (1:9.11.19+dfsg-2.1)
Development files for the exported BIND libraries
libbind9-161 (1:9.11.19+dfsg-2.1)
BIND9 Shared Library used by BIND
libbindex-java (2.2+svn101-4)
OSGi BIndex reader
libbinio-dev (1.5+dfsg-0.1)
Binary I/O stream class library (development files)
libbinio1v5 (1.5+dfsg-0.1)
Binary I/O stream class library
libbiniou-ocaml (1.2.1-1+b2)
flexible binary data format in OCaml - plugins
libbiniou-ocaml-4c3g1
本虛擬套件由這些套件填實: libbiniou-ocaml
libbiniou-ocaml-d8jg1
本虛擬套件由這些套件填實: libbiniou-ocaml
libbiniou-ocaml-dev (1.2.1-1+b2)
flexible binary data format in OCaml - development files
libbiniou-ocaml-dev-4c3g1
本虛擬套件由這些套件填實: libbiniou-ocaml-dev
libbiniou-ocaml-dev-d8jg1
本虛擬套件由這些套件填實: libbiniou-ocaml-dev
libbiniou-ocaml-dev-dsqd1
本虛擬套件由這些套件填實: libbiniou-ocaml-dev
libbiniou-ocaml-dev-ljbe4
本虛擬套件由這些套件填實: libbiniou-ocaml-dev
libbiniou-ocaml-dsqd1
本虛擬套件由這些套件填實: libbiniou-ocaml
libbiniou-ocaml-ljbe4
本虛擬套件由這些套件填實: libbiniou-ocaml
libbinutils (2.35.2-2)
GNU binary utilities (private shared library)
libbinutils-dbg (2.35.2-2)
GNU binary utilities (private shared library, debug symbols)
libbio-alignio-stockholm-perl (1.7.3-2)
stockholm sequence input/output stream
libbio-asn1-entrezgene-perl (1.730-2)
parser for NCBI Entrez Gene and NCBI Sequence records
libbio-chado-schema-perl (0.20000-3)
DBIx::Class layer for the Chado database schema
libbio-cluster-perl (1.7.3-5)
BioPerl cluster modules
libbio-coordinate-perl (1.7.1-4)
BioPerl modules for working with biological coordinates
libbio-das-lite-perl (2.11-8)
implementation of the BioDas protocol
libbio-db-ace-perl (1.7.3-2)
Database object interface to ACeDB servers
libbio-db-biofetch-perl (1.7.3-4)
Database object interface to BioFetch retrieval
libbio-db-embl-perl (1.7.4-4)
Database object interface for EMBL entry retrieval
libbio-db-gff-perl (1.7.4-1)
Storage and retrieval of sequence annotation data
libbio-db-hts-perl (3.01-3+b1)
Perl interface to the HTS library
libbio-db-ncbihelper-perl (1.7.6-4)
collection of routines useful for queries to NCBI databases
libbio-db-refseq-perl (1.7.4-1)
Database object interface for RefSeq retrieval
libbio-db-seqfeature-perl (1.7.4-1)
Normalized feature for use with Bio::DB::SeqFeature::Store
libbio-db-swissprot-perl (1.7.4-1)
Database object interface to SwissProt retrieval
libbio-eutilities-perl (1.77-1)
BioPerl interface to the Entrez Programming Utilities (E-utilities)
libbio-featureio-perl (1.6.905-2)
Modules for reading, writing, and manipulating sequence features
libbio-graphics-perl (2.40-6)
Generate GD images of Bio::Seq objects
libbio-mage-perl (20030502.3-6)
Container module for classes in the MAGE package: MAGE
libbio-mage-utils-perl (20030502.0-5)
Extra modules for classes in the MAGE package: MAGE
libbio-perl-perl (1.7.7-2)
BioPerl core perl modules
libbio-perl-run-perl (1.7.3-6)
BioPerl wrappers: modules
libbio-primerdesigner-perl (0.07-8)
Perl module to design PCR primers using primer3 and epcr
libbio-procedural-perl (1.7.4-2)
Simple low-dependency procedural interfaces to BioPerl
libbio-samtools-perl (1.43-3+b1)
Perl interface to SamTools library for DNA sequencing
libbio-scf-perl (1.03-5+b1)
Perl extension for reading and writing SCF sequence files
libbio-searchio-hmmer-perl (1.7.3-2)
perl parser for HMMER2 and HMMER3 output (hmmscan, hmmsearch, hmmpfam)
libbio-tools-phylo-paml-perl (1.7.3-3)
Bioperl interface to the PAML suite
libbio-tools-run-alignment-clustalw-perl (1.7.4-2)
Bioperl interface to Clustal W
libbio-tools-run-alignment-tcoffee-perl (1.7.4-2)
Bioperl interface to T-Coffee
libbio-tools-run-remoteblast-perl (1.7.3-3)
Object for remote execution of the NCBI Blast via HTTP
libbio-variation-perl (1.7.5-2)
BioPerl variation-related functionality
libbiococoa-dev (2.2.2-5)
Bioinformatics framework for GNUstep and Cocoa (development files)
libbiococoa2 (2.2.2-5)
Bioinformatics framework for GNUstep and Cocoa (library files)
libbiod-dev (0.2.3+git20191120.b8eecef-2+b3)
bioinformatics library in D (development files)
libbiod0 (0.2.3+git20191120.b8eecef-2+b3)
bioinformatics library in D
libbiojava-java (1:1.7.1-9)
Java API to biological data and applications (default version)
libbiojava-java-demos (1:1.7.1-9)
Example programs for BioJava
libbiojava-java-doc (1:1.7.1-9)
[Biology] Documentation for BioJava
libbiojava1.7-java (1:1.7.1-9)
Java API to biological data and applications (version 1.7)
libbiojava4-java (4.2.12+dfsg-3.1)
Java API to biological data and applications (default version)
libbiojava4-java
本虛擬套件由這些套件填實: libbiojava4-java
libbiojava4-java-doc (4.2.12+dfsg-3.1)
[Biology] Documentation for BioJava
libbiojava4.0-java (4.2.12+dfsg-3.1)
Java API to biological data and applications (version 4)
libbiometric-dev (0.9.70-1)
Biometric Identification DRIVER API - development files
libbiometric0 (0.9.70-1)
Biometric Identification library
libbioparser-dev (3.0.12-1)
library for parsing several formats in bioinformatics
libbiosig-dev (2.1.2-4)
I/O library for biomedical data - development files
libbiosig3 (2.1.2-4)
I/O library for biomedical data - dynamic library
libbison-dev (2:3.7.5+dfsg-1)
YACC-compatible parser generator - development library
libbit-vector-minimal-perl (1.3-5.1)
object-oriented wrapper around vec()
libbit-vector-perl (7.4-1+b7)
Perl module for bit vectors and more
libbitmask-dev (2.0-3+b1)
header files and docs for libbitmask
libbitmask1 (2.0-3+b1)
supports multi-word bitmask operations
libbitstream-dev (1.5-1)
C headers giving access to binary streams like MPEG or DVB
libbitstring-ocaml (3.1.1-2+b3 [i386], 3.1.1-2+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Erlang-style bitstrings for OCaml (runtime)
libbitstring-ocaml-0l3e5
本虛擬套件由這些套件填實: libbitstring-ocaml
libbitstring-ocaml-2owq5
本虛擬套件由這些套件填實: libbitstring-ocaml
libbitstring-ocaml-6pd60
本虛擬套件由這些套件填實: libbitstring-ocaml
libbitstring-ocaml-dev (3.1.1-2+b3 [i386], 3.1.1-2+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Erlang-style bitstrings for OCaml (development files)
libbitstring-ocaml-dev-0l3e5
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-dev-2owq5
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-dev-6pd60
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-dev-gji83
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-dev-kszb6
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-dev-m60a0
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-dev-zvzo1
本虛擬套件由這些套件填實: libbitstring-ocaml-dev
libbitstring-ocaml-gji83
本虛擬套件由這些套件填實: libbitstring-ocaml
libbitstring-ocaml-kszb6
本虛擬套件由這些套件填實: libbitstring-ocaml
libbitstring-ocaml-m60a0
本虛擬套件由這些套件填實: libbitstring-ocaml
libbitstring-ocaml-zvzo1
本虛擬套件由這些套件填實: libbitstring-ocaml
libbjack-ocaml (0.1.5-1+b1)
OCaml blocking interface to jack audio connection kit
libbjack-ocaml-dev (0.1.5-1+b1)
OCaml blocking interface to jack audio connection kit
libbjack-ocaml-dev-o6rx0
本虛擬套件由這些套件填實: libbjack-ocaml-dev
libbjack-ocaml-dev-qgdo5
本虛擬套件由這些套件填實: libbjack-ocaml-dev
libbjack-ocaml-dev-vtdq9
本虛擬套件由這些套件填實: libbjack-ocaml-dev
libbjack-ocaml-dev-yerh4
本虛擬套件由這些套件填實: libbjack-ocaml-dev
libbjack-ocaml-o6rx0
本虛擬套件由這些套件填實: libbjack-ocaml
libbjack-ocaml-qgdo5
本虛擬套件由這些套件填實: libbjack-ocaml
libbjack-ocaml-vtdq9
本虛擬套件由這些套件填實: libbjack-ocaml
libbjack-ocaml-yerh4
本虛擬套件由這些套件填實: libbjack-ocaml
libblacs-mpi-dev
本虛擬套件由這些套件填實: libscalapack-mpi-dev, libscalapack-openmpi-dev, libscalapack-mpich-dev
libbladerf-dev (0.2019.07-7)
Nuand bladeRF software-defined radio device (header files)
libbladerf-doc (0.2019.07-7)
Nuand bladeRF software-defined radio device (API documentation)
libbladerf2 (0.2019.07-7)
Nuand bladeRF software-defined radio device
libblas-dev (3.9.0-3+deb11u1)
Basic Linear Algebra Subroutines 3, static library
libblas-test (3.9.0-3+deb11u1)
Basic Linear Algebra Subroutines 3, testing programs
libblas.so
本虛擬套件由這些套件填實: libblis-pthread-dev, libopenblas-pthread-dev, libatlas-base-dev, libblis-serial-dev, libopenblas-openmp-dev, libblis-openmp-dev, libopenblas-serial-dev, libblas-dev
libblas.so.3
本虛擬套件由這些套件填實: libopenblas0-serial, libopenblas0-openmp, libatlas3-base, libblis3-serial, libblis3-pthread, libopenblas0-pthread, libblas3, libblis3-openmp
libblas3 (3.9.0-3+deb11u1)
Basic Linear Algebra Reference implementations, shared library
libblas64-3 (3.9.0-3+deb11u1)
Basic Linear Algebra Reference implementations, shared library (64bit-index)
libblas64-dev (3.9.0-3+deb11u1)
Basic Linear Algebra Subroutines 3, static library (64bit-index)
libblas64-test (3.9.0-3+deb11u1)
Basic Linear Algebra Subroutines 3, testing programs (64bit-index)
libblas64.so
本虛擬套件由這些套件填實: libblis64-pthread-dev, libblis64-serial-dev, libblis64-openmp-dev, libopenblas64-openmp-dev, libopenblas64-pthread-dev, libblas64-dev, libopenblas64-serial-dev
libblas64.so.3
本虛擬套件由這些套件填實: libblis64-3-serial, libopenblas64-0-pthread, libopenblas64-0-openmp, libblis64-3-pthread, libblis64-3-openmp, libopenblas64-0-serial, libblas64-3
libblasr-dev (5.3.4+dfsg-3)
tools for aligning PacBio reads to target sequences (development files)
libblasr5.3.4 (5.3.4+dfsg-3)
tools for aligning PacBio reads to target sequences
libblimps-dev
本虛擬套件由這些套件填實: libblimps3-dev
libblimps3 (3.9+ds-1) [non-free]
blocks database improved searcher library
libblimps3-dev (3.9+ds-1) [non-free]
blocks database improved searcher library (development)
libblis-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,32bit,meta)
libblis-openmp-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,32bit,openmp)
libblis-pthread-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,32bit,pthread)
libblis-serial-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,32bit,serial)
libblis.so
本虛擬套件由這些套件填實: libblis-pthread-dev, libblis-openmp-dev, libblis-serial-dev
libblis.so.2
本虛擬套件由這些套件填實: libblis3-openmp, libblis3-serial, libblis3-pthread
libblis3 (0.8.0-1)
BLAS-like Library Instantiation Software Framework (32bit,meta)
libblis3-openmp (0.8.0-1)
BLAS-like Library Instantiation Software Framework (32bit,openmp)
libblis3-pthread (0.8.0-1)
BLAS-like Library Instantiation Software Framework (32bit,pthread)
libblis3-serial (0.8.0-1)
BLAS-like Library Instantiation Software Framework (32bit,serial)
libblis64-3 (0.8.0-1)
BLAS-like Library Instantiation Software Framework (64bit,meta)
libblis64-3-openmp (0.8.0-1)
BLAS-like Library Instantiation Software Framework (64bit,openmp)
libblis64-3-pthread (0.8.0-1)
BLAS-like Library Instantiation Software Framework (64bit,pthread)
libblis64-3-serial (0.8.0-1)
BLAS-like Library Instantiation Software Framework (64bit,serial)
libblis64-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,64bit,meta)
libblis64-openmp-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,64bit,openmp)
libblis64-pthread-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,64bit,pthread)
libblis64-serial-dev (0.8.0-1)
BLAS-like Library Instantiation Software Framework (dev,64bit,serial)
libblis64.so
本虛擬套件由這些套件填實: libblis64-serial-dev, libblis64-pthread-dev, libblis64-openmp-dev
libblis64.so.2
本虛擬套件由這些套件填實: libblis64-3-pthread, libblis64-3-serial, libblis64-3-openmp
libbliss
本虛擬套件由這些套件填實: libbliss2
libbliss-dev (0.73-5)
development files to compute graph automorphisms and labelings -- libdev
libbliss-dev-common (0.73-5)
development files to compute graph automorphisms and labelings -- headers
libbliss2 (0.73-5)
library to compute graph automorphisms and labelings
libblitz-dev
本虛擬套件由這些套件填實: libblitz0-dev
libblitz-doc (1:1.0.2+ds-2)
C++ template class library for scientific computing - doc
libblitz0
本虛擬套件由這些套件填實: libblitz0v5
libblitz0-dev (1:1.0.2+ds-2)
C++ template class library for scientific computing - libdev
libblitz0v5 (1:1.0.2+ds-2)
C++ template class library for scientific computing
libblkid-dev (2.36.1-8+deb11u2) [security]
block device ID library - headers
libblkid1 (2.36.1-8+deb11u2) [security]
block device ID library
libblkid1-udeb (2.36.1-8+deb11u2) [security]
stripped down block device ID library, for debian-installer
libblockdev-btrfs-dev (2.25-2)
BTRFS plugin for libblockdev - development
libblockdev-btrfs2 (2.25-2)
BTRFS plugin for libblockdev
libblockdev-crypto-dev (2.25-2)
Crypto plugin for libblockdev - development
libblockdev-crypto2 (2.25-2)
Crypto plugin for libblockdev
libblockdev-dev (2.25-2)
Library for manipulating block devices - development
libblockdev-dm-dev (2.25-2)
Device Mapper plugin for libblockdev - development
libblockdev-dm2 (2.25-2)
Device Mapper plugin for libblockdev
libblockdev-fs-dev (2.25-2)
file system plugin for libblockdev - development
libblockdev-fs2 (2.25-2)
file system plugin for libblockdev
libblockdev-kbd-dev (2.25-2)
kernel block device plugin for libblockdev - development
libblockdev-kbd2 (2.25-2)
kernel block device plugin for libblockdev
libblockdev-loop-dev (2.25-2)
Loop device plugin for libblockdev - development
libblockdev-loop2 (2.25-2)
Loop device plugin for libblockdev
libblockdev-lvm-dbus-dev (2.25-2)
LVM DBus plugin for libblockdev - development
libblockdev-lvm-dbus2 (2.25-2)
LVM DBus plugin for libblockdev
libblockdev-lvm-dev (2.25-2)
LVM plugin for libblockdev - development
libblockdev-lvm2 (2.25-2)
LVM plugin for libblockdev
libblockdev-mdraid-dev (2.25-2)
MD RAID plugin for libblockdev - development
libblockdev-mdraid2 (2.25-2)
MD RAID plugin for libblockdev
libblockdev-mpath-dev (2.25-2)
Multipath plugin for libblockdev - development
libblockdev-mpath2 (2.25-2)
Multipath plugin for libblockdev
libblockdev-nvdimm-dev (2.25-2)
NVDIMM plugin for libblockdev - development
libblockdev-nvdimm2 (2.25-2)
NVDIMM plugin for libblockdev
libblockdev-part-dev (2.25-2)
Partitioning plugin for libblockdev - development
libblockdev-part-err-dev (2.25-2)
Partition error utility functions for libblockdev - development
libblockdev-part-err2 (2.25-2)
Partition error utility functions for libblockdev
libblockdev-part2 (2.25-2)
Partitioning plugin for libblockdev
libblockdev-plugins-all (2.25-2)
All plugins for libblockdev
libblockdev-swap-dev (2.25-2)
Swap plugin for libblockdev - development
libblockdev-swap2 (2.25-2)
Swap plugin for libblockdev
libblockdev-utils-dev (2.25-2)
Utility functions for libblockdev - development
libblockdev-utils2 (2.25-2)
Utility functions for libblockdev
libblockdev2 (2.25-2)
Library for manipulating block devices
libblocksruntime-dev (0.4.1-1.1)
Blocks Runtime (development files)
libblocksruntime0 (0.4.1-1.1)
Blocks Runtime library
libbloom-dev (1.6-3)
simple and small bloom filter implementation in C (development files)
libbloom-filter-perl (1.2-2.1)
Bloom filter implemented in Perl
libbloom1 (1.6-3)
simple and small bloom filter implementation in C
libblosc-dev (1.20.1+ds1-2)
high performance meta-compressor optimized for binary data (development files)
libblosc1 (1.20.1+ds1-2)
high performance meta-compressor optimized for binary data
libbluetooth-dev (5.55-3.1+deb11u1)
Development files for using the BlueZ Linux Bluetooth library
libbluetooth3 (5.55-3.1+deb11u1)
Library to use the BlueZ Linux Bluetooth stack
libbluetooth3-dev
本虛擬套件由這些套件填實: libbluetooth-dev
libbluray-bdj (1:1.2.1-4+deb11u2)
Blu-ray Disc Java support library (BD-J library)
libbluray-bin (1:1.2.1-4+deb11u2)
Blu-ray disc playback support library (tools)
libbluray-dev (1:1.2.1-4+deb11u2)
Blu-ray disc playback support library (development files)
libbluray-doc (1:1.2.1-4+deb11u2)
Blu-ray disc playback support library (documentation)
libbluray2 (1:1.2.1-4+deb11u2)
Blu-ray disc playback support library (shared library)
libbmusb-dev (0.7.5-1)
userspace driver for Blackmagic USB3 video capture cards
libbmusb6 (0.7.5-1)
userspace driver for Blackmagic USB3 video capture cards
libbobcat-dev (5.07.00-1)
headers and documentation for the Bobcat library
libbobcat5 (5.07.00-1)
run-time (shared) Bobcat library
libbogl-dev (0.1.18-17)
Ben's Own Graphics Library - development files
libbogl0 (0.1.18-17)
Ben's Own Graphics Library - shared library
libboilerpipe-java (1.2.0-2)
Boilerplate removal and fulltext extraction from HTML pages
libboinc
本虛擬套件由這些套件填實: libboinc7
libboinc-app
本虛擬套件由這些套件填實: libboinc-app7
libboinc-app-dev (7.16.16+dfsg-1)
development files to build applications for BOINC projects
libboinc-app7 (7.16.16+dfsg-1)
libraries for BOINC's scientific applications
libboinc7 (7.16.16+dfsg-1)
libraries of BOINC the client depends on
libbolt-16-dev (1:16.0.6-15~deb11u2)
Post-link optimizer
libbond-dev (1.8.6-3)
Messages related to Robot OS bond_core - development
libbondcpp-dev (1.8.6-3)
Development files for Robot OS bondcpp library
libbondcpp1d (1.8.6-3)
Robot OS bondcpp library
libboogie-cil (2.4.1+dfsg-0.1)
verifiable programming language (library)
libboolean-perl (0.46-1)
module providing transparent support for booleans
libboolstuff-0.1-0 (0.1.16-1+b1)
library for operating on boolean expression binary trees
libboolstuff-0.1-0-dbg (0.1.16-1+b1)
library for operating on boolean expression binary trees - debug
libboost-all-dev (1.74.0.3)
Boost C++ Libraries development files (ALL) (default version)
libboost-atomic-dev (1.74.0.3)
atomic data types, operations, and memory ordering constraints (default version)
libboost-atomic1.74-dev (1.74.0-9)
atomic data types, operations, and memory ordering constraints
libboost-atomic1.74.0 (1.74.0-9)
atomic data types, operations, and memory ordering constraints
libboost-chrono-dev (1.74.0.3)
C++ representation of time duration, time point, and clocks (default version)
libboost-chrono1.74-dev (1.74.0-9)
C++ representation of time duration, time point, and clocks
libboost-chrono1.74.0 (1.74.0-9)
C++ representation of time duration, time point, and clocks
libboost-container-dev (1.74.0.3)
C++ library that implements several well-known containers - dev files (default version)
libboost-container1.74-dev (1.74.0-9)
C++ library that implements several well-known containers - dev files
libboost-container1.74.0 (1.74.0-9)
C++ library that implements several well-known containers
libboost-context-dev (1.74.0.3)
provides a sort of cooperative multitasking on a single thread (default version)
libboost-context1.74-dev (1.74.0-9)
provides a sort of cooperative multitasking on a single thread
libboost-context1.74.0 (1.74.0-9)
provides a sort of cooperative multitasking on a single thread
libboost-contract-dev (1.74.0.3)
Contract library for C++ - dev files (default version)
libboost-contract1.74-dev (1.74.0-9)
Contract library for C++ - dev files
libboost-contract1.74.0 (1.74.0-9)
Contract library for C++
libboost-coroutine-dev (1.74.0.3)
provides a sort of cooperative multitasking on a single thread (default version)
libboost-coroutine1.74-dev (1.74.0-9)
provides a sort of cooperative multitasking on a single thread
libboost-coroutine1.74.0 (1.74.0-9)
provides a sort of cooperative multitasking on a single thread
libboost-date-time-dev (1.74.0.3)
set of date-time libraries based on generic programming concepts (default version)
libboost-date-time1.74-dev (1.74.0-9)
set of date-time libraries based on generic programming concepts
libboost-date-time1.74.0 (1.74.0-9)
set of date-time libraries based on generic programming concepts
libboost-dev (1.74.0.3)
Boost C++ Libraries development files (default version)
libboost-doc (1.74.0.3)
Boost.org libraries documentation placeholder (default version)
libboost-exception-dev (1.74.0.3)
library to help write exceptions and handlers (default version)
libboost-exception1.74-dev (1.74.0-9)
library to help write exceptions and handlers
libboost-fiber-dev (1.74.0.3)
cooperatively-scheduled micro-/userland-threads (default version)
libboost-fiber1.74-dev (1.74.0-9)
cooperatively-scheduled micro-/userland-threads
libboost-fiber1.74.0 (1.74.0-9)
cooperatively-scheduled micro-/userland-threads
libboost-filesystem-dev (1.74.0.3)
filesystem operations (portable paths, iteration over directories, etc) in C++ (default version)
libboost-filesystem1.74-dev (1.74.0-9)
filesystem operations (portable paths, iteration over directories, etc) in C++
libboost-filesystem1.74.0 (1.74.0-9)
filesystem operations (portable paths, iteration over directories, etc) in C++
libboost-geometry-utils-perl (0.15-2+b9 [amd64, arm64, armel, armhf, i386, mipsel, s390x], 0.15-2+b8 [ppc64el], 0.15-2+b7 [mips64el])
Perl module providing bindings to the Boost Geometry library
libboost-graph-dev (1.74.0.3)
generic graph components and algorithms in C++ (default version)
libboost-graph-parallel-dev (1.74.0.3)
generic graph components and algorithms in C++ (default version)
libboost-graph-parallel1.74-dev (1.74.0-9)
generic graph components and algorithms in C++
libboost-graph-parallel1.74.0 (1.74.0-9)
generic graph components and algorithms in C++
libboost-graph1.74-dev (1.74.0-9)
generic graph components and algorithms in C++
libboost-graph1.74.0 (1.74.0-9)
generic graph components and algorithms in C++
libboost-iostreams-dev (1.74.0.3)
Boost.Iostreams Library development files (default version)
libboost-iostreams1.74-dev (1.74.0-9)
Boost.Iostreams Library development files
libboost-iostreams1.74.0 (1.74.0-9)
Boost.Iostreams Library
libboost-locale-dev (1.74.0.3)
C++ facilities for localization (default version)
libboost-locale1.74-dev (1.74.0-9)
C++ facilities for localization
libboost-locale1.74.0 (1.74.0-9)
C++ facilities for localization
libboost-log-dev (1.74.0.3)
C++ logging library (default version)
libboost-log1.74-dev (1.74.0-9)
C++ logging library
libboost-log1.74.0 (1.74.0-9)
C++ logging library
libboost-math-dev (1.74.0.3)
Boost.Math Library development files (default version)
libboost-math1.74-dev (1.74.0-9)
Boost.Math Library development files
libboost-math1.74.0 (1.74.0-9)
Boost.Math Library
libboost-mpi-dev (1.74.0.3)
C++ interface to the Message Passing Interface (MPI) (default version)
libboost-mpi-python-dev (1.74.0.3)
C++ interface to the Message Passing Interface (MPI), Python Bindings (default version)
libboost-mpi-python1.74-dev (1.74.0-9)
C++ interface to the Message Passing Interface (MPI), Python Bindings
libboost-mpi-python1.74.0 (1.74.0-9)
C++ interface to the Message Passing Interface (MPI), Python Bindings
libboost-mpi-python1.74.0-py39
本虛擬套件由這些套件填實: libboost-mpi-python1.74.0
libboost-mpi1.74-dev (1.74.0-9)
C++ interface to the Message Passing Interface (MPI)
libboost-mpi1.74.0 (1.74.0-9)
C++ interface to the Message Passing Interface (MPI)
libboost-nowide-dev (1.74.0.3)
Standard library functions with UTF-8 API on Windows
libboost-nowide1.74-dev (1.74.0-9)
Standard library functions with UTF-8 API on Windows development files
libboost-nowide1.74.0 (1.74.0-9)
Standard library functions with UTF-8 API on Windows
libboost-numpy-dev (1.74.0.3)
Boost.Python NumPy extensions development files (default version)
libboost-numpy1.74-dev (1.74.0-9)
Boost.Python NumPy extensions development files
libboost-numpy1.74.0 (1.74.0-9)
Boost.Python NumPy extensions
libboost-numpy1.74.0-py39
本虛擬套件由這些套件填實: libboost-numpy1.74.0
libboost-program-options-dev (1.74.0.3)
program options library for C++ (default version)
libboost-program-options1.74-dev (1.74.0-9)
program options library for C++
libboost-program-options1.74.0 (1.74.0-9)
program options library for C++
libboost-python-dev (1.74.0.3)
Boost.Python Library development files (default version)
libboost-python1.74-dev (1.74.0-9)
Boost.Python Library development files
libboost-python1.74.0 (1.74.0-9)
Boost.Python Library
libboost-python1.74.0-py39
本虛擬套件由這些套件填實: libboost-python1.74.0
libboost-random-dev (1.74.0.3)
Boost Random Number Library (default version)
libboost-random1.74-dev (1.74.0-9)
Boost Random Number Library
libboost-random1.74.0 (1.74.0-9)
Boost Random Number Library
libboost-regex-dev (1.74.0.3)
regular expression library for C++ (default version)
libboost-regex1.74-dev (1.74.0-9)
regular expression library for C++
libboost-regex1.74.0 (1.74.0-9)
regular expression library for C++
libboost-regex1.74.0-icu67
本虛擬套件由這些套件填實: libboost-regex1.74.0
libboost-serialization-dev (1.74.0.3)
serialization library for C++ (default version)
libboost-serialization1.74-dev (1.74.0-9)
serialization library for C++
libboost-serialization1.74.0 (1.74.0-9)
serialization library for C++
libboost-stacktrace-dev (1.74.0.3)
library to capture and print stack traces - development files (default version)
libboost-stacktrace1.74-dev (1.74.0-9)
library to capture and print stack traces - development files
libboost-stacktrace1.74.0 (1.74.0-9)
library to capture and print stack traces
libboost-system-dev (1.74.0.3)
Operating system (e.g. diagnostics support) library (default version)
libboost-system1.74-dev (1.74.0-9)
Operating system (e.g. diagnostics support) library
libboost-system1.74.0 (1.74.0-9)
Operating system (e.g. diagnostics support) library
libboost-test-dev (1.74.0.3)
components for writing and executing test suites (default version)
libboost-test1.74-dev (1.74.0-9)
components for writing and executing test suites
libboost-test1.74.0 (1.74.0-9)
components for writing and executing test suites
libboost-thread-dev (1.74.0.3)
portable C++ multi-threading (default version)
libboost-thread1.74-dev (1.74.0-9)
portable C++ multi-threading
libboost-thread1.74.0 (1.74.0-9)
portable C++ multi-threading
libboost-timer-dev (1.74.0.3)
C++ wall clock and CPU process timers (default version)
libboost-timer1.74-dev (1.74.0-9)
C++ wall clock and CPU process timers
libboost-timer1.74.0 (1.74.0-9)
C++ wall clock and CPU process timers
libboost-tools-dev (1.74.0.3)
Boost C++ Libraries development tools (default version)
libboost-type-erasure-dev (1.74.0.3)
C++ runtime polymorphism based on concepts (default version)
libboost-type-erasure1.74-dev (1.74.0-9)
C++ runtime polymorphism based on concepts
libboost-type-erasure1.74.0 (1.74.0-9)
C++ runtime polymorphism based on concepts
libboost-wave-dev (1.74.0.3)
C99/C++ preprocessor library (default version)
libboost-wave1.74-dev (1.74.0-9)
C99/C++ preprocessor library
libboost-wave1.74.0 (1.74.0-9)
C99/C++ preprocessor library
libboost1.74-all-dev (1.74.0-9)
Boost C++ Libraries development files (ALL)
libboost1.74-dev (1.74.0-9)
Boost C++ Libraries development files
libboost1.74-doc (1.74.0-9)
Boost.org libraries documentation placeholder
libboost1.74-tools-dev (1.74.0-9)
Boost C++ Libraries development tools
libbot-basicbot-perl (0.93-1)
simple IRC bot baseclass
libbot-basicbot-pluggable-perl (1.20-1)
extended simple IRC bot for pluggable modules
libbot-training-perl (0.07-2)
text training material for bots
libbotan-2-17 (2.17.3+dfsg-2)
multiplatform crypto library (2.x version)
libbotan-2-dev (2.17.3+dfsg-2)
multiplatform crypto library (2.x version)
libbotan-2-doc (2.17.3+dfsg-2)
multiplatform crypto library (2.x version)
libboulder-perl (1.30-5.1)
Perl module for hierarchical tag/value structures
libbox2d-dev (2.3.1+ds-7)
2D physics engine - development files
libbox2d-doc (2.3.1+ds-7)
2D physics engine - documentation
libbox2d2.3.0 (2.3.1+ds-7)
2D physics engine
libboxfort-dev (0.0.0-git20200808-ac0507b-3)
development file for BoxFort
libbpf-dev (1:0.3-2)
eBPF helper library (development files)
libbpf0 (1:0.3-2)
eBPF helper library (shared library)
libbpfcc (0.18.0+ds-2)
shared library for BPF Compiler Collection (BCC)
libbpfcc-dev (0.18.0+ds-2)
shared library for BPF Compiler Collection (BCC)
libbpp-core-dev (2.4.1-5)
Bio++ Core library development files
libbpp-core4 (2.4.1-5)
Bio++ Core library
libbpp-phyl-dev (2.4.1-4)
Bio++ Phylogenetic library development files
libbpp-phyl-omics-dev (2.4.1-2)
Bio++ Phylogenetics library: genomics components (development files)
libbpp-phyl-omics3 (2.4.1-2)
Bio++ Phylogenetics library: genomics components
libbpp-phyl12 (2.4.1-4)
Bio++ Phylogenetic library
libbpp-popgen-dev (2.4.1-4)
Bio++ Population Genetics library development files
libbpp-popgen8 (2.4.1-4)
Bio++ Population Genetics library
libbpp-qt-dev (2.4.1-4)
Bio++ Qt Graphic classes library development files
libbpp-qt2 (2.4.1-4)
Bio++ Qt Graphic classes library
libbpp-raa-dev (2.4.1-4)
Bio++ Remote Acnuc Access library development files
libbpp-raa4 (2.4.1-4)
Bio++ Remote Acnuc Access library
libbpp-seq-dev (2.4.1-5)
Bio++ Sequence library development files
libbpp-seq-omics-dev (2.4.1-5)
Bio++ Sequence library: genomics components (development files)
libbpp-seq-omics3 (2.4.1-5)
Bio++ Sequence library: genomics components
libbpp-seq12 (2.4.1-5)
Bio++ Sequence library
libbrahe-1.3-3 (1.3.2-7+b1 [amd64], 1.3.2-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
heterogeneous C library of numeric functions
libbrahe-dev (1.3.2-7+b1 [amd64], 1.3.2-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
heterogeneous C library of numeric functions
libbraiding-dev (1.0-1+b1)
computations on braid groups (development files)
libbraiding0 (1.0-1+b1)
computations on braid groups
libbrailleutils-java (1.2.3-6)
cross platform library for embossing/converting PEF-files
libbrailleutils-java-doc (1.2.3-6)
javadoc for brailleUtils for converting/embossing PEF files
libbrasero-media3-1 (3.12.2-6)
CD/DVD burning library for GNOME - runtime
libbrasero-media3-dev (3.12.2-6)
CD/DVD burning library for GNOME - development
libbread-board-perl (0.37-1)
solderless way to wire up your application components
libbrial-dev (1.2.10-1+b1)
polynomials over Boolean Rings, core development files
libbrial-groebner-dev (1.2.10-1+b1)
development files for libbrial-groebner
libbrial-groebner3 (1.2.10-1+b1)
computer algebra routines of BRiAl
libbrial3 (1.2.10-1+b1)
polynomials over Boolean Rings, shared library
libbridge-method-injector-java (1.18-3)
Evolve Java classes without breaking compatibility
libbridge-method-injector-java-doc (1.18-3)
Documentation for Bridge Method Injector
libbrlapi-dev (6.3+dfsg-1+deb11u1)
Library for communication with BRLTTY - static libs and headers
libbrlapi-java (6.3+dfsg-1+deb11u1)
Java bindings for BrlAPI
libbrlapi-jni (6.3+dfsg-1+deb11u1)
Java bindings for BrlAPI (native library)
libbrlapi0.8 (6.3+dfsg-1+deb11u1)
braille display access via BRLTTY - shared library
libbroker-dev (1.4.0+ds1-1)
Bro's messaging library - development files
libbroker2 (1.4.0+ds1-1)
Bro's messaging library
libbrotli-dev (1.0.9-2+b2)
library implementing brotli encoder and decoder (development files)
libbrotli1 (1.0.9-2+b2)
library implementing brotli encoder and decoder (shared libraries)
libbrowser-open-perl (0.04-1.1)
Perl module to open a browser in a given URL
libbs2b-dev (3.1.0+dfsg-2.2+b1)
Bauer stereophonic-to-binaural DSP library development files
libbs2b0 (3.1.0+dfsg-2.2+b1)
Bauer stereophonic-to-binaural DSP library
libbsd-arc4random-perl (1.50-6+b10 [s390x], 1.50-6+b8 [amd64, armel, armhf, i386, mipsel], 1.50-6+b7 [arm64, mips64el, ppc64el])
CPAN's BSD::arc4random -- Perl bindings for arc4random
libbsd-dev (0.11.3-1+deb11u1)
utility functions from BSD systems - development files
libbsd-resource-perl (1.2911-1+b5)
BSD process resource limit and priority functions
libbsd0 (0.11.3-1+deb11u1)
utility functions from BSD systems - shared library
libbsd0-udeb (0.11.3-1+deb11u1)
utility functions from BSD systems - shared library
libbsf-java (1:2.4.0-8)
Bean Scripting Framework to support scripting languages in Java
libbsf-java-doc (1:2.4.0-8)
Bean Scripting Framework to support scripting - documentation
libbsh-java (2.0b4-20)
Java scripting environment (BeanShell) Version 2 (library)
libbson-1.0-0 (1.17.6-1)
Library to parse and generate BSON documents - runtime files
libbson-dev (1.17.6-1)
Library to parse and generate BSON documents - dev files
libbson-doc (1.17.6-1)
Library to parse and generate BSON documents - documentation
libbson-perl (1.12.2-1)
BSON serialization and deserialization for Perl
libbson-xs-perl (0.8.4-1+b1)
Perl XS implementation of MongoDB's BSON serialization
libbssolv-perl (0.17-3)
module to compute package dependencies (for Open Build Service backend)
libbt-dev (0.70.1-38)
Blackbox - development library
libbt0 (0.70.1-38)
Blackbox - shared library
libbt0v5
本虛擬套件由這些套件填實: libbt0
libbtbb-dev (2018.12.R1-1)
Bluetooth baseband decoding library - development files
libbtbb1 (2018.12.R1-1)
Bluetooth baseband decoding library
libbtf1 (1:5.8.1+dfsg-2)
permutation to block triangular form library for sparse matrices
libbtm-java (2.1.4-6)
Bitronix JTA Transaction Manager
libbtparse-dev (0.88-3+b2)
C library to parse BibTeX files - development files
libbtparse2 (0.88-3+b2)
C library to parse BibTeX files
libbtrfs-dev (5.10.1-2)
Checksumming Copy on Write Filesystem utilities (development headers)
libbtrfs0 (5.10.1-2)
Checksumming Copy on Write Filesystem utilities (runtime library)
libbtrfsutil-dev (5.10.1-2)
Checksumming Copy on Write Filesystem utilities (util development headers)
libbtrfsutil1 (5.10.1-2)
Checksumming Copy on Write Filesystem utilities (runtime util library)
libbudgie-plugin0 (10.5.2-4)
Plugin library for budgie-desktop
libbudgie-private0 (10.5.2-4)
Budgie Private library for budgie-desktop
libbudgietheme0 (10.5.2-4)
Theme library for budgie-desktop
libbuild-helper-maven-plugin-java (3.0.0-1)
Build Helper Maven Plugin
libbullet-dev (3.06+dfsg-4)
professional 3D Game Multiphysics Library -- development files
libbullet-doc (3.06+dfsg-4)
professional 3D Game Multiphysics Library -- documentation
libbullet-extras-dev (3.06+dfsg-4)
professional 3D Game Multiphysics Library -- extra development
libbullet-extras3.06 (3.06+dfsg-4)
professional 3D Game Multiphysics Library -- extra libraries
libbullet3.06 (3.06+dfsg-4)
professional 3D Game Multiphysics Library
libbulletml-dev (0.0.6-7)
C++ library to handle BulletML easily - development files
libbulletml0v5 (0.0.6-7)
C++ library to handle BulletML easily - runtime library
libbultitude-clojure (0.3.0-2)
Clojure library for finding namespaces on the classpath
libburn-dev (1.5.2-1)
development package for libburn4
libburn-doc (1.5.2-1)
background documentation for libburn library
libburn4 (1.5.2-1)
library to provide CD/DVD/BD writing functions
libburner-media3-1 (3.0.9-1)
CD/DVD burning library for UKUI - runtime
libburner-media3-dev (3.0.9-1)
CD/DVD burning library for GNOME - development
libbusiness-br-ids-perl (0.0022-1.1)
modules for dealing with Brazilian identification codes (CPF, CNPJ, ...)
libbusiness-creditcard-perl (0.36-1)
Perl module to validate or generate credit card checksums and names
libbusiness-edi-perl (0.05-2.1)
class for generating U.N. EDI interchange objects
libbusiness-edifact-interchange-perl (0.07-1.1)
module to parse Edifact messages for book ordering
libbusiness-hours-perl (0.13-1)
Perl module to calculate business hours in a time period
libbusiness-isbn-data-perl (20210112.001-1)
data pack for Business::ISBN
libbusiness-isbn-perl (3.006-1)
Perl library to work with International Standard Book Numbers
libbusiness-isin-perl (0.20-2)
module to validate International Securities Identification Numbers
libbusiness-ismn-perl (1.202-1)
module for International Standard Music Numbers
libbusiness-issn-perl (1.004-1)
Perl extension for International Standard Serial Numbers (ISSN)
libbusiness-onlinepayment-authorizenet-perl (3.23-1)
AuthorizeNet backend for Business::OnlinePayment
libbusiness-onlinepayment-ippay-perl (0.09-2)
backend for IPPay in Business::OnlinePayment framework
libbusiness-onlinepayment-openecho-perl (0.03-4)
ECHO backend module for Business::OnlinePayment
libbusiness-onlinepayment-payconnect-perl (0.02-3)
PaymentOne PayConnect backend for Business::OnlinePayment
libbusiness-onlinepayment-payflowpro-perl (1.01-4.1)
PayPal Payflow Pro backend for Business::OnlinePayment
libbusiness-onlinepayment-paymentech-perl (2.07-1.1)
Chase Paymentech backend for Business::OnlinePayment
libbusiness-onlinepayment-perl (3.05-1)
Perl extension for online payment processing
libbusiness-onlinepayment-tclink-perl (1.03-5)
TrustCommerce backend for Business::OnlinePayment
libbusiness-onlinepayment-transactioncentral-perl (0.06-4)
Transaction Central backend module for Business::OnlinePayment
libbusiness-onlinepayment-viaklix-perl (0.02-2)
viaKLIX backend for Business::OnlinePayment
libbusiness-paypal-api-perl (0.77-1)
module providing access to the PayPal API
libbusiness-tax-vat-validation-perl (1.12-1)
library for European VAT numbers validation
libbusiness-us-usps-webtools-perl (1.125-1)
Perl module enabling use of USPS Web Tools services
libbwa-dev (0.7.17-6+b1)
Burrows-Wheeler Aligner source files
libbyte-buddy-java (1.8.2-2)
Runtime code generation for the Java virtual machine
libbyte-buddy-java-doc (1.8.2-2)
Runtime code generation for the Java virtual machine (document)
libbytecode-java (0.92.svn.20090106-2.1)
Java bytecode manipulation library
libbytecode-java-doc (0.92.svn.20090106-2.1)
Documentation for the API of the Java bytecode library
libbytelist-java (1.0.15-1)
helper library for packaging JRuby
libbyteman-java (4.0.12-2)
Java agent-based bytecode injection tool
libbytes-random-secure-perl (0.29-1)
Perl extension to generate cryptographically-secure random bytes
libbytesize-common (2.5-1)
library for common operations with sizes in bytes - translations
libbytesize-dev (2.5-1)
library for common operations with sizes in bytes - development
libbytesize1 (2.5-1)
library for common operations with sizes in bytes
libbz2-1.0 (1.0.8-4)
high-quality block-sorting file compressor library - runtime
libbz2-dev (1.0.8-4)
high-quality block-sorting file compressor library - development
libbz2-ocaml (0.6.0-10+b2)
OCaml bindings for the bzip2 compression library (runtime)
libbz2-ocaml-32nv8
本虛擬套件由這些套件填實: libbz2-ocaml
libbz2-ocaml-dev (0.6.0-10+b2)
OCaml bindings for the bzip2 compression library
libbz2-ocaml-dev-32nv8
本虛擬套件由這些套件填實: libbz2-ocaml-dev
libbz2-ocaml-dev-ed3p7
本虛擬套件由這些套件填實: libbz2-ocaml-dev
libbz2-ocaml-dev-f0g24
本虛擬套件由這些套件填實: libbz2-ocaml-dev
libbz2-ocaml-dev-m2pq1
本虛擬套件由這些套件填實: libbz2-ocaml-dev
libbz2-ocaml-ed3p7
本虛擬套件由這些套件填實: libbz2-ocaml
libbz2-ocaml-f0g24
本虛擬套件由這些套件填實: libbz2-ocaml
libbz2-ocaml-m2pq1
本虛擬套件由這些套件填實: libbz2-ocaml
libbzrtp-dev (4.4.13-2)
Development files for the Bzrtp key exchange library
libbzrtp0 (4.4.13-2)
Library for the ZRTP key exchange protocol
libc++-11-dev (1:11.0.1-2)
LLVM C++ Standard library (development files)
libc++-13-dev (1:13.0.1-6~deb11u1)
LLVM C++ Standard library (development files)
libc++-16-dev (1:16.0.6-15~deb11u2)
LLVM C++ Standard library (development files)
libc++-16-dev-wasm32 (1:16.0.6-15~deb11u2)
LLVM C++ Standard library (WASI)
libc++-9-dev (1:9.0.1-16.1)
LLVM C++ Standard library (development files)
libc++-dev (1:11.0-51+nmu5)
LLVM C++ Standard library (development files)
libc++-x.y
本虛擬套件由這些套件填實: libc++1-9, libc++1-13, libc++1-11, libc++1-16
libc++-x.y-dev
本虛擬套件由這些套件填實: libc++-11-dev, libc++-9-dev, libc++-16-dev, libc++-13-dev
libc++-x.y-dev-wasm32
本虛擬套件由這些套件填實: libc++-16-dev-wasm32
libc++1 (1:11.0-51+nmu5)
LLVM C++ Standard library
libc++1-11 (1:11.0.1-2)
LLVM C++ Standard library
libc++1-13 (1:13.0.1-6~deb11u1)
LLVM C++ Standard library
libc++1-16 (1:16.0.6-15~deb11u2)
LLVM C++ Standard library
libc++1-9 (1:9.0.1-16.1)
LLVM C++ Standard library
libc++abi-11-dev (1:11.0.1-2)
LLVM low level support for a standard C++ library (development files)
libc++abi-13-dev (1:13.0.1-6~deb11u1)
LLVM low level support for a standard C++ library (development files)
libc++abi-16-dev (1:16.0.6-15~deb11u2)
LLVM low level support for a standard C++ library (development files)
libc++abi-16-dev-wasm32 (1:16.0.6-15~deb11u2)
LLVM low level support for a standard C++ library (WASI)
libc++abi-9-dev (1:9.0.1-16.1)
LLVM low level support for a standard C++ library (development files)
libc++abi-dev (1:11.0-51+nmu5)
LLVM low level support for a standard C++ library (development files)
libc++abi-x.y
本虛擬套件由這些套件填實: libc++abi1-11, libc++abi1-16, libc++abi1-13, libc++abi1-9
libc++abi-x.y-dev
本虛擬套件由這些套件填實: libc++abi-11-dev, libc++abi-16-dev, libc++abi-9-dev, libc++abi-13-dev
libc++abi-x.y-dev-wasm32
本虛擬套件由這些套件填實: libc++abi-16-dev-wasm32
libc++abi1 (1:11.0-51+nmu5)
LLVM low level support for a standard C++ library
libc++abi1-11 (1:11.0.1-2)
LLVM low level support for a standard C++ library
libc++abi1-13 (1:13.0.1-6~deb11u1)
LLVM low level support for a standard C++ library
libc++abi1-16 (1:16.0.6-15~deb11u2)
LLVM low level support for a standard C++ library
libc++abi1-9 (1:9.0.1-16.1)
LLVM low level support for a standard C++ library
libc-ares-dev (1.17.1-1+deb11u3)
asynchronous name resolver - development files
libc-ares2 (1.17.1-1+deb11u3)
asynchronous name resolver
libc-bin (2.31-13+deb11u8)
GNU C Library: Binaries
libc-client-dev
本虛擬套件由這些套件填實: libc-client2007e-dev
libc-client2007e (8:2007f~dfsg-7+b1 [amd64], 8:2007f~dfsg-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
c-client library for mail protocols - library files
libc-client2007e-dev (8:2007f~dfsg-7+b1 [amd64], 8:2007f~dfsg-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
c-client library for mail protocols - development files
libc-dbg
本虛擬套件由這些套件填實: libc6-dbg
libc-dev
本虛擬套件由這些套件填實: libc6-dev
libc-dev-alpha-cross
本虛擬套件由這些套件填實: libc6.1-dev-alpha-cross
libc-dev-amd64-cross
本虛擬套件由這些套件填實: libc6-dev-amd64-cross
libc-dev-arm64-cross
本虛擬套件由這些套件填實: libc6-dev-arm64-cross
libc-dev-armel-cross
本虛擬套件由這些套件填實: libc6-dev-armel-cross
libc-dev-armhf-cross
本虛擬套件由這些套件填實: libc6-dev-armhf-cross
libc-dev-bin (2.31-13+deb11u8)
GNU C Library: Development binaries
libc-dev-hppa-cross
本虛擬套件由這些套件填實: libc6-dev-hppa-cross
libc-dev-i386-cross
本虛擬套件由這些套件填實: libc6-dev-i386-cross
libc-dev-m68k-cross
本虛擬套件由這些套件填實: libc6-dev-m68k-cross
libc-dev-mips-cross
本虛擬套件由這些套件填實: libc6-dev-mips-cross
libc-dev-mips64-cross
本虛擬套件由這些套件填實: libc6-dev-mips64-cross
libc-dev-mips64el-cross
本虛擬套件由這些套件填實: libc6-dev-mips64el-cross
libc-dev-mips64r6-cross
本虛擬套件由這些套件填實: libc6-dev-mips64r6-cross
libc-dev-mips64r6el-cross
本虛擬套件由這些套件填實: libc6-dev-mips64r6el-cross
libc-dev-mipsel-cross
本虛擬套件由這些套件填實: libc6-dev-mipsel-cross
libc-dev-mipsn32-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-cross
libc-dev-mipsn32el-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32el-cross
libc-dev-mipsn32r6-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32r6-cross
libc-dev-mipsn32r6el-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32r6el-cross
libc-dev-mipsr6-cross
本虛擬套件由這些套件填實: libc6-dev-mipsr6-cross
libc-dev-mipsr6el-cross
本虛擬套件由這些套件填實: libc6-dev-mipsr6el-cross
libc-dev-powerpc-cross
本虛擬套件由這些套件填實: libc6-dev-powerpc-cross
libc-dev-ppc64-cross
本虛擬套件由這些套件填實: libc6-dev-ppc64-cross
libc-dev-ppc64el-cross
本虛擬套件由這些套件填實: libc6-dev-ppc64el-cross
libc-dev-riscv64-cross
本虛擬套件由這些套件填實: libc6-dev-riscv64-cross
libc-dev-s390x-cross
本虛擬套件由這些套件填實: libc6-dev-s390x-cross
libc-dev-sh4-cross
本虛擬套件由這些套件填實: libc6-dev-sh4-cross
libc-dev-sparc64-cross
本虛擬套件由這些套件填實: libc6-dev-sparc64-cross
libc-dev-x32-cross
本虛擬套件由這些套件填實: libc6-dev-x32-cross
libc-devtools (2.31-13+deb11u8)
GNU C Library: Development tools
libc-icap-mod-contentfiltering (1:0.5.4-2)
Content filtering Service for c-icap
libc-icap-mod-urlcheck (1:0.5.4-2)
URL Check Service for c-icap
libc-icap-mod-virus-scan (1:0.5.4-2)
Antivirus Service for c-icap
libc-icap-module
本虛擬套件由這些套件填實: libc-icap-mod-virus-scan, libc-icap-mod-contentfiltering, libc-icap-mod-urlcheck
libc-l10n (2.31-13+deb11u8)
GNU C Library: localization files
libc-udeb
本虛擬套件由這些套件填實: libc6-udeb
libc3p0-java (0.9.1.2-10)
library for JDBC connection pooling
libc3p0-java-doc (0.9.1.2-10)
library for JDBC connection pooling (documentation)
libc6 (2.31-13+deb11u8)
GNU C 函式庫:共用函式庫
libc6
本虛擬套件由這些套件填實: libc6-udeb
libc6-amd64 (2.31-13+deb11u8)
GNU C Library: 64bit Shared libraries for AMD64
libc6-amd64-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-amd64-dcv1
本虛擬套件由這些套件填實: libc6-amd64-cross
libc6-amd64-i386-cross (2.31-9cross4)
GNU C Library: 64bit Shared libraries for AMD64 (for cross-compiling)
libc6-amd64-i386-dcv1
本虛擬套件由這些套件填實: libc6-amd64-i386-cross
libc6-amd64-x32-cross (2.31-9cross4)
GNU C Library: 64bit Shared libraries for AMD64 (for cross-compiling)
libc6-amd64-x32-dcv1
本虛擬套件由這些套件填實: libc6-amd64-x32-cross
libc6-arm64-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-arm64-dcv1
本虛擬套件由這些套件填實: libc6-arm64-cross
libc6-armel-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-armel-dcv1
本虛擬套件由這些套件填實: libc6-armel-cross
libc6-armhf-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-armhf-dcv1
本虛擬套件由這些套件填實: libc6-armhf-cross
libc6-dbg (2.31-13+deb11u8)
GNU C Library: detached debugging symbols
libc6-dbgsym
本虛擬套件由這些套件填實: libc6-dbg
libc6-dev (2.31-13+deb11u8)
GNU C Library: Development Libraries and Header Files
libc6-dev-alpha-cross
本虛擬套件由這些套件填實: libc6.1-dev-alpha-cross
libc6-dev-amd64 (2.31-13+deb11u8)
GNU C Library: 64bit Development Libraries for AMD64
libc6-dev-amd64-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-amd64-dcv1
本虛擬套件由這些套件填實: libc6-dev-amd64-cross
libc6-dev-amd64-i386-cross (2.31-9cross4)
GNU C Library: 64bit Development Libraries for AMD64 (for cross-compiling)
libc6-dev-amd64-i386-dcv1
本虛擬套件由這些套件填實: libc6-dev-amd64-i386-cross
libc6-dev-amd64-x32-cross (2.31-9cross4)
GNU C Library: 64bit Development Libraries for AMD64 (for cross-compiling)
libc6-dev-amd64-x32-dcv1
本虛擬套件由這些套件填實: libc6-dev-amd64-x32-cross
libc6-dev-arm64-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-arm64-dcv1
本虛擬套件由這些套件填實: libc6-dev-arm64-cross
libc6-dev-armel-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-armel-dcv1
本虛擬套件由這些套件填實: libc6-dev-armel-cross
libc6-dev-armhf-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-armhf-dcv1
本虛擬套件由這些套件填實: libc6-dev-armhf-cross
libc6-dev-hppa-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-hppa-dcv1
本虛擬套件由這些套件填實: libc6-dev-hppa-cross
libc6-dev-i386 (2.31-13+deb11u8)
GNU C Library: 32-bit development libraries for AMD64
libc6-dev-i386-amd64-cross (2.31-9cross4)
GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling)
libc6-dev-i386-amd64-dcv1
本虛擬套件由這些套件填實: libc6-dev-i386-amd64-cross
libc6-dev-i386-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-i386-dcv1
本虛擬套件由這些套件填實: libc6-dev-i386-cross
libc6-dev-i386-x32-cross (2.31-9cross4)
GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling)
libc6-dev-i386-x32-dcv1
本虛擬套件由這些套件填實: libc6-dev-i386-x32-cross
libc6-dev-m68k-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-m68k-dcv1
本虛擬套件由這些套件填實: libc6-dev-m68k-cross
libc6-dev-mips-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mips-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips-cross
libc6-dev-mips32 (2.31-13+deb11u8)
GNU C Library: o32 Development Libraries for MIPS
libc6-dev-mips32-mips64-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mips64-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64-cross
libc6-dev-mips32-mips64el-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mips64el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64el-cross
libc6-dev-mips32-mips64r6-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mips64r6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64r6-cross
libc6-dev-mips32-mips64r6el-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mips64r6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mips64r6el-cross
libc6-dev-mips32-mipsn32-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mipsn32-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32-cross
libc6-dev-mips32-mipsn32el-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mipsn32el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32el-cross
libc6-dev-mips32-mipsn32r6-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mipsn32r6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32r6-cross
libc6-dev-mips32-mipsn32r6el-cross (2.31-11cross1)
GNU C Library: o32 Development Libraries for MIPS (for cross-compiling)
libc6-dev-mips32-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips32-mipsn32r6el-cross
libc6-dev-mips64 (2.31-13+deb11u8)
GNU C Library: 64bit Development Libraries for MIPS64
libc6-dev-mips64-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mips64-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-cross
libc6-dev-mips64-mips-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mips-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mips-cross
libc6-dev-mips64-mipsel-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsel-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsel-cross
libc6-dev-mips64-mipsn32-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsn32-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32-cross
libc6-dev-mips64-mipsn32el-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsn32el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32el-cross
libc6-dev-mips64-mipsn32r6-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsn32r6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32r6-cross
libc6-dev-mips64-mipsn32r6el-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsn32r6el-cross
libc6-dev-mips64-mipsr6-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsr6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsr6-cross
libc6-dev-mips64-mipsr6el-cross (2.31-11cross1)
GNU C Library: 64bit Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mips64-mipsr6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64-mipsr6el-cross
libc6-dev-mips64el-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mips64el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64el-cross
libc6-dev-mips64r6-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mips64r6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64r6-cross
libc6-dev-mips64r6el-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mips64r6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mips64r6el-cross
libc6-dev-mipsel-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsel-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsel-cross
libc6-dev-mipsn32 (2.31-13+deb11u8)
GNU C Library: n32 Development Libraries for MIPS64
libc6-dev-mipsn32-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsn32-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-cross
libc6-dev-mipsn32-mips-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mips-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips-cross
libc6-dev-mipsn32-mips64-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mips64-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64-cross
libc6-dev-mipsn32-mips64el-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mips64el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64el-cross
libc6-dev-mipsn32-mips64r6-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mips64r6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64r6-cross
libc6-dev-mipsn32-mips64r6el-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mips64r6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64r6el-cross
libc6-dev-mipsn32-mipsel-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mipsel-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mipsel-cross
libc6-dev-mipsn32-mipsr6-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mipsr6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mipsr6-cross
libc6-dev-mipsn32-mipsr6el-cross (2.31-11cross1)
GNU C Library: n32 Development Libraries for MIPS64 (for cross-compiling)
libc6-dev-mipsn32-mipsr6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mipsr6el-cross
libc6-dev-mipsn32el-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsn32el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32el-cross
libc6-dev-mipsn32r6-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsn32r6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32r6-cross
libc6-dev-mipsn32r6el-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsn32r6el-cross
libc6-dev-mipsr6-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsr6-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsr6-cross
libc6-dev-mipsr6el-cross (2.31-11cross1)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-mipsr6el-dcv1
本虛擬套件由這些套件填實: libc6-dev-mipsr6el-cross
libc6-dev-powerpc-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-powerpc-dcv1
本虛擬套件由這些套件填實: libc6-dev-powerpc-cross
libc6-dev-powerpc-ppc64-cross (2.31-9cross4)
GNU C Library: 32bit powerpc development libraries for ppc64 (for cross-compiling)
libc6-dev-powerpc-ppc64-dcv1
本虛擬套件由這些套件填實: libc6-dev-powerpc-ppc64-cross
libc6-dev-ppc64-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-ppc64-dcv1
本虛擬套件由這些套件填實: libc6-dev-ppc64-cross
libc6-dev-ppc64-powerpc-cross (2.31-9cross4)
GNU C Library: 64bit Development Libraries for PowerPC64 (for cross-compiling)
libc6-dev-ppc64-powerpc-dcv1
本虛擬套件由這些套件填實: libc6-dev-ppc64-powerpc-cross
libc6-dev-ppc64el-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-ppc64el-dcv1
本虛擬套件由這些套件填實: libc6-dev-ppc64el-cross
libc6-dev-riscv64-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-riscv64-dcv1
本虛擬套件由這些套件填實: libc6-dev-riscv64-cross
libc6-dev-s390 (2.31-13+deb11u8)
GNU C Library: 32bit Development Libraries for IBM zSeries
libc6-dev-s390-s390x-cross (2.31-9cross4)
GNU C Library: 32bit Development Libraries for IBM zSeries (for cross-compiling)
libc6-dev-s390-s390x-dcv1
本虛擬套件由這些套件填實: libc6-dev-s390-s390x-cross
libc6-dev-s390x-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-s390x-dcv1
本虛擬套件由這些套件填實: libc6-dev-s390x-cross
libc6-dev-sh4-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-sh4-dcv1
本虛擬套件由這些套件填實: libc6-dev-sh4-cross
libc6-dev-sparc-sparc64-cross (2.31-9cross4)
GNU C Library: 32bit Development Libraries for SPARC (for cross-compiling)
libc6-dev-sparc-sparc64-dcv1
本虛擬套件由這些套件填實: libc6-dev-sparc-sparc64-cross
libc6-dev-sparc64-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-sparc64-dcv1
本虛擬套件由這些套件填實: libc6-dev-sparc64-cross
libc6-dev-x32 (2.31-13+deb11u8)
GNU C Library: X32 ABI Development Libraries for AMD64
libc6-dev-x32-amd64-cross (2.31-9cross4)
GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling)
libc6-dev-x32-amd64-dcv1
本虛擬套件由這些套件填實: libc6-dev-x32-amd64-cross
libc6-dev-x32-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6-dev-x32-dcv1
本虛擬套件由這些套件填實: libc6-dev-x32-cross
libc6-dev-x32-i386-cross (2.31-9cross4)
GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling)
libc6-dev-x32-i386-dcv1
本虛擬套件由這些套件填實: libc6-dev-x32-i386-cross
libc6-hppa-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-hppa-dcv1
本虛擬套件由這些套件填實: libc6-hppa-cross
libc6-i386 (2.31-13+deb11u8)
GNU C Library: 32-bit shared libraries for AMD64
libc6-i386-amd64-cross (2.31-9cross4)
GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling)
libc6-i386-amd64-dcv1
本虛擬套件由這些套件填實: libc6-i386-amd64-cross
libc6-i386-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-i386-dcv1
本虛擬套件由這些套件填實: libc6-i386-cross
libc6-i386-x32-cross (2.31-9cross4)
GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling)
libc6-i386-x32-dcv1
本虛擬套件由這些套件填實: libc6-i386-x32-cross
libc6-i686
本虛擬套件由這些套件填實: libc6
libc6-i686-i386-cross
本虛擬套件由這些套件填實: libc6-i386-cross
libc6-m68k-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-m68k-dcv1
本虛擬套件由這些套件填實: libc6-m68k-cross
libc6-mips-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mips-dcv1
本虛擬套件由這些套件填實: libc6-mips-cross
libc6-mips32 (2.31-13+deb11u8)
GNU C Library: o32 Shared libraries for MIPS
libc6-mips32-mips64-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mips64-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mips64-cross
libc6-mips32-mips64el-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mips64el-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mips64el-cross
libc6-mips32-mips64r6-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mips64r6-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mips64r6-cross
libc6-mips32-mips64r6el-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mips64r6el-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mips64r6el-cross
libc6-mips32-mipsn32-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mipsn32-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mipsn32-cross
libc6-mips32-mipsn32el-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mipsn32el-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mipsn32el-cross
libc6-mips32-mipsn32r6-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mipsn32r6-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mipsn32r6-cross
libc6-mips32-mipsn32r6el-cross (2.31-11cross1)
GNU C Library: o32 Shared libraries for MIPS (for cross-compiling)
libc6-mips32-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: libc6-mips32-mipsn32r6el-cross
libc6-mips64 (2.31-13+deb11u8)
GNU C Library: 64bit Shared libraries for MIPS64
libc6-mips64-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mips64-dcv1
本虛擬套件由這些套件填實: libc6-mips64-cross
libc6-mips64-mips-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mips-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mips-cross
libc6-mips64-mipsel-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsel-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsel-cross
libc6-mips64-mipsn32-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsn32-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsn32-cross
libc6-mips64-mipsn32el-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsn32el-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsn32el-cross
libc6-mips64-mipsn32r6-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsn32r6-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsn32r6-cross
libc6-mips64-mipsn32r6el-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsn32r6el-cross
libc6-mips64-mipsr6-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsr6-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsr6-cross
libc6-mips64-mipsr6el-cross (2.31-11cross1)
GNU C Library: 64bit Shared libraries for MIPS64 (for cross-compiling)
libc6-mips64-mipsr6el-dcv1
本虛擬套件由這些套件填實: libc6-mips64-mipsr6el-cross
libc6-mips64el-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mips64el-dcv1
本虛擬套件由這些套件填實: libc6-mips64el-cross
libc6-mips64r6-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mips64r6-dcv1
本虛擬套件由這些套件填實: libc6-mips64r6-cross
libc6-mips64r6el-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mips64r6el-dcv1
本虛擬套件由這些套件填實: libc6-mips64r6el-cross
libc6-mipsel-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsel-dcv1
本虛擬套件由這些套件填實: libc6-mipsel-cross
libc6-mipsn32 (2.31-13+deb11u8)
GNU C Library: n32 Shared libraries for MIPS64
libc6-mipsn32-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsn32-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-cross
libc6-mipsn32-mips-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mips-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mips-cross
libc6-mipsn32-mips64-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mips64-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mips64-cross
libc6-mipsn32-mips64el-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mips64el-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mips64el-cross
libc6-mipsn32-mips64r6-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mips64r6-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mips64r6-cross
libc6-mipsn32-mips64r6el-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mips64r6el-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mips64r6el-cross
libc6-mipsn32-mipsel-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mipsel-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mipsel-cross
libc6-mipsn32-mipsr6-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mipsr6-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mipsr6-cross
libc6-mipsn32-mipsr6el-cross (2.31-11cross1)
GNU C Library: n32 Shared libraries for MIPS64 (for cross-compiling)
libc6-mipsn32-mipsr6el-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32-mipsr6el-cross
libc6-mipsn32el-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsn32el-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32el-cross
libc6-mipsn32r6-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsn32r6-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32r6-cross
libc6-mipsn32r6el-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: libc6-mipsn32r6el-cross
libc6-mipsr6-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsr6-dcv1
本虛擬套件由這些套件填實: libc6-mipsr6-cross
libc6-mipsr6el-cross (2.31-11cross1)
GNU C Library: Shared libraries (for cross-compiling)
libc6-mipsr6el-dcv1
本虛擬套件由這些套件填實: libc6-mipsr6el-cross
libc6-powerpc-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-powerpc-dcv1
本虛擬套件由這些套件填實: libc6-powerpc-cross
libc6-powerpc-ppc64-cross (2.31-9cross4)
GNU C Library: 32bit powerpc shared libraries for ppc64 (for cross-compiling)
libc6-powerpc-ppc64-dcv1
本虛擬套件由這些套件填實: libc6-powerpc-ppc64-cross
libc6-ppc64-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-ppc64-dcv1
本虛擬套件由這些套件填實: libc6-ppc64-cross
libc6-ppc64-powerpc-cross (2.31-9cross4)
GNU C Library: 64bit Shared libraries for PowerPC64 (for cross-compiling)
libc6-ppc64-powerpc-dcv1
本虛擬套件由這些套件填實: libc6-ppc64-powerpc-cross
libc6-ppc64el-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-ppc64el-dcv1
本虛擬套件由這些套件填實: libc6-ppc64el-cross
libc6-riscv64-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-riscv64-dcv1
本虛擬套件由這些套件填實: libc6-riscv64-cross
libc6-s390 (2.31-13+deb11u8)
GNU C Library: 32bit Shared libraries for IBM zSeries
libc6-s390-s390x-cross (2.31-9cross4)
GNU C Library: 32bit Shared libraries for IBM zSeries (for cross-compiling)
libc6-s390-s390x-dcv1
本虛擬套件由這些套件填實: libc6-s390-s390x-cross
libc6-s390x-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-s390x-dcv1
本虛擬套件由這些套件填實: libc6-s390x-cross
libc6-sh4-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-sh4-dcv1
本虛擬套件由這些套件填實: libc6-sh4-cross
libc6-sparc-sparc64-cross (2.31-9cross4)
GNU C Library: 32bit Shared libraries for SPARC (for cross-compiling)
libc6-sparc-sparc64-dcv1
本虛擬套件由這些套件填實: libc6-sparc-sparc64-cross
libc6-sparc64-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-sparc64-dcv1
本虛擬套件由這些套件填實: libc6-sparc64-cross
libc6-sparcv9b-sparc64-cross
本虛擬套件由這些套件填實: libc6-sparc64-cross
libc6-udeb (2.31-13+deb11u8)
GNU C Library: Shared libraries - udeb
libc6-x32 (2.31-13+deb11u8)
GNU C Library: X32 ABI Shared libraries for AMD64
libc6-x32-amd64-cross (2.31-9cross4)
GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling)
libc6-x32-amd64-dcv1
本虛擬套件由這些套件填實: libc6-x32-amd64-cross
libc6-x32-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6-x32-dcv1
本虛擬套件由這些套件填實: libc6-x32-cross
libc6-x32-i386-cross (2.31-9cross4)
GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling)
libc6-x32-i386-dcv1
本虛擬套件由這些套件填實: libc6-x32-i386-cross
libc6-xen (2.31-13+deb11u8)
GNU C Library: Shared libraries [Xen version]
libc6.1-alpha-cross (2.31-9cross4)
GNU C Library: Shared libraries (for cross-compiling)
libc6.1-alpha-dcv1
本虛擬套件由這些套件填實: libc6.1-alpha-cross
libc6.1-dev-alpha-cross (2.31-9cross4)
GNU C Library: Development Libraries and Header Files (for cross-compiling)
libc6.1-dev-alpha-dcv1
本虛擬套件由這些套件填實: libc6.1-dev-alpha-cross
libcaca-dev (0.99.beta19-2.2)
development files for libcaca
libcaca0 (0.99.beta19-2.2)
colour ASCII art library
libcacard-dev (1:2.8.0-3)
Virtual Common Access Card (CAC) Emulator (development files)
libcacard0 (1:2.8.0-3)
Virtual Common Access Card (CAC) Emulator (runtime library)
libcache-bdb-perl (0.04-2)
object caching wrapper around BerkeleyDB
libcache-cache-perl (1.08-2)
Managed caches of persistent information
libcache-fastmmap-perl (1.56-1)
Perl module providing a mmap'ed cache
libcache-historical-perl (0.05-2.1)
simple data caching service with time history
libcache-lru-perl (0.04-1.1)
simple, fast implementation of LRU cache
libcache-memcached-fast-perl (0.25-1+b3)
Perl client for memcached, in C language
libcache-memcached-getparserxs-perl (0.01-2+b3)
C/XS implementation of Cache::Memcached::GetParser
libcache-memcached-libmemcached-perl (0.04001-2)
cache interface to Memcached::libmemcached
libcache-memcached-managed-perl (0.26-1)
API for managing cached information
libcache-memcached-perl (1.30-1.1)
Perl module for using memcached servers
libcache-mmap-perl (0.11-3+b8 [amd64, arm64, armel, armhf, i386, mipsel, s390x], 0.11-3+b7 [mips64el, ppc64el])
module to provide a shared data cache using memory mapped files
libcache-perl (2.11-1.1)
Cache interface
libcache-ref-perl (0.04-1.1)
Perl module for caching references in memory
libcache-simple-timedexpiry-perl (0.27-3)
Perl module to cache and expire key/value pairs
libcactoos-java (0.48-1)
Collection of object-oriented Java primitives
libcaf-core0.17 (0.17.6-2)
Implementation of the Actor Model in C++, core library
libcaf-dev (0.17.6-2)
Implementation of the Actor Model in C++, development files
libcaf-doc (0.17.6-2)
Implementation of the Actor Model in C++, development files
libcaf-io0.17 (0.17.6-2)
Implementation of the Actor Model in C++, I/O library
libcaf-mpich-3 (2.9.2-3)
Co-Array Fortran libraries (MPICH)
libcaf-openmpi-3 (2.9.2-3)
Co-Array Fortran libraries (OpenMPI)
libcaf-openssl0.17 (0.17.6-2)
Implementation of the Actor Model in C++, OpenSSL library
libcaffe-dev (1.0.0+git20180821.99bd997-8+b2)
development files for Caffe (CPU_ONLY)
libcaffe1 (1.0.0+git20180821.99bd997-8+b2)
library of Caffe, deep learning framework (CPU_ONLY)
libcaffeine-java (2.6.2-1.1)
High performance caching library
libcairo-5c-dev (1.21)
library for cairo nickle bindings dev files
libcairo-5c0 (1.21)
library for cairo nickle bindings
libcairo-dev
本虛擬套件由這些套件填實: libcairo2-dev
libcairo-gobject-perl (1.005-2+b2)
integrate Cairo into the Glib type system in Perl
libcairo-gobject2 (1.16.0-5)
Cairo 2D vector graphics library (GObject library)
libcairo-ocaml (1:1.2.0-8+b3)
OCaml bindings for Cairo (runtime)
libcairo-ocaml-7qgl6
本虛擬套件由這些套件填實: libcairo-ocaml
libcairo-ocaml-dev (1:1.2.0-8+b3)
OCaml bindings for Cairo
libcairo-ocaml-dev-7qgl6
本虛擬套件由這些套件填實: libcairo-ocaml-dev
libcairo-ocaml-dev-pwfq4
本虛擬套件由這些套件填實: libcairo-ocaml-dev
libcairo-ocaml-dev-qun49
本虛擬套件由這些套件填實: libcairo-ocaml-dev
libcairo-ocaml-dev-rn5b1
本虛擬套件由這些套件填實: libcairo-ocaml-dev
libcairo-ocaml-pwfq4
本虛擬套件由這些套件填實: libcairo-ocaml
libcairo-ocaml-qun49
本虛擬套件由這些套件填實: libcairo-ocaml
libcairo-ocaml-rn5b1
本虛擬套件由這些套件填實: libcairo-ocaml
libcairo-perl (1.109-1)
Perl interface to the Cairo graphics library
libcairo-script-interpreter2 (1.16.0-5)
Cairo 2D vector graphics library (script interpreter)
libcairo1.10-cil (2.99.3-4)
CLI binding for Cairo
libcairo2 (1.16.0-5)
Cairo 2D vector graphics library
libcairo2-dev (1.16.0-5)
Development files for the Cairo 2D graphics library
libcairo2-doc (1.16.0-5)
Documentation for the Cairo Multi-platform 2D graphics library
libcairo2-ocaml (0.6.2+dfsg-1)
OCaml binding for Cairo (runtime library)
libcairo2-ocaml-0ddo3
本虛擬套件由這些套件填實: libcairo2-ocaml
libcairo2-ocaml-56yq1
本虛擬套件由這些套件填實: libcairo2-ocaml
libcairo2-ocaml-5scl0
本虛擬套件由這些套件填實: libcairo2-ocaml
libcairo2-ocaml-dev (0.6.2+dfsg-1)
OCaml binding for Cairo (development files)
libcairo2-ocaml-dev-0ddo3
本虛擬套件由這些套件填實: libcairo2-ocaml-dev
libcairo2-ocaml-dev-56yq1
本虛擬套件由這些套件填實: libcairo2-ocaml-dev
libcairo2-ocaml-dev-5scl0
本虛擬套件由這些套件填實: libcairo2-ocaml-dev
libcairo2-ocaml-dev-vwag2
本虛擬套件由這些套件填實: libcairo2-ocaml-dev
libcairo2-ocaml-vwag2
本虛擬套件由這些套件填實: libcairo2-ocaml
libcairo2-udeb (1.16.0-5)
Cairo 2D vector graphics library
libcairomm-1.0-1v5 (1.12.2-4)
C++ wrappers for Cairo (shared libraries)
libcairomm-1.0-dev (1.12.2-4)
C++ wrappers for Cairo (development files)
libcairomm-1.0-doc (1.12.2-4)
C++ wrappers for Cairo (documentation)
libcaja-extension
本虛擬套件由這些套件填實: libcaja-extension1
libcaja-extension-dev (1.24.0-1)
libraries for Caja components (development files)
libcaja-extension-doc (1.24.0-1)
libraries for Caja components (API documentation files)
libcaja-extension1 (1.24.0-1)
libraries for Caja components
libcal-dav-perl (0.6-3.1)
CalDAV client library
libcal3d-dev
本虛擬套件由這些套件填實: libcal3d12-dev
libcal3d-doc (0.11.0-7)
Skeletal based 3d character animation library - API documentation
libcal3d12-dev (0.11.0-7+b1)
Skeletal based 3d character animation library - development files
libcal3d12v5 (0.11.0-7+b1)
Skeletal based 3d character animation library
libcalendar-ocaml (2.04-3+b2)
OCaml library providing operations over dates and times (runtime)
libcalendar-ocaml-00hh3
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-ocaml-99fa2
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-ocaml-dev (2.04-3+b2)
OCaml library providing operations over dates and times (dev files)
libcalendar-ocaml-dev-00hh3
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-dev-99fa2
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-dev-emp69
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-dev-o8e72
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-dev-q9xe9
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-dev-sk6v1
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-dev-zrs15
本虛擬套件由這些套件填實: libcalendar-ocaml-dev
libcalendar-ocaml-doc (2.04-3)
OCaml library providing operations over dates and times (doc)
libcalendar-ocaml-emp69
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-ocaml-o8e72
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-ocaml-q9xe9
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-ocaml-sk6v1
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-ocaml-zrs15
本虛擬套件由這些套件填實: libcalendar-ocaml
libcalendar-simple-perl (2.0.1-1)
module for producing simple calendars
libcallaudio-0-1 (0.1.0-1)
Library for audio routing during voice calls
libcallaudio-dev (0.1.0-1)
Development files for libcallaudio
libcallaudio-doc (0.1.0-1)
Documentation for libcallaudio's API
libcallaudio-tools (0.1.0-1)
Helper tools for libcallaudio
libcallback1 (2.2-1)
foreign function call libraries - closures with variable arguments in C
libcam-pdf-perl (1.60-3)
PDF manipulation library
libcamd2 (1:5.8.1+dfsg-2)
symmetric approximate minimum degree library for sparse matrices
libcamel-1.2-62 (3.38.3-1+deb11u2)
Evolution MIME message handling library
libcamel1.2-dev (3.38.3-1+deb11u2)
Development files for libcamel
libcamera-calibration-parsers-dev (1.12.0-3)
Robot OS camera_calibration_parsers package - development
libcamera-calibration-parsers0d (1.12.0-3)
Robot OS camera_calibration_parsers package
libcamera-info-manager-dev (1.12.0-3)
Robot OS camera_info_manager package - development
libcamera-info-manager0d (1.12.0-3)
Robot OS camera_info_manager package
libcamitk-dev (4.1.2-4)
Computer Assisted Medical Intervention Tool Kit - development
libcamitk4 (4.1.2-4)
Computer Assisted Medical Intervention Tool Kit - runtime
libcamitk4-data (4.1.2-4)
Computer Assisted Medical Intervention Tool Kit - data
libcamitk4-doc (4.1.2-4)
Computer Assisted Medical Intervention Tool Kit - documentation
libcaml2html-ocaml (1.4.4-2+b2)
HTML and LaTeX colored syntax from OCaml source files - plugins
libcaml2html-ocaml-ais07
本虛擬套件由這些套件填實: libcaml2html-ocaml
libcaml2html-ocaml-dev (1.4.4-2+b2)
HTML and LaTeX colored syntax from OCaml source files - dev files
libcaml2html-ocaml-dev-ais07
本虛擬套件由這些套件填實: libcaml2html-ocaml-dev
libcaml2html-ocaml-dev-lohh5
本虛擬套件由這些套件填實: libcaml2html-ocaml-dev
libcaml2html-ocaml-dev-nltx1
本虛擬套件由這些套件填實: libcaml2html-ocaml-dev
libcaml2html-ocaml-dev-stqv7
本虛擬套件由這些套件填實: libcaml2html-ocaml-dev
libcaml2html-ocaml-lohh5
本虛擬套件由這些套件填實: libcaml2html-ocaml
libcaml2html-ocaml-nltx1
本虛擬套件由這些套件填實: libcaml2html-ocaml
libcaml2html-ocaml-stqv7
本虛擬套件由這些套件填實: libcaml2html-ocaml
libcamlimages-ocaml (1:5.0.4-1)
OCaml image processing library (Runtime library)
libcamlimages-ocaml-8dof4
本虛擬套件由這些套件填實: libcamlimages-ocaml
libcamlimages-ocaml-dev (1:5.0.4-1)
OCaml image processing library (Development package)
libcamlimages-ocaml-dev-8dof4
本虛擬套件由這些套件填實: libcamlimages-ocaml-dev
libcamlimages-ocaml-dev-ij7k6
本虛擬套件由這些套件填實: libcamlimages-ocaml-dev
libcamlimages-ocaml-dev-wuna5
本虛擬套件由這些套件填實: libcamlimages-ocaml-dev
libcamlimages-ocaml-dev-zfwr4
本虛擬套件由這些套件填實: libcamlimages-ocaml-dev
libcamlimages-ocaml-doc (1:5.0.4-1)
OCaml CamlImages library documentation
libcamlimages-ocaml-ij7k6
本虛擬套件由這些套件填實: libcamlimages-ocaml
libcamlimages-ocaml-wuna5
本虛擬套件由這些套件填實: libcamlimages-ocaml
libcamlimages-ocaml-zfwr4
本虛擬套件由這些套件填實: libcamlimages-ocaml
libcamlp4-ocaml-dev (4.11+1-1)
Pre Processor Pretty Printer for OCaml (libraries)
libcamlp4-ocaml-dev-3u5z5
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlp4-ocaml-dev-65dm3
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlp4-ocaml-dev-74as4
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlp4-ocaml-dev-9uq96
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlp4-ocaml-dev-dcdy6
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlp4-ocaml-dev-mm909
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlp4-ocaml-dev-thmc3
本虛擬套件由這些套件填實: libcamlp4-ocaml-dev
libcamlpdf-ocaml (2.3.1-2)
library for reading, writing and manipulating PDF files and data (runtime)
libcamlpdf-ocaml-dev (2.3.1-2)
library for reading, writing and manipulating PDF files and data
libcamlpdf-ocaml-dev-h9g86
本虛擬套件由這些套件填實: libcamlpdf-ocaml-dev
libcamlpdf-ocaml-dev-j4k83
本虛擬套件由這些套件填實: libcamlpdf-ocaml-dev
libcamlpdf-ocaml-dev-rubh9
本虛擬套件由這些套件填實: libcamlpdf-ocaml-dev
libcamlpdf-ocaml-dev-ruhh4
本虛擬套件由這些套件填實: libcamlpdf-ocaml-dev
libcamlpdf-ocaml-h9g86
本虛擬套件由這些套件填實: libcamlpdf-ocaml
libcamlpdf-ocaml-j4k83
本虛擬套件由這些套件填實: libcamlpdf-ocaml
libcamlpdf-ocaml-rubh9
本虛擬套件由這些套件填實: libcamlpdf-ocaml
libcamlpdf-ocaml-ruhh4
本虛擬套件由這些套件填實: libcamlpdf-ocaml
libcamltemplate-ocaml (1.0.2-3+b2)
configurable library for generating text from templates in OCaml
libcamltemplate-ocaml-1e7m0
本虛擬套件由這些套件填實: libcamltemplate-ocaml
libcamltemplate-ocaml-dev (1.0.2-3+b2)
configurable library for generating text from templates in OCaml
libcamltemplate-ocaml-dev-1e7m0
本虛擬套件由這些套件填實: libcamltemplate-ocaml-dev
libcamltemplate-ocaml-dev-hgk71
本虛擬套件由這些套件填實: libcamltemplate-ocaml-dev
libcamltemplate-ocaml-dev-r4mh5
本虛擬套件由這些套件填實: libcamltemplate-ocaml-dev
libcamltemplate-ocaml-dev-u6890
本虛擬套件由這些套件填實: libcamltemplate-ocaml-dev
libcamltemplate-ocaml-hgk71
本虛擬套件由這些套件填實: libcamltemplate-ocaml
libcamltemplate-ocaml-r4mh5
本虛擬套件由這些套件填實: libcamltemplate-ocaml
libcamltemplate-ocaml-u6890
本虛擬套件由這些套件填實: libcamltemplate-ocaml
libcamomile-ocaml-data (1.0.2-3)
Unicode data for OCaml
libcamomile-ocaml-dev (1.0.2-3+b1)
Unicode library for OCaml
libcamomile-ocaml-dev-5a1z2
本虛擬套件由這些套件填實: libcamomile-ocaml-dev
libcamomile-ocaml-dev-9sqh8
本虛擬套件由這些套件填實: libcamomile-ocaml-dev
libcamomile-ocaml-dev-b9ex3
本虛擬套件由這些套件填實: libcamomile-ocaml-dev
libcamomile-ocaml-dev-hjo34
本虛擬套件由這些套件填實: libcamomile-ocaml-dev
libcamp-dev (0.8.4-1)
C++ multi-purpose reflection library (development files)
libcamp0.7
本虛擬套件由這些套件填實: libcamp0.8
libcamp0.7-dev
本虛擬套件由這些套件填實: libcamp-dev
libcamp0.8 (0.8.4-1)
C++ multi-purpose reflection library
libcanary-stability-perl (2006-2)
canary to check perl compatibility for schmorp's modules
libcanberra-dev (0.30-7)
simple interface for playing event sounds (development files)
libcanberra-doc (0.30-7)
simple abstract interface for playing event sounds - doc
libcanberra-gstreamer (0.30-7)
GStreamer backend for libcanberra
libcanberra-gtk-common-dev (0.30-7)
simple interface for playing event sounds (GTK+ shared development files)
libcanberra-gtk-dev (0.30-7)
simple interface for playing event sounds (GTK+ 2 development files)
libcanberra-gtk-module (0.30-7)
translates GTK+ widgets signals to event sounds
libcanberra-gtk0 (0.30-7)
GTK+ helper for playing widget event sounds with libcanberra
libcanberra-gtk3-0 (0.30-7)
GTK+ 3.0 helper for playing widget event sounds with libcanberra
libcanberra-gtk3-dev (0.30-7)
simple interface for playing event sounds (GTK+ 3 development files)
libcanberra-gtk3-module (0.30-7)
translates GTK3 widgets signals to event sounds
libcanberra-pulse (0.30-7)
PulseAudio backend for libcanberra
libcanberra0 (0.30-7)
simple abstract interface for playing event sounds
libcangjie2 (1.3-4)
Cangjie input method library
libcangjie2-data (1.3-4)
Cangjie input method library (common database)
libcangjie2-dev (1.3-4)
Cangjie input method library (development)
libcangjie2-dev-tools (1.3-4)
Cangjie input method library development tools
libcanl-c-dev (3.0.0-3.1)
Development files for EMI caNl
libcanl-c-doc (3.0.0-3.1)
Documentation files for EMI caNl
libcanl-c-examples (3.0.0-3.1)
Example programs of EMI caNl
libcanl-c4 (3.0.0-3.1)
EMI Common Authentication library - bindings for C
libcanl-java (2.6.0-2)
EMI Common Authentication library - bindings for Java
libcanl-java-doc (2.6.0-2)
Javadoc documentation for canl-java
libcanlock-dev (3.2.2-1)
development files for Usenet cancel lock library
libcanlock3 (3.2.2-1)
library for creating and verifying Usenet cancel locks
libcanna1g (3.7p3-16)
input system for Japanese - runtime library
libcanna1g-dev (3.7p3-16)
input system for Japanese - development files
libcantor-dev (4:20.12.1-5)
interface for mathematical applications - development files
libcantorlibs28 (4:20.12.1-5)
interface for mathematical applications - shared library
libcap-dev (1:2.44-1)
POSIX 1003.1e capabilities (development)
libcap-ng-dev (0.7.9-2.2+b1)
Development and header files for libcap-ng
libcap-ng-utils (0.7.9-2.2+b1)
Utilities for analysing and setting file capabilities
libcap-ng0 (0.7.9-2.2+b1)
An alternate POSIX capabilities library
libcap2 (1:2.44-1)
POSIX 1003.1e capabilities (library)
libcap2-bin (1:2.44-1)
POSIX 1003.1e capabilities (utilities)
libcap2-dev
本虛擬套件由這些套件填實: libcap-dev
libcap2-udeb (1:2.44-1)
POSIX 1003.1e capabilities (library)
libcapi20-3 (1:3.27-3+b1)
ISDN utilities - CAPI support libraries
libcapi20-dev (1:3.27-3+b1)
ISDN utilities - CAPI development libraries
libcapnp-0.7.0 (0.7.0-7)
Cap'n Proto C++ library
libcapnp-dev (0.7.0-7)
Cap'n Proto C++ library (development files)
libcapstone-dev (4.0.2-3)
lightweight multi-architecture disassembly framework - devel files
libcapstone4 (4.0.2-3)
lightweight multi-architecture disassembly framework - library
libcaptcha-recaptcha-perl (0.98+ds-1)
perl implementation of the reCAPTCHA API
libcapture-tiny-perl (0.48-1)
module to capture STDOUT and STDERR
libcaribou-common (0.4.21-7.1)
Configurable on screen keyboard with scanning mode - common files
libcaribou-dev (0.4.21-7.1)
Configurable on screen keyboard - library (development)
libcaribou-gtk-module (0.4.21-7.1)
Configurable on screen keyboard with scanning mode (GTK+ 2.0 module)
libcaribou-gtk3-module (0.4.21-7.1)
Configurable on screen keyboard with scanning mode (GTK+ 3.0 module)
libcaribou0 (0.4.21-7.1)
Configurable on screen keyboard with scanning mode - library
libcarp-always-perl (0.16-1)
Perl module to make warn and die noisy with stack backtraces
libcarp-assert-more-perl (1.26-1)
set of convenience wrappers for libcarp-assert-perl
libcarp-assert-perl (0.21-1.1)
Carp::Assert - executable comments for perl
libcarp-clan-perl (6.08-1)
Perl enhancement to Carp error logging facilities
libcarp-clan-share-perl (0.013-2.1)
Perl module enabling sharing of Carp::Clan settings
libcarp-datum-perl (1:0.1.3-8)
Debugging And Tracing Ultimate Module (for perl)
libcarp-fix-1-25-perl (1.000001-2.1)
Perl module to smooth over incompatible changes in Carp 1.25
libcarrotsearch-hppc-java (0.7.2-2)
High Performance Primitive Collections for Java
libcarrotsearch-randomizedtesting-java (2.7.8-2)
Randomized testing infrastructure for JUnit, Ant and Maven
libcasa-casa5 (3.3.0-4+b3)
CASA core modules
libcasa-coordinates5 (3.3.0-4+b3)
CASA coordinates library
libcasa-derivedmscal5 (3.3.0-4+b3)
CASA derived mscal library
libcasa-fits5 (3.3.0-4+b3)
CASA FITS library
libcasa-images5 (3.3.0-4+b3)
CASA image processing library
libcasa-lattices5 (3.3.0-4+b3)
CASA lattice library
libcasa-meas5 (3.3.0-4+b3)
CASA functions handling measures
libcasa-measures5 (3.3.0-4+b3)
CASA measures library
libcasa-mirlib5 (3.3.0-4+b3)
CASA mirlib library
libcasa-ms5 (3.3.0-4+b3)
CASA MeasurementSet library
libcasa-msfits5 (3.3.0-4+b3)
CASA msfits library
libcasa-python3-5 (3.3.0-4+b3)
CASA Python bindings
libcasa-scimath-f5 (3.3.0-4+b3)
CASA scimath F library
libcasa-scimath5 (3.3.0-4+b3)
CASA basic mathematical modules
libcasa-tables5 (3.3.0-4+b3)
CASA table system
libcassie-dev (1.0.9-3+b1)
library and headers for development
libcassie-doc (1.0.9-3)
documentation for cassiopee library
libcassie1v5 (1.0.9-3+b1)
library implementating search algorithms
libcastor-anttasks-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - Ant Tasks
libcastor-codegen-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - Codegen
libcastor-core-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - Core
libcastor-ddlgen-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - DDL generator
libcastor-java-doc (1.3.2-7)
Documentation for Castor Java databinding framework
libcastor-jdo-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - JDO
libcastor-xml-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - XML module
libcastor-xml-schema-java (1.3.2-7)
Data binding framework for Java (XML, Objects, DB) - XML Schema
libcatalyst-action-renderview-perl (0.16-2.1)
Sensible default end action for Catalyst
libcatalyst-action-rest-perl (1.21-1)
module for automatic REST method dispatching
libcatalyst-action-serialize-data-serializer-perl (1.08-2.1)
serializing module for Catalyst::Action::REST using Data::Serializer
libcatalyst-actionrole-acl-perl (0.07-1.1)
Catalyst ActionRole for user role-based authorization
libcatalyst-actionrole-checktrailingslash-perl (0.01-1.1)
Test URI path for trailing slash and redirect if needed
libcatalyst-actionrole-requiressl-perl (1.00-1)
Force an action to be (in)secure only
libcatalyst-authentication-credential-authen-simple-perl (0.09-3.1)
Catalyst plugin for verifying credentials with the Authen::Simple framework
libcatalyst-authentication-credential-http-perl (1.018-1)
HTTP Basic and Digest authentication for Catalyst
libcatalyst-authentication-store-dbix-class-perl (0.1506-4)
Catalyst authentication storage via DBIx::Class
libcatalyst-authentication-store-htpasswd-perl (1.006-2)
Authen::Htpasswd based user storage/authentication
libcatalyst-component-instancepercontext-perl (0.001001-2.1)
Single per-request instances of Catalyst components
libcatalyst-controller-actionrole-perl (0.17-2)
module for applying roles to action instances
libcatalyst-controller-formbuilder-perl (0.06-2.1)
Catalyst FormBuilder base controller
libcatalyst-controller-html-formfu-perl (2.04-1.1)
Catalyst integration for HTML::FormFu
libcatalyst-devel-perl (1.42-1)
collection of development tools for Catalyst
libcatalyst-dispatchtype-regex-perl (5.90035-1.1)
Regex DispatchType for Catalyst
libcatalyst-engine-apache-perl (1.16-2.1)
Catalyst engine for Apache 1.x and 2.x
libcatalyst-log-log4perl-perl (1.06-3.1)
logging module for Catalyst (deprecated)
libcatalyst-manual-perl (5.9011-1)
developer's manual for Catalyst
libcatalyst-model-adaptor-perl (0.10-2.1)
glue for Catalyst model modules
libcatalyst-model-cdbi-crud-perl (0.04-3.1)
deprecated Catalyst module
libcatalyst-model-cdbi-perl (0.12-2.1)
CDBI Model Class for Catalyst
libcatalyst-model-dbi-perl (0.32-2.1)
Database model class for Catalyst
libcatalyst-model-dbic-schema-perl (0.65-2)
DBIx::Class::Schema-based database model for Catalyst
libcatalyst-modules-extra-perl (9+nmu1)
extra modules for Catalyst (metapackage)
libcatalyst-modules-perl (48+nmu1)
modules for Catalyst (metapackage)
libcatalyst-perl (5.90128-1)
elegant Model-View-Controller Web Application Framework
libcatalyst-plugin-authentication-credential-openid-perl (0.03+rp-3.1)
OpenID credential for Catalyst::Auth framework
libcatalyst-plugin-authentication-perl (0.10023-3)
Infrastructure plugin for the Catalyst authentication framework
libcatalyst-plugin-authorization-acl-perl (0.16-1.1)
ACL support for Catalyst applications
libcatalyst-plugin-authorization-roles-perl (0.09-3)
Role based authorization plugin for Catalyst
libcatalyst-plugin-cache-perl (0.12-2.1)
flexible caching support for Catalyst
libcatalyst-plugin-cache-store-fastmmap-perl (0.02-4)
(deprecated) FastMmap cache store plugin for Catalyst::Plugin::Cache
libcatalyst-plugin-captcha-perl (0.04-3)
create and validate Captcha for Catalyst
libcatalyst-plugin-compress-perl (0.5-1.1)
Catalyst plugin to compress the response
libcatalyst-plugin-configloader-perl (0.35-1)
Catalyst plugin for loading configuration files
libcatalyst-plugin-customerrormessage-perl (0.6-2.2)
Catalyst plugin to have more "cute" error message.
libcatalyst-plugin-fillinform-perl (0.12-1.1)
module providing Fill-In-Form for Catalyst
libcatalyst-plugin-i18n-perl (0.10-3.1)
I18N for Catalyst web application framework
libcatalyst-plugin-log-dispatch-perl (0.121-2.1)
Catalyst log module that uses Log::Dispatch
libcatalyst-plugin-redirect-perl (0.2-1.1)
Catalyst plugin for easy redirects
libcatalyst-plugin-scheduler-perl (0.10-2)
Catalyst plugin for scheduling events to run in a cron-like fashion
libcatalyst-plugin-session-perl (0.41-1)
generic Session plugin for Catalyst
libcatalyst-plugin-session-state-cookie-perl (0.18-1)
Catalyst plugin for maintaining session IDs using cookies
libcatalyst-plugin-session-store-cache-perl (0.01-2.1)
Catalyst plugin for storing session data in the cache
libcatalyst-plugin-session-store-dbi-perl (0.16-3)
DBI session storage plugin for Catalyst
libcatalyst-plugin-session-store-dbic-perl (0.14-3.1)
DBIx::Class-based session storage plugin for Catalyst
libcatalyst-plugin-session-store-delegate-perl (0.06-2.1)
delegated Catalyst session storage helper
libcatalyst-plugin-session-store-fastmmap-perl (0.16-2.1)
Catalyst session storage plugin backed by Cache::FastMMap
libcatalyst-plugin-session-store-file-perl (0.18-2.1)
File storage backend for Catalyst session data
libcatalyst-plugin-session-store-redis-perl (0.900-1)
Redis Session store for Catalyst
libcatalyst-plugin-setenv-perl (0.03-3.1)
set up the environment from Catalyst's config file
libcatalyst-plugin-smarturi-perl (0.041-1)
module providing configurable URIs for Catalyst
libcatalyst-plugin-stacktrace-perl (0.12-2.1)
Catalyst plugin for displaying a stack trace on the debug screen
libcatalyst-plugin-static-simple-perl (0.36-1)
Catalyst plugin for easy serving of static pages
libcatalyst-plugin-subrequest-perl (0.21-1.1)
deprecated Catalist plugin for making subrequests to actions
libcatalyst-plugin-unicode-encoding-perl
本虛擬套件由這些套件填實: libcatalyst-perl
libcatalyst-plugin-unicode-perl (0.93-3.1)
Unicode support for Catalyst (old style)
libcatalyst-view-component-subinclude-perl (0.10-3)
Use subincludes in your Catalyst views
libcatalyst-view-csv-perl (1.8-1)
CSV view class for the Catalyst web framework
libcatalyst-view-email-perl (0.36-1)
Catalyst module for sending email
libcatalyst-view-excel-template-plus-perl (0.3-2.2)
Catalyst View for Excel::Template::Plus
libcatalyst-view-gd-perl (0.1-1.2)
Catalyst View for GD images
libcatalyst-view-json-perl (0.37-1)
JSON view plugin for Catalyst
libcatalyst-view-mason-perl (0.19-1.1)
Mason view component for Catalyst
libcatalyst-view-pdf-reuse-perl (0.4-2.1)
Create PDF files from Catalyst using Template Toolkit templates
libcatalyst-view-petal-perl (0.03-1.1)
Petal View Class for Catalyst
libcatalyst-view-tt-perl (0.45-1)
Template View Class for Catalyst
libcatalystx-component-traits-perl (0.19-2.1)
Automatic Trait Loading and Resolution for Catalyst Components
libcatalystx-injectcomponent-perl (0.025-1.1)
module for injecting components into Catalyst applications
libcatalystx-leakchecker-perl (0.06-2.1)
module for detecting memory leaks in Catalyst applications
libcatalystx-simplelogin-perl (0.21-1)
simple and reusable login controller
libcatmandu-atom-perl (0.05-1)
modules for handling Atom feeds within the Catmandu framework
libcatmandu-filestore-perl (1.16-1)
modules to make files persistent within the Catmandu framework
libcatmandu-importer-getjson-perl (0.52-1)
load JSON-encoded data from a server using a GET HTTP request
libcatmandu-mab2-perl (0.24-1)
modules for working with MAB2 data within the Catmandu framework
libcatmandu-marc-perl (1.241-1)
modules for working with MARC data within the Catmandu framework
libcatmandu-mods-perl (0.31-1.1)
modules for working with MODS data within the Catmandu framework
libcatmandu-perl (1.2012-2)
metadata toolkit
libcatmandu-rdf-perl (0.32-2)
modules for handling RDF data within the Catmandu framework
libcatmandu-sru-perl (0.42.8-1)
modules for working with SRU data within the Catmandu framework
libcatmandu-store-elasticsearch-perl (1.0202-1)
searchable store backed by Elasticsearch
libcatmandu-store-mongodb-perl (0.0803-1)
searchable store backed by MongoDB
libcatmandu-template-perl (0.13-1)
modules for working with templates within the Catmandu framework
libcatmandu-wikidata-perl (0.06-1.1)
import from Wikidata for processing with Catmandu
libcatmandu-xls-perl (0.09-1)
modules for working with Excel files within the Catmandu framework
libcatmandu-xml-perl (0.16-1.1)
modules for handling XML data within the Catmandu framework
libcatmandu-xsd-perl (0.05-1)
modules for handling XML data with XSD compilation
libcattle-1.0-0 (1.4.0-1)
Brainfuck language toolkit
libcattle-1.0-dev (1.4.0-1)
Brainfuck language toolkit (development files)
libcattle-1.0-doc (1.4.0-1)
Brainfuck language toolkit (API reference)
libcava-java (0.6.0-1.1)
Libraries and tools for blockchain and decentralized software
libcbf-dev (0.9.6+dfsg1-2+b2)
development files for CBFlib
libcbf1 (0.9.6+dfsg1-2+b2)
shared library supporting CBFlib
libcbor-dev (0.5.0+dfsg-2)
library for parsing and generating CBOR (RFC 7049) (development headers)
libcbor-doc (0.5.0+dfsg-2)
library for parsing and generating CBOR (RFC 7049) (documentation)
libcbor-xs-perl (1.83-1)
module for manipulating CBOR-formatted data (C/XS-accelerated)
libcbor0 (0.5.0+dfsg-2)
library for parsing and generating CBOR (RFC 7049)
libcc1-0 (10.2.1-6)
GCC cc1 plugin for GDB
libccd-dev (2.1-1)
Library for collision detection between convex shapes - dev files
libccd2 (2.1-1)
Library for collision detection between convex shapes - shared library
libccfits-dev (2.5+dfsg-2+b1)
static library for I/O with FITS format data files
libccfits-doc (2.5+dfsg-2)
documentation for CCfits
libccfits0v5 (2.5+dfsg-2+b1)
shared library for I/O with FITS format data files
libccgnu2-1.8-0v5 (1.8.1-10)
GNU package for creating portable C++ programs
libccid (1.4.34-1)
PC/SC driver for USB CCID smart card readers
libccolamd2 (1:5.8.1+dfsg-2)
constrained column approximate library for sparse matrices
libcconv-dev (0.6.2-1.1+b2)
development library for CCONV
libcconv0 (0.6.2-1.1+b2)
library for CCONV
libccp4-data (6.5.1-5)
CCP4 core functionality - common files
libccp4-dev (6.5.1-5)
CCP4 core functionality - development files
libccp4c0 (6.5.1-5)
CCP4 core functionality - C runtime
libccp4f0 (6.5.1-5)
CCP4 core functionality - fortran runtime
libccrtp-dev (2.0.9-2.3)
Common C++ class framework for RTP packets - development files
libccrtp-doc (2.0.9-2.3)
Documentation files for GNU ccRTPp library
libccrtp2v5 (2.0.9-2.3)
Common C++ class framework for RTP packets
libcctz-dev (2.3+dfsg1-3)
Library for computing dates, times and time zones, development files
libcctz-doc (2.3+dfsg1-3)
Library for computing dates, times and time zones, documentation
libcctz2 (2.3+dfsg1-3)
Library for computing dates, times and time zones, shared library
libcdaudio-dev (0.99.12p2-15)
library for controlling a CD-ROM when playing audio CDs (development)
libcdaudio1 (0.99.12p2-15)
library for controlling a CD-ROM when playing audio CDs
libcdb-dev (0.78+b1)
development files for constant databases (cdb)
libcdb-file-perl (1.05-1)
module to access cdb databases from Perl
libcdb1 (0.78+b1)
shared library for constant databases (cdb)
libcdd-dev (094l-2)
Library for finding vertices of convex polytopes, development
libcdd-doc (094l-2)
documentation for libcdd
libcdd-tools (094l-2)
Programs using libcdd
libcdd0d (094l-2)
Library for calculations with convex polytopes, runtime
libcddb-dev
本虛擬套件由這些套件填實: libcddb2-dev
libcddb-file-perl (1.05-2.1)
Parse a CDDB/freedb data file
libcddb-get-perl (2.28-3)
Perl interface to read the CDDB entry for an audio CD in your drive
libcddb-perl (1.222-1.1)
module to query CDDB and freedb servers
libcddb2 (1.3.2-6+b1 [amd64], 1.3.2-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to access CDDB data - runtime files
libcddb2-dev (1.3.2-6+b1 [amd64], 1.3.2-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to access CDDB data - development files
libcdi-api-java (1.2-3)
Contexts and Dependency Injection for Java EE
libcdi-api-java-doc (1.2-3)
Contexts and Dependency Injection for Java EE - documentation
libcdi-dev (1.9.10-1)
Development files for the climate data interface library
libcdi0 (1.9.10-1)
Climate Data Interface (cdi) library
libcdio++-dev (2.1.0-2)
C++ library to read and control CD-ROM (development files)
libcdio++1 (2.1.0-2)
C++ library to read and control CD-ROM
libcdio-cdda-dev (10.2+2.0.0-1+b2)
library to read and control digital audio CDs (development files)
libcdio-cdda2 (10.2+2.0.0-1+b2)
library to read and control digital audio CDs
libcdio-dev (2.1.0-2)
library to read and control CD-ROM (development files)
libcdio-paranoia-dev (10.2+2.0.0-1+b2)
library to read digital audio CDs with error correction (development files)
libcdio-paranoia2 (10.2+2.0.0-1+b2)
library to read digital audio CDs with error correction
libcdio-utils (2.1.0-2)
sample applications based on the CDIO libraries
libcdio19 (2.1.0-2)
library to read and control CD-ROM
libcdk-java (1:2.3.134.g1bb9a64587-2)
Chemistry Development Kit (CDK) Java libraries
libcdk-perl (20150928-1+b8)
Perl interface for a curses widget library
libcdk5-dev (5.0.20180306-3)
C-based curses widget library (development files)
libcdk5-doc (5.0.20180306-3)
C-based curses widget library (examples and demos)
libcdk5nc6 (5.0.20180306-3)
C-based curses widget library
libcdkr-java (3.5.0+dfsg-2)
chemistry library combining R with CDK
libcdparanoia-dev (3.10.2+debian-13.1)
audio extraction tool for sampling CDs (development)
libcdparanoia0 (3.10.2+debian-13.1)
audio extraction tool for sampling CDs (library)
libcdparanoia0-dev
本虛擬套件由這些套件填實: libcdparanoia-dev
libcdr-0.1-1 (0.1.6-2)
library for reading and converting Corel DRAW files
libcdr-dev (0.1.6-2)
library for reading and converting Corel DRAW files -- development
libcdr-doc (0.1.6-2)
library for reading and converting Corel DRAW files -- documentation
libcdr-tools (0.1.6-2)
library for reading and converting Corel DRAW files -- tools
libcds-dev (2.3.3-2)
Concurrent Data Structures (CDS) library - development
libcds-healpix-java (0.27.1+ds-2)
CDS HEALPix library in Java
libcds-healpix-java-doc (0.27.1+ds-2)
API documentation for the CDS HEALPix library in Java
libcds-moc-java (5.0-2)
Multi-Order Coverage maps Virtual Observatory library
libcds-moc-java-doc (5.0-2)
Multi-Order Coverage maps Virtual Observatory library documentation
libcds-savot-java (4.0.0-2)
Simple Access to VOTable (SAVOT) library for Virtual Observatory
libcds-savot-java-doc (4.0.0-2)
Simple Access to VOTable (SAVOT) library for Virtual Observatory documentation
libcds2.3.3 (2.3.3-2)
Concurrent Data Structures (CDS) library
libcdt5 (2.42.2-5)
rich set of graph drawing tools - cdt library
libcec-dev (6.0.2-2)
USB CEC Adaptor communication Library (development files)
libcec6 (6.0.2-2)
USB CEC Adaptor communication Library (shared library)
libcegui-mk2-0.8.7 (0.8.7-9+b2)
Crazy Eddie's GUI (libraries)
libcegui-mk2-data (0.8.7-9)
Crazy Eddie's GUI (data)
libcegui-mk2-dev (0.8.7-9+b2)
Crazy Eddie's GUI (development files)
libcegui-mk2-doc (0.8.7-9)
Crazy Eddie's GUI (documentation)
libcentreon-clib (19.10.0-1)
Network, system, applicative supervision and monitoring - core libraries
libcephfs-dev (14.2.21-1)
Ceph distributed file system client library (development files)
libcephfs-java (14.2.21-1)
Java library for the Ceph File System
libcephfs-jni (14.2.21-1)
Java Native Interface library for CephFS Java bindings
libcephfs2 (14.2.21-1)
Ceph distributed file system client library
libcereal-dev (1.3.0+dfsg-1)
C++11 library for serialization
libcereal-doc (1.3.0+dfsg-1)
C++11 library for serialization HTML documentation
libceres-dev (1.14.0-14)
nonlinear least squares minimizer (development files)
libceres1 (1.14.0-14)
nonlinear least squares minimizer (shared library)
libcerf-dev (1.3-2.1)
Complex error function library - development files
libcerf-doc (1.3-2.1)
Complex error function library - development files
libcerf1 (1.3-2.1)
Complex error function library - binary files
libcerf1-dbg (1.3-2.1)
Complex error function library - debugging symbols
libcexceptions-dev (3.1.0+dfsg-3)
C exception handling library (development files)
libcexceptions0 (3.1.0+dfsg-3)
C exception handling library
libcext-dev (7.1.3-1)
Commonly used utility functions for C programs (development files)
libcext-doc (7.1.3-1)
API documentation for ESO's C utility library libcext
libcext0 (7.1.3-1)
Commonly used utility functions for C programs
libcf-ocaml (0.10-5+b2)
OCaml library with miscellaneous utility functions
libcf-ocaml-0bp93
本虛擬套件由這些套件填實: libcf-ocaml
libcf-ocaml-57m10
本虛擬套件由這些套件填實: libcf-ocaml
libcf-ocaml-dev (0.10-5+b2)
OCaml library with miscellaneous utility functions
libcf-ocaml-dev-0bp93
本虛擬套件由這些套件填實: libcf-ocaml-dev
libcf-ocaml-dev-57m10
本虛擬套件由這些套件填實: libcf-ocaml-dev
libcf-ocaml-dev-lw900
本虛擬套件由這些套件填實: libcf-ocaml-dev
libcf-ocaml-dev-p1ai0
本虛擬套件由這些套件填實: libcf-ocaml-dev
libcf-ocaml-lw900
本虛擬套件由這些套件填實: libcf-ocaml
libcf-ocaml-p1ai0
本虛擬套件由這些套件填實: libcf-ocaml
libcfg-dev (3.1.2-2)
cluster engine CFG library development
libcfg7 (3.1.2-2)
cluster engine CFG library
libcfitsio-bin (3.490-3)
CFITSIO based utilities
libcfitsio-dev (3.490-3)
library for I/O with FITS format data files (development files)
libcfitsio-doc (3.490-3)
documentation for CFITSIO
libcfitsio9 (3.490-3)
shared library for I/O with FITS format data files
libcg (3.1.0013-5) [non-free]
Nvidia Cg core runtime library
libcg3
本虛擬套件由這些套件填實: libcg3-1
libcg3-1 (1.3.2-1)
Runtime for CG-3
libcg3-dev (1.3.2-1)
Headers and shared files to develop using the CG-3 library
libcgal-demo (5.2-3)
C++ library for computational geometry (demos)
libcgal-dev (5.2-3)
C++ library for computational geometry (development files)
libcgal-ipelets (5.2-3)
C++ library for computational geometry (ipelets)
libcgal-qt5-dev (5.2-3)
C++ library for computational geometry (development files, support for Qt5)
libcggl (3.1.0013-5) [non-free]
Nvidia Cg Opengl runtime library
libcgi-ajax-perl (0.707-2)
Perl-specific system for writing Asynchronous web applications
libcgi-application-basic-plugin-bundle-perl (0.9)
bundle of basic plugins for CGI::Application
libcgi-application-dispatch-perl (3.12-2.1)
request dispatcher for CGI::Application
libcgi-application-extra-plugin-bundle-perl (0.7)
bundle of extra CGI::Application plugins
libcgi-application-perl (4.61+~1.21+~1.00+~1.01-1)
framework for building reusable web-applications
libcgi-application-plugin-actiondispatch-perl (0.99-2.1)
attribute extension for CGI::Application
libcgi-application-plugin-anytemplate-perl (0.18-3)
module supporting any templating system in CGI::Application
libcgi-application-plugin-authorization-driver-activedirectory-perl
本虛擬套件由這些套件填實: libcgi-application-plugin-authorization-perl
libcgi-application-plugin-authorization-perl (0.07+~cs0.1-1)
authorization framework for CGI::Application
libcgi-application-plugin-autorunmode-perl (0.18-2.1)
CGI::App plugin to automatically register runmodes
libcgi-application-plugin-captcha-perl (0.04-2.1)
module providing CAPTCHA support in CGI::Application
libcgi-application-plugin-config-simple-perl (1.01-2.1)
module providing Config::Simple support to CGI::Application
libcgi-application-plugin-configauto-perl (1.33-2.1)
plugin that adds Config::Auto support to CGI::Application
libcgi-application-plugin-dbh-perl (4.04-2)
plugin adding database support to CGI::Application
libcgi-application-plugin-dbiprofile-perl (0.07-2.1)
plugin providing database profiling support
libcgi-application-plugin-debugscreen-perl (1.00-2)
debugging tool for CGI::Application
libcgi-application-plugin-devpopup-params-perl
本虛擬套件由這些套件填實: libcgi-application-plugin-devpopup-perl
libcgi-application-plugin-devpopup-perl (1.08+~cs2.4-1)
debugging framework for CGI::Application
libcgi-application-plugin-devpopup-query-perl
本虛擬套件由這些套件填實: libcgi-application-plugin-devpopup-perl
libcgi-application-plugin-errorpage-perl
本虛擬套件由這些套件填實: libcgi-application-perl
libcgi-application-plugin-fillinform-perl (1.15-2.1)
plugin for CGI::Application to fill in forms
libcgi-application-plugin-formstate-perl (0.12-2.1)
plugin to store form state without hidden fields
libcgi-application-plugin-forward-perl (1.06-2.1)
plugin to allow one run mode pass control to another
libcgi-application-plugin-json-perl (1.02-3.1)
plugin to facilitate manipulation of JSON headers
libcgi-application-plugin-linkintegrity-perl (0.06-3.1)
plugin to make tamper-resisistent links in CGI::Application
libcgi-application-plugin-logdispatch-perl (1.02-2.1)
plugin that adds Log::Dispatch support to CGI::Application
libcgi-application-plugin-messagestack-perl (0.34-4)
message passing plugin for CGI::Application
libcgi-application-plugin-protectcsrf-perl (1.01-2.1)
plugin to generate and verify anti-CSRF challenges
libcgi-application-plugin-ratelimit-perl (1.0-3.1)
Perl module for limiting the runmode call rate per user
libcgi-application-plugin-redirect-perl
本虛擬套件由這些套件填實: libcgi-application-perl
libcgi-application-plugin-requiressl-perl (0.04-2.1)
plugin enforcing that certain run modes must be SSL secured
libcgi-application-plugin-session-perl (1.05-2.1)
plugin that adds session support to CGI::Application
libcgi-application-plugin-stream-perl (2.12-1.1)
plugin that adds file streaming support to CGI::Application
libcgi-application-plugin-tt-lastmodified-perl
本虛擬套件由這些套件填實: libcgi-application-plugin-tt-perl
libcgi-application-plugin-tt-perl (1.05+~cs1.2-1)
plugin that adds Template Toolkit support to CGI::Application
libcgi-application-plugin-validaterm-perl (2.5-3.1)
plugin for validating form data using Data::FormValidator
libcgi-application-plugin-viewcode-perl (1.02-5)
debugging tool for CGI::Application
libcgi-application-server-perl (0.063-4)
simple HTTP server for developing with CGI::Application
libcgi-application-standard-config-perl
本虛擬套件由這些套件填實: libcgi-application-perl
libcgi-compile-perl (0.25-1)
module for compiling .cgi scripts to a code reference
libcgi-compress-gzip-perl (1.03-2.1)
module to allow automatically compressed CGI output
libcgi-cookie-splitter-perl (0.05-2)
module for splitting big cookies into smaller ones
libcgi-emulate-psgi-perl (0.23-1)
PSGI adapter for CGI
libcgi-expand-perl (2.05-4)
convert flat hash to nested data using TT2's dot convention
libcgi-fast-perl (1:2.15-1)
CGI subclass for work with FCGI
libcgi-formalware-perl (1.16-2.1)
Perl module for converting an XML file into a suite of CGI forms
libcgi-formbuilder-perl (3.10-4)
Easily generate and process stateful CGI forms
libcgi-formbuilder-source-perl-perl (0.01-1.1)
module to initialize FormBuilder applications from Perl files
libcgi-formbuilder-source-yaml-perl (1.0.8-4.1)
module to initialize FormBuilder applications from YAML files
libcgi-github-webhook-perl (0.06-1.1)
Easily write CGI-based GitHub webhooks in Perl
libcgi-java (0.8.1-1.1)
CGI library for Java
libcgi-pm-perl (4.51-1)
module for Common Gateway Interface applications
libcgi-psgi-perl (0.15-2.1)
Adapt CGI.pm to the PSGI protocol
libcgi-session-driver-chi-perl (1.0.3-2)
driver for CGI::Session to use CHI as a session store
libcgi-session-driver-memcached-perl (0.04-2.1)
Perl module to allow CGI sessions to be stored in memcache
libcgi-session-expiresessions-perl (1.13-2.1)
automatic deletion of expired CGI sessions
libcgi-session-perl (4.48-3)
persistent session data in CGI applications
libcgi-session-serialize-yaml-perl (4.26-3)
YAML and YAML::Syck support for CGI::Session's serializers
libcgi-simple-perl (1.115-2)
simple CGI.pm compatible OO CGI interface
libcgi-ssi-parser-perl (0.01-1.2)
used in CGI scripts for parsing SSI directives
libcgi-ssi-perl (0.92-5.1)
Perl module to use SSI from CGI scripts
libcgi-struct-xs-perl (1.04-2+b6)
Perl module to build structures from CGI data, XS version
libcgi-test-perl (1.111-1.1)
CGI regression test framework
libcgi-untaint-date-perl (1.00-3.1)
CGI::Untaint input handler for date validation
libcgi-untaint-email-perl (0.03-3.1)
input handler for CGI::Untaint to validate an email address
libcgi-untaint-perl (1.26-7)
module to process CGI input parameters
libcgi-uploader-perl (2.18-2.1)
module for managing CGI uploads using an SQL database
libcgi-xml-perl (0.1-15.1)
perl module for converting CGI variables from/to XML
libcgi-xmlapplication-perl (1.1.5-1)
perl module for creating XML-DOM and OO based CGI scripts
libcgi-xmlform-perl (0.10-15.1)
perl module for reading/generating formatted XML
libcgicc-dev (3.2.19-0.2)
C++ class library for writing CGI applications (development files)
libcgicc-doc (3.2.19-0.2)
C++ class library for writing CGI applications (documentation)
libcgicc3 (3.2.19-0.2)
C++ class library for writing CGI applications (library)
libcglib-java (3.2.12-1)
Code generation library for Java
libcglib-java-doc (3.2.12-1)
Code generation library for Java (documentation)
libcglib-nodep-java (3.2.12-1)
Code generation library for Java (without dependencies)
libcglm-dev (0.7.9-1)
Development files for the cglm library
libcglm-doc (0.7.9-1)
Documentation for the cglm library
libcglm0 (0.7.9-1)
Optimized OpenGL Mathematics library for C
libcgns-dev (3.4.0-3)
CFD General Notation System library
libcgns3.4 (3.4.0-3)
CFD General Notation System library
libcgraph6 (2.42.2-5)
rich set of graph drawing tools - cgraph library
libcgreen1 (1.3.0-2)
Cgreen Library - Unit tests and mocking framework for C and C++
libcgreen1-dev (1.3.0-2)
Cgreen library - development files
libcgreen1-doc (1.3.0-2)
Cgreen Library - document
libcgroup-dev (0.41-11)
control and monitor control groups (development)
libcgroup1 (0.41-11)
control and monitor control groups (library)
libcgsi-gsoap-dev (1.3.11-2)
GSI plugin for gSOAP - development files
libcgsi-gsoap1 (1.3.11-2)
GSI plugin for gSOAP
libchado-perl (1.31-6)
database schema and tools for genomic data
libchafa-dev (1.6.0-1)
development files for image-to-text converter chafa
libchafa0 (1.6.0-1)
library for image-to-text converter chafa
libchamplain-0.12-0 (0.12.20-1)
C library providing ClutterActor to display maps
libchamplain-0.12-dev (0.12.20-1)
C library providing ClutterActor to display maps (development files)
libchamplain-doc (0.12.20-1)
C library providing ClutterActor to display maps (documentation)
libchamplain-gtk-0.12-0 (0.12.20-1)
Gtk+ widget to display maps
libchamplain-gtk-0.12-dev (0.12.20-1)
Gtk+ widget to display maps (development files)
libchardet-dev (1.0.4-1+b11)
universal charset detection library - development files
libchardet1 (1.0.4-1+b11)
universal charset detection library - shared library
libcharinfo-width-ocaml (1.1.0-2+b1)
determine column width for a character (runtime)
libcharinfo-width-ocaml-2ijp4
本虛擬套件由這些套件填實: libcharinfo-width-ocaml
libcharinfo-width-ocaml-4fq45
本虛擬套件由這些套件填實: libcharinfo-width-ocaml
libcharinfo-width-ocaml-4lnv7
本虛擬套件由這些套件填實: libcharinfo-width-ocaml
libcharinfo-width-ocaml-dev (1.1.0-2+b1)
determine column width for a character (development)
libcharinfo-width-ocaml-dev-2ijp4
本虛擬套件由這些套件填實: libcharinfo-width-ocaml-dev
libcharinfo-width-ocaml-dev-4fq45
本虛擬套件由這些套件填實: libcharinfo-width-ocaml-dev
libcharinfo-width-ocaml-dev-4lnv7
本虛擬套件由這些套件填實: libcharinfo-width-ocaml-dev
libcharinfo-width-ocaml-dev-lwxw3
本虛擬套件由這些套件填實: libcharinfo-width-ocaml-dev
libcharinfo-width-ocaml-lwxw3
本虛擬套件由這些套件填實: libcharinfo-width-ocaml
libcharls-dev (2.2.0+dfsg-2)
Implementation of the JPEG-LS standard (development libraries)
libcharls2 (2.2.0+dfsg-2)
Implementation of the JPEG-LS standard
libcharon-extauth-plugins (5.9.1-1+deb11u4)
strongSwan charon library (extended authentication plugins)
libcharon-extra-plugins (5.9.1-1+deb11u4)
strongSwan charon library (extra plugins)
libchart-clicker-perl (2.90-1)
module for creating attractive charts and graphs
libchart-gnuplot-perl (0.23-1.1)
module for generating two- and three-dimensional plots
libchart-perl (2.4.10ds1-2.1)
Chart library for Perl
libchart-strip-perl (1.08-4)
Draw strip chart type graphs
libcharts4j-java (1.3-3)
free, lightweight charts and graphs Java API
libchasen-dev (2.4.5-44+b1)
Japanese Morphological Analysis System (libraries and headers)
libchasen2 (2.4.5-44+b1)
Japanese Morphological Analysis System (shared libraries)
libchatbot-eliza-perl (1.08-1.1)
clone of the classic Eliza program
libchealpix-dev (3.30.0-8+b1)
HEALPix representation of spherical data - C development library
libchealpix0 (3.30.0-8+b1)
HEALPix representation of spherical data - C shared library
libcheck-isa-perl (0.09-1.1)
Perl module for correct checking of an object's class
libchecker-framework-java (3.0.1+ds2-3)
Framework that makes Java’s type system more powerful and useful
libcheese-dev (3.38.0-3)
tool to take pictures and videos from your webcam - base dev
libcheese-doc (3.38.0-3)
tool to take pictures and videos from your webcam - documentation
libcheese-gtk-dev (3.38.0-3)
tool to take pictures and videos from your webcam - widgets dev
libcheese-gtk25 (3.38.0-3)
tool to take pictures and videos from your webcam - widgets
libcheese8 (3.38.0-3)
tool to take pictures and videos from your webcam - base library
libchemistry-elements-perl (1.074-1)
Perl extension for working with Chemical Elements
libchemistry-formula-perl (3.0.1-1.3)
enumerate elements in a chemical formula
libchemistry-openbabel-perl (3.1.1+dfsg-6)
Chemical toolbox library (perl bindings)
libchemistry-opensmiles-perl (0.4.3-2)
OpenSMILES format reader and writer
libchemps2-3 (1.8.10-2)
Spin-adapted DMRG for ab initio quantum chemistry
libchemps2-dev (1.8.10-2)
C++ headers, static library, and symlink for libchemps2-3
libcheshire-clojure (5.7.1-1.1)
fast JSON encoding for Clojure
libchewing
本虛擬套件由這些套件填實: libchewing3
libchewing-data
本虛擬套件由這些套件填實: libchewing3-data
libchewing3 (0.5.1-4)
intelligent phonetic input method library
libchewing3-data (0.5.1-4)
intelligent phonetic input method library - data files
libchewing3-dev (0.5.1-4)
intelligent phonetic input method library (developer version)
libchi-driver-memcached-perl (0.16-1)
Memcached driver for CHI, the unified cache handling interface
libchi-driver-redis-perl (0.10-3)
CHI driver that uses Redis to store the data
libchi-memoize-perl (0.07-2)
memoization implementation backed by CHI
libchi-perl (0.60-4)
Unified Cache Handling Interface
libchicken-dev (5.2.0-2)
Practical and portable Scheme system - development
libchicken11 (5.2.0-2)
Practical and portable Scheme system - runtime
libchild-perl (0.013-1.1)
Object Oriented simple interface to fork()
libchipcard-data (5.1.5rc2-7)
configuration files for libchipcard
libchipcard-dev (5.1.5rc2-7)
API for smartcard readers
libchipcard-libgwenhywfar60-plugins (5.1.5rc2-7)
crypttoken plugin to libgwenhywfar - transitional package
libchipcard-pcsc-card-perl
本虛擬套件由這些套件填實: libpcsc-perl
libchipcard-tools (5.1.5rc2-7)
tools for accessing chipcards
libchipcard6 (5.1.5rc2-7)
library for accessing smartcards
libchipmunk-dev (7.0.3-3)
Fast and lightweight 2D rigid body physics library (development files)
libchipmunk7 (7.0.3-3)
fast and lightweight 2D rigid body physics library in C
libchise-dev (0.3.0-2.1)
C language binding of CHISE, the character information database
libchise1 (0.3.0-2.1)
C language binding of CHISE, the character information database
libchm-bin (2:0.40a-7)
library for dealing with Microsoft CHM files (test programs)
libchm-dev (2:0.40a-7)
library for dealing with Microsoft CHM files (development)
libchm1 (2:0.40a-7)
library for dealing with Microsoft CHM files
libcholmod3 (1:5.8.1+dfsg-2)
sparse Cholesky factorization library for sparse matrices
libchromaprint-dev (1.5.0-2)
audio fingerprinting library - development files
libchromaprint-tools (1.5.0-2)
audio fingerprinting library - tools
libchromaprint1 (1.5.0-2)
audio fingerprint library
libcib27 (2.0.5-2)
cluster resource manager CIB library
libcidr-dev (1.2.3-3)
IP addresses and netblocks manipulation library
libcidr0 (1.2.3-3)
IP addresses and netblocks manipulation library
libcif-dev (0.4.2-2)
CIF API CIF-parsing and manipulation library - development files
libcif1 (0.4.2-2)
CIF API CIF-parsing and manipulation library - shared library
libcifpp-dev (1.0.1-3)
Development files for libcifpp
libcifpp1 (1.0.1-3)
Library files for libcifpp
libcifti-dev (1.6.0-2)
development files for CiftiLib
libcifti-doc (1.6.0-2)
documentation for CiftiLib
libcifti0 (1.6.0-2)
library for manipulating CIFTI files
libcinnamon-control-center-dev (4.8.2-1)
configuration applets for Cinnamon - development files
libcinnamon-control-center1 (4.8.2-1)
library used by configuration applets for Cinnamon
libcinnamon-desktop-dev (4.8.1-2)
Cinnamon library for loading .desktop files - development files
libcinnamon-desktop4 (4.8.1-2)
Cinnamon library for loading .desktop files
libcinnamon-menu-3-0 (4.8.3-1)
Cinnamon implementation of the freedesktop menu specification
libcinnamon-menu-3-dev (4.8.3-1)
Cinnamon implementation of the freedesktop menu specification
libcircle-be-perl
本虛擬套件由這些套件填實: circle-backend
libcitadel-dev (917-3+b1)
Development files for libcitadel4
libcitadel4 (917-3+b1)
Citadel toolbox
libcitygml-bin (2.0.9-3+b1)
Utils of libcitygml - citygmltest
libcitygml-dev (2.0.9-3+b1)
Static and header files of libcitygml
libcitygml2 (2.0.9-3+b1)
Open source C++ library for parsing CityGML files
libcivetweb-dev (1.13+dfsg-5)
embeddable web server with optional CGI, SSL and Lua support (devel)
libcivetweb1 (1.13+dfsg-5)
embeddable web server with optional CGI, SSL and Lua support (lib)
libcjose-dev (0.6.1+dfsg1-1+deb11u1)
Development files for libcjose
libcjose0 (0.6.1+dfsg1-1+deb11u1)
C library implementing the Javascript Object Signing and Encryption (JOSE)
libcjs-dev (4.8.2-1)
Mozilla-based javascript bindings for the Cinnamon platform
libcjs0 (4.8.2-1)
Mozilla-based javascript bindings for the GNOME platform
libcjson-dev (1.7.14-1)
Ultralightweight JSON parser in ANSI C (development files)
libcjson1 (1.7.14-1)
Ultralightweight JSON parser in ANSI C
libck-dev (0.6.0-1.4)
Concurrency Kit - development files
libck0 (0.6.0-1.4)
Concurrency Kit - shared libraries
libckit-smlnj (110.79-6)
SML library for parsing and type-checking C programs
libckyapplet1 (1.1.0-16)
Smart Card Coolkey applet
libckyapplet1-dev (1.1.0-16)
Smart Card Coolkey applet development files
libclalsadrv-dev (2.0.0-3.1)
ALSA driver C++ access library (development files)
libclalsadrv2 (2.0.0-3.1)
ALSA driver C++ access library
libclamav-client-perl (0.11-2.1)
Perl client for the ClamAV virus scanner daemon
libclamav-dev (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - development files
libclamav9 (0.103.10+dfsg-0+deb11u1)
anti-virus utility for Unix - library
libclamunrar (0.103.10-1~deb11u1) [non-free]
anti-virus utility for Unix - unrar support
libclamunrar9 (0.103.10-1~deb11u1) [non-free]
anti-virus utility for Unix - unrar support
libclanapp-1.0v5 (1.0~svn3827-8)
ClanLib game SDK runtime
libclang-11-dev (1:11.0.1-2)
Clang library - Development package
libclang-13-dev (1:13.0.1-6~deb11u1)
Clang library - Development package
libclang-16-dev (1:16.0.6-15~deb11u2)
Clang library - Development package
libclang-9-dev (1:9.0.1-16.1)
Clang library - Development package
libclang-common-11-dev (1:11.0.1-2)
Clang library - Common development package
libclang-common-13-dev (1:13.0.1-6~deb11u1)
Clang library - Common development package
libclang-common-16-dev (1:16.0.6-15~deb11u2)
Clang library - Common development package
libclang-common-9-dev (1:9.0.1-16.1)
Clang library - Common development package
libclang-cpp-dev (1:11.0-51+nmu5)
C++ interface to the clang library
libclang-cpp1-9 (1:9.0.1-16.1)
transitional package
libclang-cpp11 (1:11.0.1-2)
C++ interface to the Clang library
libclang-cpp11-dev (1:11.0.1-2)
C++ interface to the Clang library
libclang-cpp13 (1:13.0.1-6~deb11u1)
C++ interface to the Clang library
libclang-cpp13-dev (1:13.0.1-6~deb11u1)
C++ interface to the Clang library
libclang-cpp16 (1:16.0.6-15~deb11u2)
C++ interface to the Clang library
libclang-cpp16-dev (1:16.0.6-15~deb11u2)
C++ interface to the Clang library
libclang-cpp9 (1:9.0.1-16.1)
C++ interface to the Clang library
libclang-dev (1:11.0-51+nmu5)
clang library - Development package
libclang-perl (0.09-4+b13 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x], 0.09-4+b10 [armel])
Perl bindings to the Clang compiler's indexing interface
libclang-rt-16-dev (1:16.0.6-15~deb11u2)
Compiler-rt - development package
libclang-rt-16-dev-wasm32 (1:16.0.6-15~deb11u2)
Compiler-rt - wasm32 builtins
libclang-rt-16-dev-wasm64 (1:16.0.6-15~deb11u2)
Compiler-rt - wasm64 builtins
libclang1 (1:11.0-51+nmu5)
C, C++ and Objective-C compiler (LLVM based)
libclang1-11 (1:11.0.1-2)
C interface to the Clang library
libclang1-13 (1:13.0.1-6~deb11u1)
C interface to the Clang library
libclang1-16 (1:16.0.6-15~deb11u2)
C interface to the Clang library
libclang1-9 (1:9.0.1-16.1)
C interface to the Clang library
libclanlib-dev (1.0~svn3827-8)
ClanLib game SDK development files
libclansdl-1.0v5 (1.0~svn3827-8)
SDL module for ClanLib game SDK
libclass-accessor-chained-perl (0.01.1~debian-4)
Perl module providing chained accessors
libclass-accessor-children-perl (0.02-2.1)
perl module for automated child-class/accessor generation
libclass-accessor-class-perl (0.503-2.1)
simple class variable accessors
libclass-accessor-classy-perl (0.9.1-2.1)
Perl module providing minimalist, fast accessors
libclass-accessor-grouped-perl (0.10014-1)
Perl module to build groups of accessors
libclass-accessor-lite-perl (0.08-1.1)
minimalistic variant of Class::Accessor
libclass-accessor-lvalue-perl (0.11-4.1)
module to create Lvalue accessors
libclass-accessor-named-perl (0.009-1)
module to better profiling output for Class::Accessor
libclass-accessor-perl (0.51-1)
Perl module that automatically generates accessors
libclass-adapter-perl (1.09-1)
Perl implementation of the "Adapter" Design Pattern
libclass-autoloadcan-perl (0.03-2.1)
module managing conflict between AUTOLOAD, can and inheritance
libclass-autouse-perl (2.01-1.1)
module for deferring loading ('use'ing) of a class until run time
libclass-base-perl (0.09-1)
useful base class for deriving other modules
libclass-c3-adopt-next-perl (0.14-1)
drop-in replacement for NEXT, using Class::C3 to do the hard work
libclass-c3-componentised-perl (1.001002-1)
module to load mix-ins or components to C3-based classes
libclass-c3-perl (0.35-1)
pragma for using the C3 method resolution order
libclass-c3-xs-perl (0.15-1+b1)
Perl module to accelerate Class::C3
libclass-container-perl (0.13-1)
Perl module to glue object frameworks together transparently
libclass-contract-perl (1.14-8.1)
Perl Design-by-Contract OO module
libclass-csv-perl (1.03-2.2)
Class based CSV parser/writer
libclass-data-accessor-perl (0.04004-2)
Inheritable, overridable class and instance data accessor creation
libclass-data-inheritable-perl (0.08-3)
Perl module to create accessors to class data
libclass-date-perl (1.1.17-1)
Perl module for easy date and time manipulation
libclass-dbi-abstractsearch-perl (0.07-4.1)
Abstract Class::DBI's SQL with SQL::Abstract
libclass-dbi-asform-perl (2.42-7)
module to produce HTML form elements for database columns using Class::DBI
libclass-dbi-fromcgi-perl (1.00-5.1)
Perl module to update Class::DBI data using CGI::Untaint
libclass-dbi-fromform-perl (0.04-3.1)
Perl module to update Class::DBI data using Data::FormValidator
libclass-dbi-loader-perl (0.34-3.1)
Perl module for dynamic definition of Class::DBI sub classes
libclass-dbi-loader-relationship-perl (1:1.2-5.1)
Easier relationship specification in Class::DBI::Loader
libclass-dbi-mysql-perl (1.00-4.1)
extensions to Class::DBI for MySQL
libclass-dbi-pager-perl (0.08-5)
pager utility for Class::DBI
libclass-dbi-perl (3.0.17-4.1)
convenient abstraction layer to a database
libclass-dbi-pg-perl (0.09-5.1)
Class::DBI extension for Postgres
libclass-dbi-plugin-abstractcount-perl (0.08-2.1)
Class::DBI plugin to get COUNT(*) results with abstract SQL
libclass-dbi-plugin-pager-perl (0.566-2.1)
Perl extension for paging SQL results
libclass-dbi-plugin-perl (0.03-6.1)
abstract base class for Class::DBI plugins
libclass-dbi-plugin-retrieveall-perl (1.04-4.1)
Class::DBI plugin providing a more complex retrieve_all() method
libclass-dbi-plugin-type-perl (0.02-9)
Class::DBI plugin to determine type information for table columns
libclass-dbi-sqlite-perl (0.11-5.1)
extension to Class::DBI for sqlite
libclass-dbi-sweet-perl (0.11-1.1)
Perl module providing a sweeter Class::DBI
libclass-default-perl (1.51-3)
Perl module to make static calls apply to a default instantiation
libclass-delegator-perl (0.09-4.1)
Perl module for a simple and fast object-oriented delegation
libclass-ehierarchy-perl (2.01-1)
module that provides a base class for hierarchally ordered objects
libclass-errorhandler-perl (0.04-2)
Base class for error handling
libclass-factory-perl (1.06-3.1)
Base class for dynamic factory classes
libclass-factory-util-perl (1.7-3.1)
utility method for factory classes
libclass-field-perl (0.24-1)
accessor cenerator for class fields and consts
libclass-gomor-perl (1.03-1)
class and object builder
libclass-handle-perl (1.07-3)
module to create objects which are handles to classes
libclass-inner-perl (0.200001-2)
module providing Java-like inner classes
libclass-insideout-perl (1.14-2)
safe, simple inside-out object construction kit
libclass-inspector-perl (1.36-1)
Perl module that provides information about classes
libclass-isa-perl (0.36-6)
report the search path for a class's ISA tree
libclass-load-perl (0.25-1)
module for loading modules by name
libclass-load-xs-perl (0.10-1+b5)
XS implementation of parts of Class::Load
libclass-loader-dev (0.5.0-3)
development files for Robot OS class_loader library
libclass-loader-perl (2.03-2)
module to load modules and create objects on command
libclass-loader1d (0.5.0-3)
ROS class_loader library
libclass-makemethods-perl (1.01-5)
Perl module for generating common types of methods
libclass-meta-perl (0.66-2.1)
class automation, introspection, and data validation module
libclass-method-modifiers-perl (2.13-1)
Perl module providing method modifiers
libclass-methodmaker-perl (2.24-2+b1)
Perl module for creating generic methods
libclass-mix-perl (0.006-1)
Perl module for dynamic class mixing
libclass-mixinfactory-perl (0.92-3.1)
Class Factory with Selection of Mixins
libclass-multimethods-perl (1.701-1.1)
Support multimethods and subroutine overloading in Perl
libclass-objecttemplate-perl (0.7-8.1)
Perl extension for an optimized template builder base class
libclass-ooorno-perl (0.011-2.1)
Give your module classic AND OO interfaces
libclass-perl (1.00-3)
module providing aliases for __PACKAGE__
libclass-pluggable-perl (0.022-2.1)
Simple pluggable class.
libclass-prototyped-perl (1.13-2.1)
module for fast prototype-based OO programming in Perl
libclass-refresh-perl (0.07-2)
module for refreshing classes during runtime
libclass-returnvalue-perl (0.55-2.1)
return-value object that can be treated as boolean, array or object
libclass-singleton-perl (1.6-1)
implementation of a "Singleton" class
libclass-spiffy-perl (0.15-3.1)
Spiffy Perl interface framework
libclass-std-fast-perl (0.0.8-2.1)
faster but less secure replacement for Class::Std
libclass-std-perl (0.013-1.1)
module for creating standard "inside-out" classes
libclass-std-storable-perl (0.0.1-2.1)
Support for creating serializable "inside-out" classes
libclass-std-utils-perl (0.0.3-2.1)
utility subroutines for building "inside-out" objects
libclass-throwable-perl (0.13-1)
minimal lightweight exception class
libclass-tiny-chained-perl (0.004-1.1)
minimalist class construction module with chained attributes
libclass-tiny-perl (1.008-1)
minimalist class construction module for Perl
libclass-trait-perl (0.31-4.1)
Implementation of Traits in Perl
libclass-trigger-perl (0.15-1)
Mix-in to add/call inheritable triggers
libclass-unload-perl (0.11-1)
Perl module to unload a class at runtime
libclass-virtual-perl (0.08-1)
Base class for virtual base classes
libclass-whitehole-perl (0.04-7)
base class to treat unhandled method calls as errors
libclass-xsaccessor-array-perl
本虛擬套件由這些套件填實: libclass-xsaccessor-perl
libclass-xsaccessor-perl (1.19-3+b7)
Perl module providing fast XS accessors
libclasslojure-clojure (0.7.1-4)
Advanced classloading for Clojure
libclassmate-java (1.3.4-1.1)
Library for introspecting generic type information of types, methods and fields
libclassycle-java (1.4.2-1.1)
Analysing tool for Java dependencies
libclassycle-java-doc (1.4.2-1.1)
Analysing tool for Java dependencies - documentation
libclaw-application-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Set of classes to ease the initialisation of the program (development files)
libclaw-application1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Set of classes to ease the initialisation of the program
libclaw-configuration-file-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Class to process configuration files (development files)
libclaw-configuration-file1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Class to process configuration files
libclaw-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Claw is a generalist C++ library (development files)
libclaw-doc (1.7.4-2)
Claw is a generalist C++ library (documentation files)
libclaw-dynamic-library-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Class to ease the manipulation of dynamic libraries (development files)
libclaw-dynamic-library1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Class to ease the manipulation of dynamic libraries
libclaw-graphic-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Set of classes for image manipulation (development files)
libclaw-graphic1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Set of classes for image manipulation
libclaw-i18n (1.7.4-2)
Internationalisation files for libclaw
libclaw-logger-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Flexible log system (development files)
libclaw-logger1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Flexible log system
libclaw-net-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
C++ standard stream interface for Unix sockets (development files)
libclaw-net1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
C++ standard stream interface for Unix sockets
libclaw-tween-dev (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
C++ tweeners (development files)
libclaw-tween1v5 (1.7.4-2+b2 [mips64el], 1.7.4-2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
C++ tweeners
libclaws-mail-dev (3.17.8-1+b1)
Development files for Claws Mail plugins
libclblast-dev (1.5.2-2)
Tuned OpenCL BLAS library (development files)
libclblast1 (1.5.2-2)
Tuned OpenCL BLAS library
libclc-13 (1:13.0.1-6~deb11u1)
OpenCL C language implementation - platform support
libclc-13-dev (1:13.0.1-6~deb11u1)
OpenCL C language implementation - development files
libclc-16 (1:16.0.6-15~deb11u2)
OpenCL C language implementation - platform support
libclc-16-dev (1:16.0.6-15~deb11u2)
OpenCL C language implementation - development files
libclc-amdgcn (0.2.0+git20190827-8)
OpenCL C language implementation - amdgcn support
libclc-dev (0.2.0+git20190827-8)
OpenCL C language implementation - development files
libclc-ptx (0.2.0+git20190827-8)
OpenCL C language implementation - ptx support
libclc-r600 (0.2.0+git20190827-8)
OpenCL C language implementation - r600 support
libclc-x.y
本虛擬套件由這些套件填實: libclc-13, libclc-16
libclc-x.y-dev
本虛擬套件由這些套件填實: libclc-16-dev, libclc-13-dev
libcld2-0 (0.0.0-git20150806-9)
Compact Language Detector 2, library package
libcld2-dev (0.0.0-git20150806-9)
Compact Language Detector 2, development package
libclearsilver-perl (0.10.5-4+b2)
Perl bindings for clearsilver
libcleri-dev (0.12.1-1)
language parser library - development files
libcleri0 (0.12.1-1)
language parser library
libclfft-bin
本虛擬套件由這些套件填實: clfft-client
libclfft-dev (2.12.2-3.1)
development files for clFFT
libclfft-doc (2.12.2-3.1)
documentation for clFFT
libclfft2 (2.12.2-3.1)
OpenCL FFT library
libclhep-dev (2.1.4.1+dfsg-1)
CLHEP: A Class Library for High Energy Physics - development
libclhep2.1v5 (2.1.4.1+dfsg-1)
CLHEP: A Class Library for High Energy Physics
libcli-dev (1.10.4-1)
emulates a cisco style telnet command-line interface (dev files)
libcli-framework-perl (0.05-1.1)
standardized, flexible, testable CLI applications framework for Perl
libcli1
本虛擬套件由這些套件填實: libcli1.10
libcli1.10 (1.10.4-1)
emulates a cisco style telnet command-line interface
libclipboard-perl (0.27-1)
module to use X selections (clipboard) from Perl
libclipper-dev (2.1.20201109-1)
object oriented development kit for crystallographic computing
libclipper-doc (2.1.20201109-1)
doxygen generated documentation for libclipper
libclipper2 (2.1.20201109-1)
object oriented library for crystallographic computing
libclips (6.30-4.1)
CLIPS shared libraries
libclips-dev (6.30-4.1)
CLIPS shared libraries
libcliquer-dev (1.21-2)
clique searching library (development headers)
libcliquer1 (1.21-2)
clique searching library
libclj-digest-clojure (1.4.9+dfsg-1)
message digest library for Clojure
libclj-http-clojure (2.3.0-1.1)
Clojure HTTP client library
libclj-stacktrace-clojure (0.2.7-1)
more readable stacktraces in Clojure programs
libclj-time-clojure (0.15.2-1)
date and time library for Clojure
libclj-tuple-clojure (0.2.2-2.1)
efficient implementations for small maps and vectors in Clojure
libclj-yaml-clojure (0.7.0-1)
YAML encoding and decoding for Clojure
libcljx-clojure (0.6.0-3)
Leiningen plugin and nREPL middleware
libcln-dev (1.3.6-4)
Development library for Class Library for Numbers (c++)
libcln6 (1.3.6-4)
Class Library for Numbers (C++)
libclojure-java (1.10.2-1)
Lisp dialect for the JVM (library)
libclojure-maven-plugin-java (1.7.1-2)
Clojure plugin for Maven
libclone-choose-perl (0.010-1)
Choose appropriate clone utility (Perl library)
libclone-perl (0.45-1+b1)
module for recursively copying Perl datatypes
libclone-pp-perl (1.08-1)
module to recursively copy Perl datatypes
libclosure-compiler-java (20130227+dfsg1-10.1)
JavaScript optimizing compiler - library package
libclosure-compiler-java-doc (20130227+dfsg1-10.1)
JavaScript optimizing compiler - Javadoc
libcloudproviders-dev (0.3.0-3)
cloud provider library - development files
libcloudproviders-doc (0.3.0-3)
cloud provider library - documentation
libcloudproviders0 (0.3.0-3)
cloud provider library
libclout-clojure (2.1.2-1.1)
library for matching Ring HTTP requests
libclsync-dev (0.4.5-2)
development files for libclsync
libclsync0 (0.4.5-2)
clsync control socket library
libclthreads-dev (2.4.2-1)
POSIX threads C++ access library (development files)
libclthreads2 (2.4.2-1)
POSIX threads C++ access library (shared library)
libclucene-contribs1v5 (2.3.3.4+dfsg-1+b1)
language specific text analyzers (runtime)
libclucene-core1v5 (2.3.3.4+dfsg-1+b1)
core library for full-featured text search engine (runtime)
libclucene-dev (2.3.3.4+dfsg-1+b1)
library for full-featured text search engine (development)
libclustalo-dev (1.2.4-7)
Library to embed Clustal Omega
libclustalo-doc (1.2.4-7)
API documentation for library to embed Clustal Omega
libclutter-1.0-0 (1.26.4+dfsg-2)
Open GL based interactive canvas library
libclutter-1.0-common (1.26.4+dfsg-2)
Open GL based interactive canvas library (common files)
libclutter-1.0-dev (1.26.4+dfsg-2)
Open GL based interactive canvas library (development files)
libclutter-1.0-doc (1.26.4+dfsg-2)
Open GL based interactive canvas library (documentation)
libclutter-gst-3.0-0 (3.0.27-2)
Open GL based interactive canvas library GStreamer elements
libclutter-gst-3.0-dev (3.0.27-2)
Open GL based interactive canvas library GStreamer elements (development files)
libclutter-gst-3.0-doc (3.0.27-2)
Open GL based interactive canvas library GStreamer elements (documentation)
libclutter-gtk-1.0-0 (1.8.4-4)
Open GL based interactive canvas library GTK+ widget
libclutter-gtk-1.0-dev (1.8.4-4)
Open GL based interactive canvas library GTK+ widget (development files)
libclutter-gtk-1.0-doc (1.8.4-4)
Open GL based interactive canvas library GTK+ widget (documentation)
libclutter-imcontext-0.1-0 (0.1.4-3.1)
Open GL based interactive canvas library IMContext framework
libclutter-imcontext-0.1-0-dbg (0.1.4-3.1)
Open GL based interactive canvas library IMContext framework (debug files)
libclutter-imcontext-0.1-bin (0.1.4-3.1)
Open GL based interactive canvas library IMContext framework
libclutter-imcontext-0.1-dev (0.1.4-3.1)
Open GL based interactive canvas library IMContext framework (dev. files)
libclutter-imcontext-0.1-doc (0.1.4-3.1)
Open GL based interactive canvas library IMContext framework (document)
libclxclient-dev (3.9.2-1)
X Window System C++ access library (development files)
libclxclient3 (3.9.2-1)
X Window System C++ access library (shared library)
libcm256cc-dev (1.0.5-1+b1)
Fast GF(256) Cauchy MDS Block Erasure Codec in C++ (headers)
libcm256cc1 (1.0.5-1+b1)
Fast GF(256) Cauchy MDS Block Erasure Codec in C++
libcmap-dev (3.1.2-2)
cluster engine CMAP library development
libcmap4 (3.1.2-2)
cluster engine CMAP library
libcmark-dev (0.29.0-4)
development files for CommonMark parsing and rendering library
libcmark-gfm-dev (0.29.0.gfm.0-6)
CommonMark GitHub flavor gfm library dev files
libcmark-gfm-extensions-dev (0.29.0.gfm.0-6)
CommonMark GitHub flavor gfm extensions library dev files
libcmark-gfm-extensions0 (0.29.0.gfm.0-6)
CommonMark GitHub flavor gfm extension library
libcmark-gfm0 (0.29.0.gfm.0-6)
CommonMark GitHub flavor gfm library
libcmark0.29.0 (0.29.0-4)
CommonMark parsing and rendering library
libcmdliner-ocaml-dev (1.0.4-2+b1)
declarative definition of command line interfaces
libcmdliner-ocaml-dev-b4656
本虛擬套件由這些套件填實: libcmdliner-ocaml-dev
libcmdliner-ocaml-dev-j2qd4
本虛擬套件由這些套件填實: libcmdliner-ocaml-dev
libcmdliner-ocaml-dev-kmzk2
本虛擬套件由這些套件填實: libcmdliner-ocaml-dev
libcmdliner-ocaml-dev-vl9n2
本虛擬套件由這些套件填實: libcmdliner-ocaml-dev
libcminpack-dev (1.3.6-4)
Nonlinear equations and nonlinear least squares problems - development
libcminpack1 (1.3.6-4)
Nonlinear equations and nonlinear least squares problems - runtime
libcmis-0.5-5v5 (0.5.2-3)
CMIS protocol client library
libcmis-dev (0.5.2-3)
CMIS protocol client library -- development
libcml-smlnj (110.79-6)
Concurrent ML extension of SML
libcmlutil-smlnj (110.79-6)
Concurrent ML utility library
libcmlxom-java (3.1-3)
Java library for processing CML
libcmocka-dev (1.1.5-2)
development files for the CMocka unit testing framework
libcmocka0 (1.1.5-2)
library for the CMocka unit testing framework
libcmor-dev (3.6.1-1)
Development files for Climate Model Output Rewriter
libcmor2 (3.6.1-1)
Climate Model Output Rewriter library
libcmph-dev (2.0.2-2)
C Minimal Perfect Hashing Library development files
libcmph-tools (2.0.2-2)
C Minimal Perfect Hashing Library command line utilities
libcmph0 (2.0.2-2)
C Minimal Perfect Hashing Library
libcmrt-dev (1.0.6+dfsg1-1+b1)
C bindings for media runtime -- development headers
libcmrt1 (1.0.6+dfsg1-1+b1)
C bindings for Intel Media Runtime
libcmtspeechdata-dev (2.1.1+git20160721~8efc468-2.1)
modem speech data handling library (devel files)
libcmtspeechdata-doc (2.1.1+git20160721~8efc468-2.1)
modem speech data handling library (documentation)
libcmtspeechdata-utils (2.1.1+git20160721~8efc468-2.1)
modem speech data handling library (utils)
libcmtspeechdata0 (2.1.1+git20160721~8efc468-2.1)
modem speech data handling library
libcneartree-dev (5.1.1+dfsg1-1+b1)
Library and headers for solving the Nearest Neighbor Problem
libcneartree7 (5.1.1+dfsg1-1+b1)
Library for solving the Nearest Neighbor Problem
libcoap2 (4.2.1-1)
C-Implementation of CoAP - libraries API version 2
libcoap2-bin (4.2.1-1)
C-Implementation of CoAP - example binaries API version 2
libcoap2-dev (4.2.1-1)
C-Implementation of CoAP - development files API version 2
libcoap2-doc (4.2.1-1)
C-Implementation of CoAP - HTML based documentation files for API v2
libcoarrays-dev (2.9.2-3)
Co-Array Fortran libraries
libcoarrays-mpich-dev (2.9.2-3)
Co-Array Fortran libraries for - development files (MPICH)
libcoarrays-openmpi-dev (2.9.2-3)
Co-Array Fortran libraries - development files (OpenMPI)
libcobertura-java (2.1.1-2)
java library that calculates the percentage of code accessed by tests
libcobra-java (0.98.4-5.1)
Java HTML Renderer & Parser
libcod-tools-perl (3.1.0+dfsg-3)
tools for manipulating CIF format files - Perl modules
libcoda-dev (2.21.2-1+b1)
Development files for the CODA framework for Earth sciences
libcoda-java (2.21.2-1)
Java interface for Common Data Access Framework
libcoda-jni (2.21.2-1+b1)
Java Native interface for Common Data Access Framework
libcoda15 (2.21.2-1+b1)
Library for the Common Data Access framework for Earth science
libcodcif-dev (3.1.0+dfsg-3)
error-correcting CIF parser - headers and static libraries
libcodcif3 (3.1.0+dfsg-3)
error-correcting CIF parser - shared library
libcode-tidyall-perl (0.78~ds-1)
your all-in-one code tidier and validator
libcode-tidyall-plugin-sortlines-naturally-perl (0.000003-1.1)
sort lines of a file using Unicode::Collate::Locale
libcode-tidyall-plugin-uniquelines-perl (0.000003-1.1)
module to remove duplicate lines from files
libcode-tidyall-plugin-yaml-perl (0.000001-1.1)
module to tidy YAML documents
libcode-tidyall-plugin-yamlfrontmatter-perl (1.000003-1)
module to validate YAML front matter
libcodeblocks0 (20.03-3)
Code::Blocks shared library
libcodec2-0.9 (0.9.2-4)
Codec2 runtime library
libcodec2-dev (0.9.2-4)
Codec2 library development files
libcodemodel-java (2.6+jaxb2.3.0.1-10)
Java library for code generators
libcodenarc-groovy-java (0.23-5)
Groovy library that provides static analysis features for Groovy code
libcodenarc-groovy-java-doc (0.23-5)
Documentation for libcodenarc-groovy-java
libcodesize-java (1.2-2)
calculates the size of code for Robocode
libcofoja-java (1.3-4)
Java API for annotating code with contracts
libcogl-common (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer (common files)
libcogl-dev (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer (development files)
libcogl-doc (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer (documentation)
libcogl-pango-dev (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer (development files)
libcogl-pango20 (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer
libcogl-path-dev (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer (development files)
libcogl-path20 (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer
libcogl20 (1.22.8-2)
Object oriented GL/GLES Abstraction/Utility Layer
libcoin-dev (4.0.0+ds-1)
high-level 3D graphics devkit with Open Inventor and VRML97 support
libcoin-doc (4.0.0+ds-1)
high-level 3D graphics kit with Open Inventor and VRML97 support
libcoin-runtime (4.0.0+ds-1)
high-level 3D graphics kit - external data files
libcoin80c (4.0.0+ds-1)
high-level 3D graphics kit implementing the Open Inventor API
libcoinutils-dev
本虛擬套件由這些套件填實: coinor-libcoinutils-dev
libcoinutils-doc
本虛擬套件由這些套件填實: coinor-libcoinutils-doc
libcoinutils3
本虛擬套件由這些套件填實: coinor-libcoinutils3v5
libcolamd2 (1:5.8.1+dfsg-2)
column approximate minimum degree ordering library for sparse matrices
libcollada-dom-dev (2.5.0+ds1-1+b2)
collada-dom 2.5.0 C++ headers and build tools
libcollada-dom2.4-dp-dev (2.5.0+ds1-1)
Transitional package
libcollada-dom2.5-dp0 (2.5.0+ds1-1+b2)
collada-dom 2.5.0 - COLLADA using double precision
libcollada-parser-dev (1.12.13-3)
Development files for ROS collada_parser library
libcollada-parser1d (1.12.13-3)
ROS collada_parser library
libcollada-urdf-dev (1.12.13-3)
Development files for ROS collada_urdf library
libcollada-urdf1d (1.12.13-3)
ROS collada_urdf library
libcollada2gltfconvert-dev (20140924-7)
COLLADA to glTF conversion library -- development
libcollectdclient-dev (5.12.0-7)
client library for collectd's control interface (development files)
libcollectdclient1 (5.12.0-7)
client library for collectd's control interface
libcollection-dev (0.6.1-2)
Development files for libcollection
libcollection4 (0.6.1-2)
Collection data-type for C
libcolor-ansi-util-perl (0.164-1)
routines for dealing with ANSI colors
libcolor-library-perl (0.021-3)
comprehensive named-colour library
libcolor-palette-perl (0.100003-1.1)
module to manipulate sets of named colours
libcolor-rgb-util-perl (0.604-1)
set of utilities related to RGB colors
libcolor-scheme-perl (1.07-1.1)
Perl module to generate pleasant color schemes
libcolorcorrect5 (4:5.20.5-6)
Plasma Workspace for KF5 library
libcolord-dev (1.4.5-3)
system service to manage device colour profiles -- development files
libcolord-gtk-dev (0.1.26-2)
GTK+ convenience library for interacting with colord - dev files
libcolord-gtk1 (0.1.26-2)
GTK+ convenience library for interacting with colord
libcolord2 (1.4.5-3)
system service to manage device colour profiles -- runtime
libcolorhug-dev (1.4.5-3)
library to access the ColorHug colourimeter -- development files
libcolorhug2 (1.4.5-3)
library to access the ColorHug colourimeter -- runtime
libcolorpicker-java (1.0.0-3)
Java control to allow color selection
libcolorpicker-java-doc (1.0.0-3)
Java control to allow color selection (documentation)
libcolpack-dev (1.0.10-5)
Specialized graph vertex coloring library, development files
libcolpack0v5 (1.0.10-5)
Specialized graph vertex coloring library, shared library
libcolt-free-java (1.2.0+dfsg-7)
scalable scientific and technical computing in Java
libcolt-free-java-doc (1.2.0+dfsg-7)
scalable scientific and technical computing in Java (doc)
libcolt-java
本虛擬套件由這些套件填實: libcolt-free-java
libcom-err2 (1.46.2-2)
通用錯誤敘述函式庫
libcom-hypirion-io-clojure (0.3.1-4)
I/O redirection, signal handling, and console utilities
libcombblas-dev (1.6.2-6)
an extensible parallel graph library for graph analytics
libcombblas-docs (1.6.2-6)
an extensible parallel graph library for graph analytics (docs)
libcombblas1.16.0 (1.6.2-6)
an extensible parallel graph library for graph analytics
libcomedi-dev (0.11.0+5-1+b3)
Development library for Comedi
libcomedi0 (0.11.0+5-1+b3)
Library for Comedi
libcomerr2 (= 1.46.2-2)
本虛擬套件由這些套件填實: libcom-err2
libcomidi-clojure (0.3.2-2)
HTTP route definitions for Clojure
libcomment-preprocessor-java (6.0.1-1.1)
Java Comment Preprocessor
libcommon-sense-perl (3.75-1+b4)
module that implements some sane defaults for Perl programs
libcommoncpp2-dev (1.8.1-10)
Header files and static libraries for Common C++ "2"
libcommoncpp2-doc (1.8.1-10)
Documentation files for Common C++ "2"
libcommonmark-perl (0.290000-2)
interface to the CommonMark C library
libcommons-beanutils-java (1.9.4-1)
Apache Commons BeanUtils - Utility for manipulating Java beans
libcommons-beanutils-java-doc (1.9.4-1)
Apache Commons BeanUtils - Documentation
libcommons-cli-java (1.4-2)
Command line arguments and options parsing library
libcommons-codec-java (1.15-1)
encoder and decoders such as Base64 and hexadecimal codec
libcommons-codec-java-doc (1.15-1)
encoder and decoders such as Base64 and hexadecimal codec - documentation
libcommons-collections3-java (3.2.2-2)
Apache Commons Collections - Extended Collections API for Java
libcommons-collections3-java-doc (3.2.2-2)
Documentation for libcommons-collections3-java
libcommons-collections4-java (4.2-1)
Apache Commons Collections - Extended Collections API for Java
libcommons-collections4-java-doc (4.2-1)
Documentation for Commons Collections 4
libcommons-compress-java (1.20-1)
Java API for working with compression and archive formats
libcommons-configuration-java (1.10-5)
Java based library providing a generic configuration interface
libcommons-configuration-java-doc (1.10-5)
API Documentation for commons-configuration
libcommons-configuration2-java (2.8.0-1~deb11u1)
Java based library providing a generic configuration interface
libcommons-configuration2-java-doc (2.8.0-1~deb11u1)
API Documentation for commons-configuration2
libcommons-csv-java (1.8-1)
Library for reading and writing CSV files
libcommons-daemon-java (1.0.15-8+deb11u1)
Library to launch Java applications as daemons
libcommons-dbcp-java (1.4-7)
Database Connection Pooling Services
libcommons-dbcp-java-doc (1.4-7)
Database Connection Pooling Services - documentation
libcommons-dbcp2-java (2.7.0-2)
Database Connection Pooling Services 2
libcommons-digester-java (1.8.1-5)
Rule based XML Java object mapping tool
libcommons-digester-java-doc (1.8.1-5)
Rule based XML Java object mapping tool (documentation)
libcommons-discovery-java (0.5-4)
Locates classes that implement a given Java interface
libcommons-el-java (1.0-10)
Implementation of the JSP 2.0 Expression Language interpreter
libcommons-email-java (1.5-3)
Apache Commons Java API for sending email
libcommons-exec-java (1.3-2)
Java library to reliably execute external processes from within the JVM
libcommons-fileupload-java (1.4-1)
File upload capability to your servlets and web applications
libcommons-fileupload-java-doc (1.4-1)
Javadoc API documentation for Commons FileUploads
libcommons-httpclient-java (3.1-16)
Commons HTTPClient - Java library for creating HTTP clients
libcommons-httpclient-java-doc (3.1-16)
Documentation for libcommons-httpclient-java
libcommons-io-java (2.8.0-1)
Common useful IO related classes
libcommons-io-java-doc (2.8.0-1)
Common useful IO related classes - documentation
libcommons-jci-eclipse-java (1.1-6)
common Java interface for various compilers - Eclipse JDT
libcommons-jci-groovy-java (1.1-6)
common Java interface for various compilers - Groovy
libcommons-jci-janino-java (1.1-6)
common Java interface for various compilers - Janino
libcommons-jci-java (1.1-6)
common Java interface for various compilers - Core and FAM
libcommons-jci-rhino-java (1.1-6)
common Java interface for various compilers - Javascript
libcommons-jexl-java (1.1-3.1)
expression language engine
libcommons-jexl2-java (2.1.1-5)
Apache Commons JEXL - Java Expression Language
libcommons-jexl3-java (3.1-2)
Apache Commons JEXL - Java Expression Language
libcommons-jxpath-java (1.3-10)
Apache Commons JXPath - XPath expressions applied to Java objects
libcommons-jxpath-java-doc (1.3-10)
Javadoc API for libcommons-jxpath-java
libcommons-lang-java (2.6-9)
Commons Lang - an extension of the java.lang package
libcommons-lang-java-doc (2.6-9)
Documentation for Commons Lang - an extension of the java.lang package
libcommons-lang3-java (3.11-1)
Apache Commons Lang utility classes
libcommons-lang3-java-doc (3.11-1)
Apache Commons Lang utility classes (documentation)
libcommons-logging-java (1.2-2)
common wrapper interface for several logging APIs
libcommons-logging-java-doc (1.2-2)
common wrapper interface for several logging APIs (documentation)
libcommons-math-java (2.2-7)
Java lightweight mathematics and statistics components
libcommons-math-java-doc (2.2-7)
Java lightweight mathematics and statistics components - documentation
libcommons-math3-java (3.6.1-3)
Java lightweight mathematics and statistics components
libcommons-math3-java-doc (3.6.1-3)
Java lightweight mathematics and statistics components - documentation
libcommons-net-java (3.6-1+deb11u1)
Apache Commons Net - Java client API for basic Internet protocols
libcommons-net-java-doc (3.6-1+deb11u1)
Apache Commons Net (API documentation)
libcommons-parent-java (43-1)
Maven metadata for Apache Commons project
libcommons-pool-java (1.6-4)
pooling implementation for Java objects
libcommons-pool-java-doc (1.6-4)
pooling implementation for Java objects - documentation
libcommons-pool2-java (2.8.0-1)
Apache Commons Pool 2 - Pooling implementation for Java objects
libcommons-text-java (1.9-1)
Apache Commons Text
libcommons-validator-java (1:1.6-2)
ease and speed development and maintenance of validation rules
libcommons-validator-java-doc (1:1.6-2)
API documentation for Commons Validator
libcommons-vfs-java (2.1-2)
Java API for accessing various filesystems
libcommons-vfs-java-doc (2.1-2)
Java API for accessing various filesystems - documentation
libcompfaceg1 (1:1.5.2-5+b2)
Compress/decompress images for mailheaders, libc6 runtime
libcompfaceg1-dev (1:1.5.2-5+b2)
Compress/decompress images for mailheaders, libc6 devel
libcompile-command-annotations-java (1.2.3-1)
annotation based configuration file generator for the Hotspot JVM JIT compiler
libcompiler-lexer-perl (0.23-2+b1)
Lexical Analyzer for Perl5
libcompizconfig0 (2:0.8.18-2)
Configuration settings library for compiz
libcompizconfig0-dev (2:0.8.18-2)
Configuration settings library for compiz - development files
libcomplete-clojure (0.2.5-1)
completion of Clojure symbols
libcompojure-clojure (1.6.0-1.1)
consice routing library for Ring
libcompress-bzip2-perl (2.28-1+b1)
Perl interface to Bzip2 compression library
libcompress-lz4-perl (0.25+ds-1+b3)
Perl interface to the LZ4 (de)compressor
libcompress-lzf-java (1.0.4-1)
Java library for encoding and decoding data in LZF format
libcompress-raw-bzip2-perl (2.101-1)
low-level interface to bzip2 compression library
libcompress-raw-bzip2-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libcompress-raw-lzma-perl (2.101-1)
low-level interface to lzma compression library
libcompress-raw-zlib-perl (2.101-1)
low-level interface to zlib compression library
libcompress-raw-zlib-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libcompress-snappy-perl (0.24+ds-2+b2)
Perl interface to Google's Snappy (de)compressor
libcompress-zlib-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libcomps
本虛擬套件由這些套件填實: libcomps0
libcomps-dev (0.1.15-4)
Comps XML file manipulation library - development files
libcomps-doc (0.1.15-4)
Documentation for the libcomps library (common documentation)
libcomps0 (0.1.15-4)
Comps XML file manipulation library - shared library
libconcord-dev (1.4-2+b1)
configuration tool for Harmony remotes - development files
libconcord5 (1.4-2+b1)
configuration tool for Harmony remotes - runtime libraries
libconcurrent-java (1.3.4-4.1)
utility classes for concurrent java programming
libconcurrent-java-doc (1.3.4-4.1)
documentation and javadoc API for libconcurrent-java
libconcurrentqueue-dev (1.0.2+ds-3)
industrial-strength lock-free queue for C++
libconfig++-dev (1.5-0.4)
parsing/manipulation of structured config files (C++ development)
libconfig++9v5 (1.5-0.4)
parsing/manipulation of structured configuration files (C++ binding)
libconfig-any-perl (0.32-1)
module to load configuration from different file formats
libconfig-apacheformat-perl (1.2-5.1)
Perl module to parse Apache format config files
libconfig-augeas-perl (1.000-2+b7)
module for manipulating configuration files through Augeas
libconfig-auto-perl (0.44-1.1)
magical config file parser
libconfig-autoconf-perl (0.319-1)
Perl module to implement some of AutoConf's macros in pure Perl
libconfig-crontab-perl (1.45-1)
module to read/write Vixie-compatible crontab(5) files
libconfig-dev (1.5-0.4)
parsing/manipulation of structured config files (development)
libconfig-doc (1.5-0.4)
parsing/manipulation of structured config files (Documentation)
libconfig-file-ocaml-dev (1.2-1+b5 [armel, armhf], 1.2-1+b4 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml library for managing configuration files (dev files)
libconfig-file-ocaml-dev-743p0
本虛擬套件由這些套件填實: libconfig-file-ocaml-dev
libconfig-file-ocaml-dev-h4u46
本虛擬套件由這些套件填實: libconfig-file-ocaml-dev
libconfig-file-ocaml-dev-mrwp1
本虛擬套件由這些套件填實: libconfig-file-ocaml-dev
libconfig-file-ocaml-dev-v2i22
本虛擬套件由這些套件填實: libconfig-file-ocaml-dev
libconfig-file-perl (1.54-1)
simple configuration file parsing module
libconfig-find-perl (0.31-1.1)
module to search configuration files using OS dependent heuristics
libconfig-general-perl (2.63-1)
generic configuration module
libconfig-gitlike-perl (1.18-1)
Perl module for Git-compatible config file parsing
libconfig-grammar-perl (1.13-3)
grammar-based user-friendly config parser
libconfig-identity-perl (0.0019-2)
module to load (and optionally decrypt) user/pass identity information
libconfig-ini-perl (1:0.025-1.1)
Perl module for reading and writing .ini files
libconfig-ini-reader-ordered-perl (0.020-1.1)
.ini-file parser that returns sections in order
libconfig-inifiles-perl (3.000003-1)
read .ini-style configuration files
libconfig-inihash-perl (3.01.01-4)
Perl extension for reading and writing INI files
libconfig-json-perl (1.5202-1.1)
parser for JSON-based configuration files
libconfig-merge-perl (1.04-1.1)
umbrella interface to configuration modules
libconfig-methodproxy-perl (0.02-3)
Perl module for specifying method calls in a static configuration
libconfig-methodproxy-perl
本虛擬套件由這些套件填實: libdata-methodproxy-perl
libconfig-model-approx-perl (1.012-1)
Approx proxy server configuration editor
libconfig-model-backend-augeas-perl (0.125-1)
config-model reader and writer powered by Augeas
libconfig-model-backend-yaml-perl (2.134-1)
Read and write config as a YAML data structure
libconfig-model-cursesui-perl (1.107-1)
curses interface to edit config data through Config::Model
libconfig-model-dpkg-perl (2.143)
editor for Dpkg source files with validation
libconfig-model-itself-perl (2.022-1)
graphical model or schema editor for Config::Model
libconfig-model-lcdproc-perl (2.053-1)
module to edit and validate LcdProc configuration file
libconfig-model-openssh-perl (2.8.4.2-1)
configuration editor for OpenSsh
libconfig-model-perl (2.141-1)
module for describing and editing configuration data
libconfig-model-systemd-perl (0.247.1-1)
editor and validator for systemd configuration files
libconfig-model-tester-perl (4.006-1)
Test framework for Config::Model
libconfig-model-tkui-perl (1.373-1)
Tk GUI to edit config data through Config::Model
libconfig-mvp-perl (2.200012-1)
multivalue-property package-oriented configuration module
libconfig-mvp-reader-ini-perl (2.101463-1.1)
Perl module providing a MVP config reader for .ini files
libconfig-mvp-slicer-perl (0.303-1)
module to extract embedded plugin config from parent config
libconfig-onion-perl (1.007-1.1)
layered configuration
libconfig-pit-perl (0.04-2.1)
Perl module for Manage settings
libconfig-properties-perl (1.80-1.1)
Perl module to read and write Java-style property files
libconfig-record-perl (1.1.2-2)
Perl module for configuration file access
libconfig-scoped-perl (0.22-2.1)
Feature rich configuration file parser
libconfig-simple-perl (4.59-6.1)
simple configuration file class
libconfig-std-perl (0.903-1)
Load and save configuration files in a standard format
libconfig-tiny-perl (2.26-1)
Read/Write .ini style files with as little code as possible
libconfig-yaml-perl (1.42-3.1)
module for manipulating YAML-based configuration files
libconfig-zomg-perl (1.000000-1.1)
yet another Catalyst::Plugin::ConfigLoader-style layer over Config::Any
libconfig9 (1.5-0.4)
parsing/manipulation of structured configuration files
libconfigreader-perl (0.5-5.1)
Perl module for reading configuration files
libconfigreader-simple-perl (1.294-1)
simple configuration file parser
libconfuse-common (3.3-2+deb11u1)
Common files for libConfuse
libconfuse-dev (3.3-2+deb11u1)
Development files for libConfuse
libconfuse-doc (3.3-2+deb11u1)
Documentation for libConfuse
libconfuse2 (3.3-2+deb11u1)
Library for parsing configuration files
libconsensuscore-dev (1.1.1+dfsg-2+b3)
algorithms for PacBio multiple sequence consensus -- development files
libconsole-bridge-dev (0.4.4+dfsg-1)
console bridge - development files
libconsole-bridge0.4 (0.4.4+dfsg-1)
console bridge - library
libconst-fast-perl (0.014-1.1)
facility for creating read-only scalars, arrays, and hashes
libconstant-defer-perl (6-1.1)
Perl module providing constant subs with deferred value calculation
libconstant-generate-perl (0.17-1.1)
Perl module providing useful utilities for handling symbolic constants
libcontext-preserve-perl (0.03-1)
Perl module to preserve calling context
libcontextual-return-perl (0.004014-2)
module for creating context-sensitive return values
libcontrolsfx-java (9.0.0+hg20181001-1)
high quality UI controls and other tools to complement JavaFX
libconversant-disruptor-java (1.2.15-1)
Very low latency Java BlockingQueue
libconvert-ascii-armour-perl (1.4-2.1)
module to convert binary into ASCII-armoured messages
libconvert-ascii85-perl (0.01-1.1)
module for encoding and decoding of ascii85/base85 strings
libconvert-asn1-perl (0.27-3)
Perl module for encoding and decoding ASN.1 data structures
libconvert-base32-perl (0.06-1.1)
module for encoding and decoding of base32 strings
libconvert-basen-perl (0.01-2)
perl module for encoding and decoding of base{2,4,8,16,32,64} strings
libconvert-ber-perl (1.3200-3.1)
Perl implementation of Basic Encoding Rules (BER)
libconvert-binary-c-perl (0.84-1)
Binary Data Conversion using C Types
libconvert-binhex-perl (1.125-1)
Perl5 module for extracting data from macintosh BinHex files
libconvert-color-perl (0.11-2.1)
Perl module for color space conversions and named lookups
libconvert-color-xterm-perl (0.05-1.1)
indexed colors used by XTerm
libconvert-nls-date-format-perl (0.06-1)
module for converting Oracle NLS_DATE_FORMAT <-> strftime Format Strings
libconvert-pem-perl (0.08-2.1)
Perl module for reading/writing encrypted ASN.1 PEM files
libconvert-scalar-perl (1.12-1+b3)
module to convert between different representations of perl scalars
libconvert-tnef-perl (0.18-1.1)
Perl module to read TNEF files
libconvert-units-perl (1:0.43-11)
Perl module for performing unit conversions
libconvert-uulib-perl (1:1.5~dfsg-1+b3)
Perl interface to the uulib library (a.k.a. uudeview/uuenview)
libconvert-ytext-perl (0.2-2.1)
Perl module to quote strings suitably for RFC2822 local parts
libcookie-baker-perl (0.11-1)
simple cookie string generator and parser
libcookie-baker-xs-perl (0.11-1+b2)
module to boost Cookie::Baker's crush_cookie
libcoordgen-dev (1.4.2-1)
2D coordinate generation for chemical compounds - header files
libcoordgen1 (1.4.2-1)
2D coordinate generation for chemical compounds
libcoq-ocaml (8.12.0-3+b3)
runtime libraries for Coq
libcoq-ocaml-1fwg6
本虛擬套件由這些套件填實: libcoq-ocaml
libcoq-ocaml-4pem8
本虛擬套件由這些套件填實: libcoq-ocaml
libcoq-ocaml-4s3g2
本虛擬套件由這些套件填實: libcoq-ocaml
libcoq-ocaml-dev (8.12.0-3+b3)
development libraries and tools for Coq
libcoq-ocaml-dev-1fwg6
本虛擬套件由這些套件填實: libcoq-ocaml-dev
libcoq-ocaml-dev-4pem8
本虛擬套件由這些套件填實: libcoq-ocaml-dev
libcoq-ocaml-dev-4s3g2
本虛擬套件由這些套件填實: libcoq-ocaml-dev
libcoq-ocaml-dev-otko2
本虛擬套件由這些套件填實: libcoq-ocaml-dev
libcoq-ocaml-dev-xs455
本虛擬套件由這些套件填實: libcoq-ocaml-dev
libcoq-ocaml-dev-zuzr9
本虛擬套件由這些套件填實: libcoq-ocaml-dev
libcoq-ocaml-otko2
本虛擬套件由這些套件填實: libcoq-ocaml
libcoq-ocaml-xs455
本虛擬套件由這些套件填實: libcoq-ocaml
libcoq-ocaml-zuzr9
本虛擬套件由這些套件填實: libcoq-ocaml
libcore-async-clojure (1.3.610-5+deb11u1)
asynchronous programming using channels for Clojure
libcore-cache-clojure (1.0.207-1)
cache abstraction library for Clojure
libcore-match-clojure (1.0.0-1)
optimized pattern match and predicate dispatch library for Clojure
libcore-memoize-clojure (0.7.1-1)
Clojure memoization library
libcore-renderer-java (0.0~R8+dfsg2-1.1)
Java library that provides an XML/XHTML/CSS 2.1 Renderer
libcore-renderer-java-doc (0.0~R8+dfsg2-1.1)
Documentation for libcore-renderer-java
libcore-specs-alpha-clojure (0.2.56-1)
specs to describe Clojure core macros and functions
libcork-dev (0.15.0+ds-16)
simple, easily embeddable, cross-platform C library (development files)
libcork-doc (0.15.0+ds-16)
simple, easily embeddable, cross-platform C library (documentation files)
libcork16 (0.15.0+ds-16)
simple, easily embeddable, cross-platform C library
libcorkipset-dev (1.1.1+20150311-11)
C library to store sets/maps of IP address (development files)
libcorkipset-doc (1.1.1+20150311-11)
C library to store sets/maps of IP address (documentation files)
libcorkipset-utils (1.1.1+20150311-11)
C library to store sets/maps of IP address (utility files)
libcorkipset1 (1.1.1+20150311-11)
C library to store sets/maps of IP address
libcoro-perl (6.570-1+b1)
Perl framework implementing coroutines
libcoro-twiggy-perl (0.03-1.1)
Coro interface for Twiggy
libcorona-perl (0.1004-4)
Coro based PSGI web server
libcorosync-common-dev (3.1.2-2)
cluster engine common development
libcorosync-common4 (3.1.2-2)
cluster engine common library
libcortado-java (0.6.0-4)
streaming applet for Ogg formats -- shared components
libcos4-2 (4.2.2-1+b1)
omniORB CORBA services stubs
libcos4-2-dbg (4.2.2-1+b1)
omniORB CORBA services stubs debugging symbols
libcos4-dev (4.2.2-1+b1)
omniORB CORBA services stubs development files
libcothreads-ocaml-dev (0.10-5+b2)
concurrent programming library for OCaml
libcothreads-ocaml-dev-4e9f1
本虛擬套件由這些套件填實: libcothreads-ocaml-dev
libcothreads-ocaml-dev-5ll73
本虛擬套件由這些套件填實: libcothreads-ocaml-dev
libcothreads-ocaml-dev-cuhl8
本虛擬套件由這些套件填實: libcothreads-ocaml-dev
libcothreads-ocaml-dev-wibd9
本虛擬套件由這些套件填實: libcothreads-ocaml-dev
libcotp-dev (1.2.3-1)
C library that generates TOTP and HOTP (development)
libcotp12 (1.2.3-1)
C library that generates TOTP and HOTP
libcourier-unicode-dev (2.1.2-2)
Courier Unicode library (development files and headers)
libcourier-unicode4 (2.1.2-2)
Courier Unicode library (shared runtime library)
libcourriel-perl (0.48-1)
high level email parsing and manipulation module
libcoverart-dev (1.0.0+git20150706-9)
library to access the Cover Art Archive (development files)
libcoverart-doc (1.0.0+git20150706-9)
library to access the Cover Art Archive (developer documentation)
libcoverart1 (1.0.0+git20150706-9)
library to access the Cover Art Archive (C wrapper functions)
libcoverartcc1v5 (1.0.0+git20150706-9)
library to access the Cover Art Archive
libcoy-perl (0.06-9)
replace perl error messages with haiku
libcpan-audit-perl (0.15-2)
module to audit CPAN distributions for known vulnerabilities
libcpan-changes-perl (0.400002-1.1)
module for reading and writing CPAN Changes files
libcpan-checksums-perl (2.12-1)
Perl module to write a CHECKSUMS file for a directory as on CPAN
libcpan-common-index-perl (0.010-1.1)
common library for searching CPAN modules, authors, and distributions
libcpan-distnameinfo-perl (0.12-2.1)
module to extract distribution name and version from a filename
libcpan-inject-perl (1.14-1.1)
module for injecting distributions into CPAN sources
libcpan-meta-check-perl (0.014-1)
verify requirements in a CPAN::Meta object
libcpan-meta-perl (= 2.150010)
本虛擬套件由這些套件填實: perl
libcpan-meta-requirements-perl (2.140-1)
set of version requirements for a CPAN dist
libcpan-meta-requirements-perl (= 2.140)
本虛擬套件由這些套件填實: perl
libcpan-meta-yaml-perl (0.018-1)
reimplementation of a subset of YAML for CPAN Meta files
libcpan-meta-yaml-perl (= 0.018)
本虛擬套件由這些套件填實: perl
libcpan-mini-inject-perl (0.35-1)
module to inject modules into a CPAN::Mini mirror
libcpan-mini-perl (1.111016-1.1)
module for creating a minimal mirror of CPAN
libcpan-perl-releases-perl (5.20210320-1)
module for mapping Perl releases on CPAN to the location of the tarballs
libcpan-reporter-perl (1.2018-1)
module which adds CPAN Testers reporting to CPAN.pm
libcpan-reporter-smoker-perl (0.29-1)
turnkey CPAN Testers smoking module
libcpan-sqlite-perl (0.219-1)
module to maintain a minimal CPAN database with SQLite
libcpan-uploader-perl (0.103015-1)
module to upload packages to the CPAN
libcpandb-perl (0.18-2)
Perl module for working with the unified CPAN database
libcpanel-json-xs-perl (4.25-1+b1)
module for fast and correct serialising to JSON
libcpanplus-dist-build-perl (0.90-1)
CPANPLUS plugin to install packages that use Build.PL
libcpanplus-perl (0.9910-1)
API & CLI access to the CPAN mirrors
libcpath-clojure (0.1.2-2)
transparently collect files on the classpath
libcpg-dev (3.1.2-2)
cluster engine CPG library development
libcpg4 (3.1.2-2)
cluster engine CPG library
libcpgplot0 (1.2.0-1)
CPGPLOT replacement shared lib based on giza
libcpl-dev (7.1.3-1)
ESO library for automated astronomical data-reduction
libcpl-doc (7.1.3-1)
API documentation for the Common Pipeline Library
libcplcore26 (7.1.3-1)
Fundamental CPL data types and functions
libcpldfs26 (7.1.3-1)
CPL functions for Data Flow System compatibility
libcpldrs26 (7.1.3-1)
CPL higher level data processing algorithms
libcpluff-dev
本虛擬套件由這些套件填實: libcpluff0-dev
libcpluff0 (0.2.0+ds1-1)
C-Pluff, a plug-in framework for C - runtime library
libcpluff0-dev (0.2.0+ds1-1)
C-Pluff, a plug-in framework for C - development kit
libcplui26 (7.1.3-1)
CPL framework interface library
libcpp-common0d (0.7.2-6)
Robot OS utility library
libcpp-hocon-dev (0.3.0-1+b2)
C++ port of the TypesafeConfig library -- development files
libcpp-hocon0.3.0 (0.3.0-1+b2)
C++ port of the TypesafeConfig library -- shared libraries
libcppad-dev (2021.00.00.5-1)
Automatic Differentiation of C++ algorithms, dev files
libcppad-doc (2021.00.00.5-1)
Automatic Differentiation of C++ algorithms, documentation
libcppad-lib340.3 (2021.00.00.5-1)
Automatic Differentiation of C++ algorithms, shared library
libcppdb-dev (0.3.1+dfsg-8+b1)
SQL Connectivity Library (development files)
libcppdb-mysql0 (0.3.1+dfsg-8+b1)
SQL Connectivity Library (MySQL backend)
libcppdb-odbc0 (0.3.1+dfsg-8+b1)
SQL Connectivity Library (odbc backend)
libcppdb-postgresql0 (0.3.1+dfsg-8+b1)
SQL Connectivity Library (PostgreSQL backend)
libcppdb-sqlite3-0 (0.3.1+dfsg-8+b1)
SQL Connectivity Library (sqlite3 backend)
libcppdb0 (0.3.1+dfsg-8+b1)
SQL Connectivity Library (core library)
libcpprest
本虛擬套件由這些套件填實: libcpprest2.10
libcpprest-dev (2.10.18-1)
Development files for C++ REST SDK / Casablanca
libcpprest-doc (2.10.18-1)
Reference manual for C++ REST SDK / Casablanca
libcpprest2.10 (2.10.18-1)
Shared library for C++ REST SDK / Casablanca
libcpptest-dev (2.0.0-3)
unit testing framework for C++ (development)
libcpptest-doc (2.0.0-3)
unit testing framework for C++ (documentation)
libcpptest1 (2.0.0-3)
unit testing framework for C++
libcppunit-1.15-0 (1.15.1-2)
Unit Testing Library for C++
libcppunit-dev (1.15.1-2)
Unit Testing Library for C++
libcppunit-doc (1.15.1-2)
Unit Testing Library for C++
libcppunit-subunit-dev (1.4.0-3)
SubunitTestProgressListener for CPPUnit - Development headers
libcppunit-subunit0 (1.4.0-3)
SubunitTestProgressListener for CPPUnit - C++ shared library
libcpputest-dev (4.0-2)
C/C++ based unit test framework — headers and static libraries
libcps-perl (0.19-1)
module to manage flow of control in Continuation Passing Style
libcpu-features-dev (0.6.0-3)
cross platform C99 library to get cpu features
libcpufreq-dev (008-2)
development files to deal with the cpufreq Linux kernel feature
libcpufreq-dev
本虛擬套件由這些套件填實: libcpupower-dev
libcpufreq0 (008-2)
shared library to deal with the cpufreq Linux kernel feature
libcpuid-dev (0.5.0+repack1-1+b1)
C library for x86/x86_64 CPU detection and feature extraction (headers)
libcpuid15 (0.5.0+repack1-1+b1)
C library for x86/x86_64 CPU detection and feature extraction (shared lib)
libcpuinfo-dev (0.0~git20200612.63b2545-2)
CPU INFOrmation library (header file)
libcpuinfo0 (0.0~git20200612.63b2545-2)
CPU INFOrmation library (shared object)
libcpupower-dev (5.10.209-2)
CPU frequency and voltage scaling tools for Linux (development files)
libcpupower1 (5.10.209-2)
CPU frequency and voltage scaling tools for Linux (libraries)
libcpuset-dev (1.0-5+b1)
header files and docs for libcpuset
libcpuset1 (1.0-5+b1)
assigns a set of CPUs and Memory Nodes to a set of tasks
libcql-parser-perl (1.13-1)
Common Query Language parser
libcqrlib-dev (1.1.4-1+b1)
Library and headers for quaternion arithmetic and rotation math
libcqrlib2 (1.1.4-1+b1)
Library for quaternion arithmetic and rotation math
libcrack2 (2.9.6-3.4)
pro-active password checker library
libcrack2-dev (2.9.6-3.4)
pro-active password checker library - development files
libcrack2-udeb (2.9.6-3.4)
pro-active password checker library
libcrcutil-dev (1.0-5.2)
library for cyclic redundancy check (CRC) computation - development files
libcrcutil-doc (1.0-5.2)
library for cyclic redundancy check (CRC) computation - documentation
libcrcutil0 (1.0-5.2)
library for cyclic redundancy check (CRC) computation
libcreal-ocaml-dev (0.7-6+b10 [armel], 0.7-6+b9 [amd64, i386, mipsel], 0.7-6+b7 [armhf], 0.7-6+b6 [s390x], 0.7-6+b5 [arm64, mips64el, ppc64el])
O'Caml library that implements exact real arithmetic
libcreal-ocaml-dev-0uy17
本虛擬套件由這些套件填實: libcreal-ocaml-dev
libcreal-ocaml-dev-h9gi2
本虛擬套件由這些套件填實: libcreal-ocaml-dev
libcreal-ocaml-dev-liox1
本虛擬套件由這些套件填實: libcreal-ocaml-dev
libcreal-ocaml-dev-mk1b7
本虛擬套件由這些套件填實: libcreal-ocaml-dev
libcreaterepo-c-dev (0.17.0-1)
library for creating RPM repository metadata (development)
libcreaterepo-c0 (0.17.0-1)
library for creating RPM repository metadata
libcreg-dev (20200725-1+b2)
library to access Windows 9x/Me Registry files -- development files
libcreg-utils (20200725-1+b2)
library to access Windows 9x/Me Registry files -- Utilities
libcreg1 (20200725-1+b2)
llibrary to access Windows 9x/Me Registry files
libcriterion-dev (2.3.3git1-1+b3)
cross-platform C and C++ unit testing framework (development files)
libcriterion3 (2.3.3git1-1+b3)
cross-platform C and C++ unit testing framework
libcriticism-perl (1.02-2.1)
Perl pragma to enforce coding standards and best-practices
libcrmcluster29 (2.0.5-2)
cluster resource manager cluster library
libcrmcommon34 (2.0.5-2)
cluster resource manager common library
libcrmservice28 (2.0.5-2)
cluster resource manager service library
libcrossguid-dev (0.0+git200150803-4)
C++ UUID library headers
libcrossguid0 (0.0+git200150803-4)
C++ UUID library
libcry-ocaml-dev (0.6.5-1+b1)
MP3/Ogg Vorbis broadcast OCaml module
libcry-ocaml-dev-0gpx9
本虛擬套件由這些套件填實: libcry-ocaml-dev
libcry-ocaml-dev-izxw6
本虛擬套件由這些套件填實: libcry-ocaml-dev
libcry-ocaml-dev-j8613
本虛擬套件由這些套件填實: libcry-ocaml-dev
libcry-ocaml-dev-yru74
本虛擬套件由這些套件填實: libcry-ocaml-dev
libcrypt-blowfish-perl (2.14-1+b11 [s390x], 2.14-1+b9 [amd64, armel, armhf, i386, mipsel], 2.14-1+b7 [arm64, mips64el, ppc64el])
Blowfish cryptography for Perl
libcrypt-cast5-perl (0.05-2+b3)
CAST5 block cipher
libcrypt-cbc-perl (2.33-2)
implementation of cipher block chaining (CBC) mode
libcrypt-ciphersaber-perl (1.01-2.1)
Perl module implementing CipherSaber encryption
libcrypt-cracklib-perl (1.7-2+b10 [s390x], 1.7-2+b8 [amd64, armel, armhf, i386, mipsel], 1.7-2+b7 [arm64, mips64el, ppc64el])
Perl interface to Alec Muffett's Cracklib
libcrypt-des-ede3-perl (0.01-2)
Triple-DES EDE encryption/decryption for perl
libcrypt-des-perl (2.07-3+b2)
Perl DES encryption module
libcrypt-dev (1:4.4.18-4)
libcrypt development files
libcrypt-dh-gmp-perl (0.00012-1+b10 [s390x], 0.00012-1+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.00012-1+b7 [mips64el, ppc64el])
Crypt::DH replacement that uses GMP directly
libcrypt-dh-perl (0.07-2)
Diffie-Hellman key exchange system implemented in Perl
libcrypt-dsa-perl (1.17-4)
Perl module for DSA Signatures and Key Generation
libcrypt-ecb-perl (2.22-1)
Perl library to encrypt data using ECB mode
libcrypt-eksblowfish-perl (0.009-2+b7)
Perl module implementing the Eksblowfish block cipher
libcrypt-format-perl (0.10-1)
Perl interface to conversion utilities for encryption applications
libcrypt-gcrypt-perl (1.26-5+b5)
Perl interface to the GNU Cryptographic library
libcrypt-generatepassword-perl (0.05-1)
perl module to generate secure passwords
libcrypt-hcesha-perl (0.75-1)
Perl extension for one way hash chaining encryption using SHA
libcrypt-jwt-perl (0.032-1)
JSON Web Token support for Perl
libcrypt-mysql-perl (0.04-6+b6)
Perl module to emulate the MySQL PASSWORD() function
libcrypt-openssl-bignum-perl (0.09-1+b3)
Perl module to access OpenSSL multiprecision integer arithmetic libraries
libcrypt-openssl-dsa-perl (0.19-2)
module which implements the DSA signature verification system
libcrypt-openssl-ec-perl (1.32-1+b1)
Perl extension for OpenSSL EC (Elliptic Curves) library
libcrypt-openssl-pkcs10-perl (0.16-3+b3)
Perl extension to OpenSSL's PKCS10 API
libcrypt-openssl-pkcs12-perl (1.3-1+b1)
Perl extension to OpenSSL's PKCS12 API
libcrypt-openssl-random-perl (0.15-2+b1)
module to access the OpenSSL pseudo-random number generator
libcrypt-openssl-rsa-perl (0.31-1+b3)
module for RSA encryption using OpenSSL
libcrypt-openssl-x509-perl (1.9.02-1)
Perl extension to OpenSSL's X509 API
libcrypt-passwdmd5-perl (1.41-1)
interoperable MD5-based crypt() for Perl
libcrypt-pbkdf2-perl (0.161520-1)
Perl implementation of PBKDF2 password hash
libcrypt-random-seed-perl (0.03-1.1)
Perl module providing strong randomness for seeding
libcrypt-random-source-perl (0.14-1)
get weak or strong random data from pluggable sources
libcrypt-rc4-perl (2.02-3)
Perl implementation of the RC4 encryption algorithm
libcrypt-rijndael-perl (1.15-1+b1)
Perl module implementing the Rijndael algorithm
libcrypt-rsa-parse-perl (0.044-1)
Perl module to parse RSA keys
libcrypt-saltedhash-perl (0.09-1.1)
module for handling salted hashes
libcrypt-simple-perl (0.06-7.1)
Perl library to encrypt stuff simply
libcrypt-smbhash-perl (0.12-4.1)
generate LM/NT hash of a password for samba
libcrypt-smime-perl (0.27-1+b1)
S/MIME message signing, verification, encryption and decryption module
libcrypt-ssleay-perl (0.73.06-1+b3)
OpenSSL support for LWP
libcrypt-twofish-perl (2.18-1)
Perl module for Twofish Encryption Algorithm
libcrypt-u2f-server-perl (0.45-1+b2)
Perl module to register and authenticate U2F compatible devices
libcrypt-unixcrypt-perl (1.0-7.1)
Perl-only implementation of the crypt(3) function
libcrypt-unixcrypt-xs-perl (0.11-1+b5)
Perl XS interface that implements crypt() function
libcrypt-urandom-perl (0.36-1.1)
module that provides non blocking randomness
libcrypt-util-perl (0.11-3.1)
lightweight Crypt/Digest convenience API
libcrypt-x509-perl (0.53-1)
Parse X.509 certificates with Perl
libcrypt1 (1:4.4.18-4)
libcrypt shared library
libcrypt1-dev
本虛擬套件由這些套件填實: libcrypt-dev
libcrypt1-udeb (1:4.4.18-4)
libcrypt shared library
libcryptgps-ocaml-dev (0.2.1-11+b2)
OCaml implementation of symmetric cryptographic algorithms: Blowfish, DES, 3DES
libcryptgps-ocaml-dev-4xux3
本虛擬套件由這些套件填實: libcryptgps-ocaml-dev
libcryptgps-ocaml-dev-jgvc0
本虛擬套件由這些套件填實: libcryptgps-ocaml-dev
libcryptgps-ocaml-dev-otnv7
本虛擬套件由這些套件填實: libcryptgps-ocaml-dev
libcryptgps-ocaml-dev-vrdv1
本虛擬套件由這些套件填實: libcryptgps-ocaml-dev
libcrypto++-dev (8.4.0-1)
General purpose cryptographic library - C++ development
libcrypto++-doc (8.4.0-1)
General purpose cryptographic library - documentation
libcrypto++-utils (8.4.0-1)
General purpose cryptographic library - utilities and data files
libcrypto++8 (8.4.0-1)
General purpose cryptographic library - shared library
libcrypto-equality-clojure (1.0.0-2)
Clojure library protecting against timing attacks
libcrypto-random-clojure (1.2.1-1)
secure random generator for Clojure
libcrypto1.1-udeb (1.1.1w-0+deb11u1)
Secure Sockets Layer toolkit - libcrypto udeb
libcryptokit-ocaml (1.16.1-1+b1)
cryptographic algorithm library for OCaml - runtime
libcryptokit-ocaml-2ta26
本虛擬套件由這些套件填實: libcryptokit-ocaml
libcryptokit-ocaml-5a1e1
本虛擬套件由這些套件填實: libcryptokit-ocaml
libcryptokit-ocaml-67yg6
本虛擬套件由這些套件填實: libcryptokit-ocaml
libcryptokit-ocaml-dev (1.16.1-1+b1)
cryptographic algorithm library for OCaml - development
libcryptokit-ocaml-dev-2ta26
本虛擬套件由這些套件填實: libcryptokit-ocaml-dev
libcryptokit-ocaml-dev-5a1e1
本虛擬套件由這些套件填實: libcryptokit-ocaml-dev
libcryptokit-ocaml-dev-67yg6
本虛擬套件由這些套件填實: libcryptokit-ocaml-dev
libcryptokit-ocaml-dev-ee0g4
本虛擬套件由這些套件填實: libcryptokit-ocaml-dev
libcryptokit-ocaml-dev-nrl84
本虛擬套件由這些套件填實: libcryptokit-ocaml-dev
libcryptokit-ocaml-dev-yig77
本虛擬套件由這些套件填實: libcryptokit-ocaml-dev
libcryptokit-ocaml-ee0g4
本虛擬套件由這些套件填實: libcryptokit-ocaml
libcryptokit-ocaml-nrl84
本虛擬套件由這些套件填實: libcryptokit-ocaml
libcryptokit-ocaml-yig77
本虛擬套件由這些套件填實: libcryptokit-ocaml
libcryptominisat5-5.8 (5.8.0+dfsg1-1+b3)
SAT solver library
libcryptominisat5-dev (5.8.0+dfsg1-1+b3)
development files for the CryptoMiniSat SAT solver
libcryptsetup-dev (2:2.3.7-1+deb11u1)
disk encryption support - development files
libcryptsetup12 (2:2.3.7-1+deb11u1)
disk encryption support - shared library
libcryptsetup12-udeb (2:2.3.7-1+deb11u1)
disk encryption support - shared library (udeb)
libcryptui-dev (3.12.2-6)
UI library for OpenPGP prompts (development)
libcryptui-doc (3.12.2-6)
UI library for OpenPGP prompts (documentation)
libcryptui0a (3.12.2-6)
UI library for OpenPGP prompts
libcryptx-perl (0.069-1+b1)
Perl module that provides a self-contained cryptographic toolkit
libcscreensaver0 (4.8.1-3)
library and introspection data used by cinnamon-screensaver
libcsexp-ocaml (1.3.2-1)
parsing and printing of S-expressions in Canonical form (runtime)
libcsexp-ocaml-6qog4
本虛擬套件由這些套件填實: libcsexp-ocaml
libcsexp-ocaml-8wav4
本虛擬套件由這些套件填實: libcsexp-ocaml
libcsexp-ocaml-dev (1.3.2-1)
parsing and printing of S-expressions in Canonical form (dev)
libcsexp-ocaml-dev-6qog4
本虛擬套件由這些套件填實: libcsexp-ocaml-dev
libcsexp-ocaml-dev-8wav4
本虛擬套件由這些套件填實: libcsexp-ocaml-dev
libcsexp-ocaml-dev-r2ys7
本虛擬套件由這些套件填實: libcsexp-ocaml-dev
libcsexp-ocaml-dev-xfbk3
本虛擬套件由這些套件填實: libcsexp-ocaml-dev
libcsexp-ocaml-r2ys7
本虛擬套件由這些套件填實: libcsexp-ocaml
libcsexp-ocaml-xfbk3
本虛擬套件由這些套件填實: libcsexp-ocaml
libcsfml-audio2.5 (2.5-1.1)
Libraries for the C Binding of SFML - Audio Part
libcsfml-dev (2.5-1.1)
Libraries for the C Binding of SFML - Development Files
libcsfml-doc (2.5-1.1)
Libraries for the C Binding of SFML - Documentation
libcsfml-graphics2.5 (2.5-1.1)
Libraries for the C Binding of SFML - Graphics Part
libcsfml-network2.5 (2.5-1.1)
Libraries for the C Binding of SFML - Network Part
libcsfml-system2.5 (2.5-1.1)
Libraries for the C Binding of SFML - System Part
libcsfml-window2.5 (2.5-1.1)
Libraries for the C Binding of SFML - Window Part
libcsirocsa0 (5.15.0+dfsg-19)
Scientific plotting library (CSIRO csa library)
libcsironn0 (5.15.0+dfsg-19)
Scientific plotting library (CSIRO nn library)
libcsmith-dev (2.3.0-6)
generator of random C programs (development files)
libcsmith0 (2.3.0-6)
generator of random C programs (runtime library)
libcsnd-dev (1:6.14.0~dfsg-6+b1)
development files for Csound -- C++ API
libcsnd6-6.0v5 (1:6.14.0~dfsg-6+b1)
C++ bindings for the Csound API
libcsnd6-java (1:6.14.0~dfsg-6+b1)
Java bindings for the Csound API
libcsound64-6.0 (1:6.14.0~dfsg-6+b1)
main library for Csound
libcsound64-dev (1:6.14.0~dfsg-6+b1)
development files for Csound
libcsound64-doc (1:6.14.0~dfsg-6)
Csound API documentation
libcss-compressor-perl (0.05-1)
Perl extension for CSS minification
libcss-dom-perl (0.17-1)
interface to the Document Object Model for Cascading Style Sheets
libcss-lessp-perl (0.86-1.1)
LESS for Perl
libcss-minifier-perl (0.01-2.1)
Perl extension for minifying CSS
libcss-minifier-xs-perl (0.11-1)
XS based CSS minifier
libcss-packer-perl (2.08-1)
fast pure perl CSS cleaner
libcss-parser-pp0v5 (0.87-1)
simple CSS1 parser library for C++
libcss-parser0 (0.87-1)
simple CSS1 parser library for C
libcss-perl (1.09-1.1)
object oriented access to Cascading Style Sheets (CSS)
libcss-squish-perl (0.10-1)
module to compact many CSS files into one big file
libcss-tiny-perl (1.20-1)
Perl module to read/write .css files with as little code as possible
libcssparser-java (0.9.5-2)
Java CSS2 Parser
libcssparser-java-doc (0.9.5-2)
Java CSS2 Parser (documentation)
libcstruct-ocaml (6.0.0-1+b1)
access C-like structures directly from OCaml (runtime)
libcstruct-ocaml-4owf7
本虛擬套件由這些套件填實: libcstruct-ocaml
libcstruct-ocaml-898a0
本虛擬套件由這些套件填實: libcstruct-ocaml
libcstruct-ocaml-dev (6.0.0-1+b1)
access C-like structures directly from OCaml (dev)
libcstruct-ocaml-dev-4owf7
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-dev-898a0
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-dev-n4w43
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-dev-omhh3
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-dev-ptsu5
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-dev-tjyz5
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-dev-wilm2
本虛擬套件由這些套件填實: libcstruct-ocaml-dev
libcstruct-ocaml-n4w43
本虛擬套件由這些套件填實: libcstruct-ocaml
libcstruct-ocaml-omhh3
本虛擬套件由這些套件填實: libcstruct-ocaml
libcstruct-ocaml-ptsu5
本虛擬套件由這些套件填實: libcstruct-ocaml
libcstruct-ocaml-tjyz5
本虛擬套件由這些套件填實: libcstruct-ocaml
libcstruct-ocaml-wilm2
本虛擬套件由這些套件填實: libcstruct-ocaml
libcsv-dev (3.0.3+dfsg-5)
CSV parser and writer library - development files
libcsv-java (2.1-2)
CSV IO library for Java
libcsv-java-doc (2.1-2)
CSV IO library for Java (documentation)
libcsv-ocaml-dev (2.4-1+b1)
library to read and write CSV files in OCaml
libcsv-ocaml-dev-1ukw8
本虛擬套件由這些套件填實: libcsv-ocaml-dev
libcsv-ocaml-dev-a8mg6
本虛擬套件由這些套件填實: libcsv-ocaml-dev
libcsv-ocaml-dev-mndq4
本虛擬套件由這些套件填實: libcsv-ocaml-dev
libcsv-ocaml-dev-sffo4
本虛擬套件由這些套件填實: libcsv-ocaml-dev
libcsv3 (3.0.3+dfsg-5)
CSV parser and writer library
libcsvjdbc-java (1.0.36+ds-1)
read-only JDBC driver that uses CSV or DBF files as database tables
libcsvjdbc-java-doc (1.0.36+ds-1)
Documentation for csvjdbc
libcsxcad0 (0.0.35+git20190103.6a75e98+dfsg.1-3)
Continuous Structure XML library for openEMS
libct4 (1.2.3-1)
libraries for connecting to MS SQL and Sybase SQL servers
libctapimkt-dev
本虛擬套件由這些套件填實: libctapimkt1-dev
libctapimkt1 (1.0.5~rc1-8)
Read German Krankenversichertenkarte and eGK
libctapimkt1-dev (1.0.5~rc1-8)
Read German Krankenversichertenkarte and eGK (development)
libctemplate-dev (2.4-1)
Simple but powerful template language for C++ - development files
libctemplate3 (2.4-1)
Simple but powerful template language for C++
libctf-nobfd0 (2.35.2-2)
Compact C Type Format library (runtime, no BFD dependency)
libctf-nobfd0-dbg (2.35.2-2)
Compact C Type Format library (debug symbols, no BFD dependency)
libctf0 (2.35.2-2)
Compact C Type Format library (runtime, BFD dependency)
libctf0-dbg (2.35.2-2)
Compact C Type Format library (debug symbols, BFD dependency)
libctl-dev (4.5.0-9)
library for flexible control files, development version
libctl-doc (4.5.0-9)
library for flexible control files, documentation
libctl7 (4.5.0-9)
library for flexible control files
libctpl-dev (0.3.4+dfsg-1.1)
template engine written in C, development files
libctpl-doc (0.3.4+dfsg-1.1)
template engine written in C, documentation files
libctpl2 (0.3.4+dfsg-1.1)
template engine written in C
libctypes-ocaml (0.17.1-2+b1)
library for binding to C libraries using pure OCaml (runtime)
libctypes-ocaml-7izk3
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-bkqk1
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-bokg5
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-dev (0.17.1-2+b1)
library for binding to C libraries using pure OCaml (dev)
libctypes-ocaml-dev-7izk3
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-bkqk1
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-bokg5
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-ijm48
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-ins25
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-khi28
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-nd784
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-dev-yb2t0
本虛擬套件由這些套件填實: libctypes-ocaml-dev
libctypes-ocaml-ijm48
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-ins25
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-khi28
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-nd784
本虛擬套件由這些套件填實: libctypes-ocaml
libctypes-ocaml-yb2t0
本虛擬套件由這些套件填實: libctypes-ocaml
libcub-dev (1.11.0-1)
reusable software components for the CUDA programming model
libcubature-dev (1.0.3+ds-4)
Multi-dimensional adaptive integration (cubature) in C
libcubature0 (1.0.3+ds-4)
Multi-dimensional adaptive integration (cubature) in C (shared lib)
libcublas11 (11.4.1.1043~11.2.2-3+deb11u3) [non-free]
NVIDIA cuBLAS Library
libcublaslt11 (11.4.1.1043~11.2.2-3+deb11u3) [non-free]
NVIDIA cuBLASLt Library
libcuda-10.0-1
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1
libcuda-10.0-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1
libcuda-10.1-1
本虛擬套件由這些套件填實: libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-10.1-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1
libcuda-10.2-1
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-tesla-450-cuda1, libcuda1
libcuda-10.2-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-450-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-11.0-1
本虛擬套件由這些套件填實: libnvidia-tesla-450-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-11.0-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-450-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-11.1-1
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-tesla-450-cuda1, libcuda1
libcuda-11.1-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1
libcuda-11.2-1
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-470-cuda1
libcuda-11.2-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1
libcuda-11.3-1
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-470-cuda1
libcuda-11.3-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1
libcuda-11.4-1
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-470-cuda1
libcuda-11.4-1-i386
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-470-cuda1
libcuda-5.0-1
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-470-cuda1
libcuda-5.0-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1, libnvidia-legacy-390xx-cuda1, libnvidia-tesla-470-cuda1
libcuda-5.5-1
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-5.5-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libnvidia-legacy-390xx-cuda1
libcuda-6.0-1
本虛擬套件由這些套件填實: libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1, libnvidia-legacy-390xx-cuda1, libnvidia-tesla-470-cuda1
libcuda-6.0-1-i386
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-6.5-1
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1
libcuda-6.5-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libnvidia-legacy-390xx-cuda1
libcuda-7.0-1
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libnvidia-legacy-390xx-cuda1, libnvidia-tesla-470-cuda1
libcuda-7.0-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1, libnvidia-legacy-390xx-cuda1
libcuda-7.5-1
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libnvidia-legacy-390xx-cuda1
libcuda-7.5-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1
libcuda-8.0-1
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-470-cuda1
libcuda-8.0-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-legacy-390xx-cuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1
libcuda-9.0-1
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libnvidia-legacy-390xx-cuda1
libcuda-9.0-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libnvidia-legacy-390xx-cuda1
libcuda-9.1-1
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda-9.1-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-470-cuda1, libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1
libcuda-9.2-1
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-470-cuda1
libcuda-9.2-1-i386
本虛擬套件由這些套件填實: libnvidia-tesla-418-cuda1, libnvidia-tesla-450-cuda1, libcuda1, libnvidia-tesla-470-cuda1
libcuda.so.1 (= 390.157)
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1
libcuda.so.1 (= 418.226.00)
本虛擬套件由這些套件填實: libnvidia-tesla-418-cuda1
libcuda.so.1 (= 450.248.02)
本虛擬套件由這些套件填實: libnvidia-tesla-450-cuda1
libcuda.so.1 (= 470.223.02)
本虛擬套件由這些套件填實: libcuda1, libnvidia-tesla-470-cuda1
libcuda1 (470.223.02-1) [non-free]
NVIDIA CUDA Driver Library
libcuda1-any
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cuda1, libcuda1, libnvidia-tesla-450-cuda1, libnvidia-tesla-418-cuda1, libnvidia-tesla-470-cuda1
libcudart11.0 (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA Runtime Library
libcudf-dev (0.9-1+b1)
C library to access descriptions of package upgrade problems
libcudf-ocaml-dev (0.9-1+b1)
OCaml library to access descriptions of package upgrade problems
libcudf-ocaml-dev-frku9
本虛擬套件由這些套件填實: libcudf-ocaml-dev
libcudf-ocaml-dev-hxgl7
本虛擬套件由這些套件填實: libcudf-ocaml-dev
libcudf-ocaml-dev-qh7o0
本虛擬套件由這些套件填實: libcudf-ocaml-dev
libcudf-ocaml-dev-xghr7
本虛擬套件由這些套件填實: libcudf-ocaml-dev
libcue-dev (2.2.1-3+deb11u1)
CUE Sheet Parser Library - development files
libcue2 (2.2.1-3+deb11u1)
CUE Sheet Parser Library
libcufft10 (11.1.1+~10.4.1.152~11.2.2-3+deb11u3) [non-free]
NVIDIA cuFFT Library
libcufftw10 (11.1.1+~10.4.1.152~11.2.2-3+deb11u3) [non-free]
NVIDIA cuFFTW Library
libcuinj64-11.2 (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA CUINJ Library (64-bit)
libcuneiform-dev (1.1.0+dfsg-8) [non-free]
multi-language OCR system (development)
libcuneiform0 (1.1.0+dfsg-8) [non-free]
multi-language OCR system (shared library)
libcunit1 (2.1-3-dfsg-2.3)
Unit Testing Library for C
libcunit1-dev (2.1-3-dfsg-2.3)
Unit Testing Library for C -- development files
libcunit1-doc (2.1-3-dfsg-2.3)
Unit Testing Library for C -- documentation
libcunit1-ncurses (2.1-3-dfsg-2.3)
Unit Testing Library for C (ncurses)
libcunit1-ncurses-dev (2.1-3-dfsg-2.3)
Unit Testing Library for C (ncurses) -- development files
libcups2 (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - Core library
libcups2-dev (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - Development files CUPS library
libcupsfilters-dev (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - Development files for the library
libcupsfilters1 (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - Shared library
libcupsimage2 (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - Raster image library
libcupsimage2-dev (2.3.3op2-3+deb11u6)
Common UNIX Printing System(tm) - Development files CUPS image library
libcupt-common (2.10.4+nmu1)
flexible package manager -- runtime library (support files)
libcupt4-2 (2.10.4+nmu1+b1)
flexible package manager -- runtime library
libcupt4-2-downloadmethod-curl (2.10.4+nmu1+b1)
flexible package manager -- libcurl download method
libcupt4-2-downloadmethod-wget (2.10.4+nmu1+b1)
flexible package manager -- wget download method
libcupt4-dev (2.10.4+nmu1+b1)
flexible package manager -- development files
libcupt4-doc (2.10.4+nmu1)
flexible package manager -- library documentation
libcupti-dev (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA Profiler Tools Interface development files
libcupti-doc (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA Profiler Tools Interface documentation
libcupti11.2 (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA Profiler Tools Interface runtime library
libcurand10 (11.1.1+~10.2.3.152~11.2.2-3+deb11u3) [non-free]
NVIDIA cuRAND Library
libcurator-client-java (2.7.1-3)
Apache Curator Client
libcurator-discovery-java (2.7.1-3)
Apache Curator Service Discovery
libcurator-framework-java (2.7.1-3)
Apache Curator Framework
libcurator-parent-java (2.7.1-3)
Apache Curator Parent
libcurator-recipes-java (2.7.1-3)
Apache Curator Recipes
libcurator-test-java (2.7.1-3)
Apache Curator Testing Utilities
libcurl-dev
本虛擬套件由這些套件填實: libcurl4-gnutls-dev, libcurl4-openssl-dev, libcurl4-nss-dev
libcurl-ocaml (0.9.1-1+b5 [i386], 0.9.1-1+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml curl bindings (Runtime Library)
libcurl-ocaml-30vr0
本虛擬套件由這些套件填實: libcurl-ocaml
libcurl-ocaml-6x5j5
本虛擬套件由這些套件填實: libcurl-ocaml
libcurl-ocaml-dev (0.9.1-1+b5 [i386], 0.9.1-1+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml libcurl bindings (Development package)
libcurl-ocaml-dev-30vr0
本虛擬套件由這些套件填實: libcurl-ocaml-dev
libcurl-ocaml-dev-6x5j5
本虛擬套件由這些套件填實: libcurl-ocaml-dev
libcurl-ocaml-dev-hh695
本虛擬套件由這些套件填實: libcurl-ocaml-dev
libcurl-ocaml-dev-pugw8
本虛擬套件由這些套件填實: libcurl-ocaml-dev
libcurl-ocaml-hh695
本虛擬套件由這些套件填實: libcurl-ocaml
libcurl-ocaml-pugw8
本虛擬套件由這些套件填實: libcurl-ocaml
libcurl-ssl-dev
本虛擬套件由這些套件填實: libcurl4-nss-dev, libcurl4-gnutls-dev, libcurl4-openssl-dev
libcurl3-dev
本虛擬套件由這些套件填實: libcurl4-openssl-dev
libcurl3-gnutls (7.74.0-1.3+deb11u11)
easy-to-use client-side URL transfer library (GnuTLS flavour)
libcurl3-gnutls-dev
本虛擬套件由這些套件填實: libcurl4-gnutls-dev
libcurl3-nss (7.74.0-1.3+deb11u11)
easy-to-use client-side URL transfer library (NSS flavour)
libcurl3-nss-dev
本虛擬套件由這些套件填實: libcurl4-nss-dev
libcurl3-openssl-dev
本虛擬套件由這些套件填實: libcurl4-openssl-dev
libcurl4 (7.74.0-1.3+deb11u11)
easy-to-use client-side URL transfer library (OpenSSL flavour)
libcurl4-dev
本虛擬套件由這些套件填實: libcurl4-gnutls-dev, libcurl4-openssl-dev, libcurl4-nss-dev
libcurl4-doc (7.74.0-1.3+deb11u11)
documentation for libcurl
libcurl4-gnutls-dev (7.74.0-1.3+deb11u11)
development files and documentation for libcurl (GnuTLS flavour)
libcurl4-nss-dev (7.74.0-1.3+deb11u11)
development files and documentation for libcurl (NSS flavour)
libcurl4-openssl-dev (7.74.0-1.3+deb11u11)
development files and documentation for libcurl (OpenSSL flavour)
libcurlpp-dev (0.8.1-3)
c++ wrapper for libcurl (development files)
libcurlpp0 (0.8.1-3)
c++ wrapper for libcurl
libcurry-perl (1.001000-1.1)
module to create automatic curried method call closures for any class or object
libcurses-ocaml (1.0.6-2)
OCaml bindings for the ncurses library (runtime)
libcurses-ocaml-69ix0
本虛擬套件由這些套件填實: libcurses-ocaml
libcurses-ocaml-8tud6
本虛擬套件由這些套件填實: libcurses-ocaml
libcurses-ocaml-cqes4
本虛擬套件由這些套件填實: libcurses-ocaml
libcurses-ocaml-dev (1.0.6-2)
OCaml bindings for the ncurses library
libcurses-ocaml-dev-69ix0
本虛擬套件由這些套件填實: libcurses-ocaml-dev
libcurses-ocaml-dev-8tud6
本虛擬套件由這些套件填實: libcurses-ocaml-dev
libcurses-ocaml-dev-cqes4
本虛擬套件由這些套件填實: libcurses-ocaml-dev
libcurses-ocaml-dev-ea9x6
本虛擬套件由這些套件填實: libcurses-ocaml-dev
libcurses-ocaml-ea9x6
本虛擬套件由這些套件填實: libcurses-ocaml
libcurses-perl (1.37-1+b1)
Curses interface for Perl
libcurses-ui-perl (0.9609-1.1)
curses-based OO user interface framework for Perl
libcurses-widgets-perl (1.997-7)
Curses widget interface for Perl
libcurvesapi-java (1.06-1)
Java implementation of mathematical curves defined over a set of control points
libcusolver11 (11.1.1+~11.1.0.152~11.2.2-3+deb11u3) [non-free]
NVIDIA cuSOLVER Library
libcusolvermg11 (11.1.1+~11.1.0.152~11.2.2-3+deb11u3) [non-free]
NVIDIA cuSOLVERmg Library
libcusparse11 (11.4.1.1152~11.2.2-3+deb11u3) [non-free]
NVIDIA cuSPARSE Library
libcutl-1.10 (1.10.0+ds1-4)
C++ utility library
libcutl-dev (1.10.0+ds1-4)
C++ utility library, development files
libcv-bridge-dev (1.15.0+ds-4)
cv_bridge Robot OS package - development
libcv-bridge2d (1.15.0+ds-4)
cv_bridge Robot OS package
libcvc0 (4.8.1-2)
Cinnamon pulseaudio abstraction library
libcvc4-7 (1.8-2)
automated theorem prover for SMT problems (runtime)
libcvc4-dev (1.8-2)
automated theorem prover for SMT problems (development files)
libcvc4parser7 (1.8-2)
automated theorem prover for SMT problems (parser runtime)
libcvector-dev (1.0.4.1-1+b1)
ANSI C implementation of dynamic arrays (development files)
libcvector2 (1.0.4.1-1+b1)
ANSI C implementation of dynamic arrays
libcvm-dev
本虛擬套件由這些套件填實: libcvm1-dev
libcvm1 (0.97-2)
Credential Validation Modules (shared libraries)
libcvm1-dev (0.97-2)
Credential Validation Modules (development files, documentation)
libcvs-perl (0.07-4.1)
object oriented Perl interface to the CVS command
libcw6 (3.5.1-4)
Morse code tutor - shared library
libcw6-dev (3.5.1-4)
Morse code tutor - development files
libcwd-guard-perl (0.05-1)
module for temporarily changing working directory (chdir)
libcwidget-dev (0.5.18-5)
high-level terminal interface library for C++ (development files)
libcwidget-doc (0.5.18-5)
high-level terminal interface library for C++ (documentation)
libcwidget4 (0.5.18-5)
high-level terminal interface library for C++ (runtime files)
libcwiid-dev (0.6.91-2+b1)
library to interface with the wiimote -- development files
libcwiid1 (0.6.91-2+b1)
library to interface with the wiimote -- runtime files
libcwnn-dev (1.1.1~a021+cvs20130302-7+b2)
Header files and static library for cWnn (FreeWnn cserver)
libcwnn0 (1.1.1~a021+cvs20130302-7+b2)
FreeWnn library for cWnn (FreeWnn cserver)
libcxl-dev (1.7-2+b1)
Coherent accelerator shared library development files
libcxl1 (1.7-2+b1)
Coherent accelerator shared library
libcxsparse3 (1:5.8.1+dfsg-2)
concise sparse matrix library
libcxx-serial-dev (1.2.1-4.1)
Cross-platform, Serial Port library written in C++ (devel)
libcxx-serial1 (1.2.1-4.1)
Cross-platform, Serial Port library written in C++ (runtime)
libcxxopts-dev (2.2.1-2)
Lightweight C++ option parser library
libcxxtools-dev (2.2.1-4)
library of unrelated but useful C++ classes
libcxxtools9v5 (2.2.1-4)
library of unrelated but useful C++ classes
libcyaml-dev (1.1.0-1)
library to read and write YAML documents (development files)
libcyaml-doc (1.1.0-1)
documentation for libcyaml1
libcyaml1 (1.1.0-1)
library to read and write YAML documents (shared library)
libcypher-parser
本虛擬套件由這些套件填實: libcypher-parser8
libcypher-parser-dev (0.6.0-1)
Development files for libcypher-parser
libcypher-parser-doc (0.6.0-1)
Documentation for libcypher-parser
libcypher-parser8 (0.6.0-1)
Parsing library for the Cypher query language
libcyrus-imap-perl (3.2.6-2+deb11u2)
Interface to Cyrus imap client imclient library
libczmq-dev (4.2.1-1)
High-level C binding for ZeroMQ (development files)
libczmq4 (4.2.1-1)
High-level C binding for ZeroMQ
libd3dadapter9-mesa (20.3.5-1)
state-tracker for Direct3D9
libd3dadapter9-mesa-dev (20.3.5-1)
state-tracker for Direct3D9 -- development files
libdaemon-control-perl (0.001010-1)
module for creating init scripts in Perl
libdaemon-dev (0.14-7.1)
lightweight C library for daemons - development files
libdaemon-doc (0.14-7.1)
lightweight C library for daemons - documentation
libdaemon-generic-perl (0.85-1)
framework to provide start/stop/reload for a daemon
libdaemon0 (0.14-7.1)
lightweight C library for daemons - runtime library
libdancer-logger-psgi-perl (1.0.1-2.1)
PSGI Log handler for Dancer
libdancer-logger-syslog-perl (0.6-1.1)
Dancer logger engine for Sys::Syslog
libdancer-perl (1.3513+dfsg-1)
effortless web application framework
libdancer-plugin-auth-extensible-perl (1.00-1)
module providing extensible authentication framework for Dancer apps
libdancer-plugin-database-core-perl (0.20-1)
shared core library for Dancer and Dancer2 database plugins
libdancer-plugin-database-perl (2.13-1.1)
Dancer plugin providing easy database connections
libdancer-plugin-dbic-perl (0.2104-1.1)
DBIx::Class interface for Dancer applications
libdancer-plugin-email-perl (1.0400-1.1)
Simple email sending plugin for Dancer applications
libdancer-plugin-flashmessage-perl (0.314-2.1)
Dancer plugin to display temporary, so called "flash messages"
libdancer-plugin-rest-perl (0.11-3.1)
REST plugin for Dancer
libdancer-session-cookie-perl (0.30-2.1)
encrypted cookie-based session backend for Dancer
libdancer-session-memcached-perl (0.2020-2.1)
Memcached-based session backend for Dancer
libdancer2-perl (0.300005+dfsg-1)
lightweight yet powerful web application framework
libdancer2-plugin-ajax-perl (0.400000-1.1)
Dancer2 plugin for adding Ajax route handlers
libdancer2-plugin-database-perl (2.17-1)
module for easy database connections for Dancer2 applications
libdancer2-plugin-passphrase-perl (3.3.4-1)
passphrases and passwords as objects for Dancer2
libdanga-socket-perl (1.62-1)
event loop and event-driven async socket base class
libdansguardian-perl (0.6-2.1)
Simple module for administer dansguardian's control files
libdap-bin (3.20.7-6)
Binaries for the libdap Data Access Protocol library
libdap-dev (3.20.7-6)
Development files (headers and static libraries) for libdap
libdap-doc (3.20.7-6)
Documentation for the libdap Data Access Protocol library
libdap27 (3.20.7-6)
Open-source Project for a Network Data Access Protocol library
libdapclient6v5 (3.20.7-6)
Client library for the Network Data Access Protocol
libdapl-dev (2.1.10.1.f1e05b7a-3+b1 [amd64], 2.1.10.1.f1e05b7a-3 [arm64, i386, ppc64el, s390x])
development files for the DAPL libraries
libdapl2 (2.1.10.1.f1e05b7a-3+b1 [amd64], 2.1.10.1.f1e05b7a-3 [arm64, i386, ppc64el, s390x])
Direct Access Programming Library (DAPL)
libdapserver7v5 (3.20.7-6)
Server library for the Network Data Access Protocol
libdaq-dev (2.0.7-5)
Data Acquisition library for packet I/O - development files
libdaq2 (2.0.7-5)
Data Acquisition library for packet I/O - shared library
libdar-dev (2.6.13-2+b3)
Disk ARchive: Development files for shared library
libdar64-6000 (2.6.13-2+b3)
Disk ARchive: Shared library
libdart-all-dev (6.9.5-3)
Dynamic Animation and Robotics Toolkit - All Development Files
libdart-collision-bullet-dev (6.9.5-3)
Dynamic Animation and Robotics Toolkit - Bullet Collision Dev
libdart-collision-bullet6 (6.9.5-3)
Dynamic Animation and Robotics Toolkit - Bullet Collision Library
libdart-collision-ode-dev (6.9.5-3)
Dynamic Animation and Robotics Toolkit - ODE Collision Dev
libdart-collision-ode6 (6.9.5-3)
Dynamic Animation and Robotics Toolkit - ODE Collision Library
libdart-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - development files
libdart-external-ikfast-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - ikfast dev
libdart-external-imgui-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - imgui dev
libdart-external-imgui6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - imgui lib
libdart-external-lodepng-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - lodepng dev
libdart-external-lodepng6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - lodepng lib
libdart-external-odelcpsolver-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - odelcpsolver dev
libdart-external-odelcpsolver6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - odelcpsolver lib
libdart-gui-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - gui dev files
libdart-gui-osg-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - gui-osg dev files
libdart-gui-osg6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - gui-osg library
libdart-gui6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - gui library
libdart-optimizer-ipopt-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - ipopt optimizer dev
libdart-optimizer-ipopt6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - ipopt optimizer lib
libdart-optimizer-nlopt-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - nlopt optimizer dev
libdart-optimizer-nlopt6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - nlopt optimizer lib
libdart-planning-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - planning dev files
libdart-planning6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - planning library
libdart-utils-dev (6.9.5-3)
Kinematics Dynamics and Optimization Library - utils dev files
libdart-utils-urdf-dev (6.9.5-3)
Dynamic Animation and Robotics Toolkit - URDF Component Development Files
libdart-utils-urdf6 (6.9.5-3)
Dynamic Animation and Robotics Toolkit - Utils URDF Library
libdart-utils6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - utils library
libdart6 (6.9.5-3)
Kinematics Dynamics and Optimization Library - main library
libdashel
本虛擬套件由這些套件填實: libdashel1
libdashel-dev (1.3.3-5+b1 [amd64], 1.3.3-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Dashel Library development files
libdashel1 (1.3.3-5+b1 [amd64], 1.3.3-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Cross-platform DAta Stream Helper Encapsulation Library
libdata-binary-perl (0.01-1.1)
module for detection of binary versus text in strings
libdata-bitmask-perl (0.91-2.1)
bitmask manipulation class
libdata-buffer-perl (0.04-2)
Read/write buffer class for perl
libdata-clone-perl (0.004-2+b3)
Perl module for polymorphic data cloning
libdata-compare-perl (1.27-1)
perl module to compare perl data structures recursively
libdata-csv-clojure (1.0.0-1)
CSV reader/writer to/from Clojure data structures
libdata-dmp-perl (0.240-1)
Perl module that dumps Perl data structures as Perl code
libdata-downsample-largesttrianglethreebuckets-perl (1.00-2.1)
Perl module for downsampling time series for visual representation
libdata-dpath-perl (0.58-1)
DPath is like XPath but for Perl data structures
libdata-dump-oneline-perl (0.07-1.1)
Perl module that dumps data structures as single-line strings
libdata-dump-perl (1.23-1.1)
Perl module to help dump data structures
libdata-dump-streamer-perl (2.40-1+b5)
module for serializing a data structure as Perl code
libdata-dumper-compact-perl (0.005002-1)
vertically compact width-limited data formatter
libdata-dumper-concise-perl (2.023-1)
module for more shorter Data::Dumper-like output
libdata-dumper-simple-perl (0.11-5.1)
Easily dump variables together with their names (Data::Dumper-like)
libdata-dumpxml-perl (1.06-2)
module to dump arbitrary Perl data structures as XML
libdata-entropy-perl (0.007-3.1)
Perl module for entropy (randomness) management
libdata-faker-perl (0.10-2)
Perl extension for generating fake data
libdata-float-perl (0.013-1.1)
Perl module encapsulating the floating point data type
libdata-flow-perl (1.02-2)
Perl extension for simple-minded recipe-controlled build of data
libdata-format-html-perl (0.5.1-2)
Perl module for dumping Perl objects to HTML
libdata-formvalidator-constraints-datetime-perl (1.11-3.1)
date and time constraint plugin for Data::FormValidator
libdata-formvalidator-perl (4.88-1)
module to validate user input, mainly for HTML forms
libdata-guid-perl (0.049-1)
globally unique identifiers
libdata-hal-perl (1.001-1)
module implementing the HAL data format
libdata-hexdump-perl (0.02-1.1)
hexadecimal dumper
libdata-hexdumper-perl (3.0001-1.1)
module for formatting binary data in a human-readable way
libdata-ical-perl (0.24+dfsg-1)
Perl module for manipulating iCalendar (RFC2445) files
libdata-ieee754-perl (0.02-1.1)
Perl module to pack and unpack big-endian IEEE754 floats and doubles
libdata-integer-perl (0.006-1.1)
Perl modules handling details of the native integer data type
libdata-javascript-anon-perl (1.03-2.1)
Dump big dumb Perl structs to anonymous JavaScript structs
libdata-javascript-perl (1.15-1)
module to dump perl data structures into JavaScript code
libdata-json-clojure (1.0.0-1)
JSON parser/generator to/from Clojure data structures
libdata-messagepack-perl (1.00-4+b1)
MessagePack serializing/deserializing
libdata-messagepack-stream-perl (1.04+ds-1+b3)
streaming deserializer for MessagePack
libdata-methodproxy-perl (0.04-1)
module to inject dynamic data into static data
libdata-miscellany-perl (1.100850-2.1)
collection of miscellaneous subroutines
libdata-munge-perl (0.097-1)
collection of various utility functions
libdata-objectdriver-perl (0.21-1)
simple, transparent data interface, with caching
libdata-optlist-perl (0.110-1.1)
module to parse and validate simple name/value option pairs
libdata-page-pageset-perl (1.02-1.1)
condense long Data::Page lists into groups
libdata-page-perl (2.03-1)
Perl module providing support for paging through result sets
libdata-pageset-perl (1.06-2)
Perl module for page numbering and page sets
libdata-paginator-perl (0.08-1.1)
pagination module for Moose
libdata-parsebinary-perl (0.31~dfsg-1.1)
yet another parser for binary structures
libdata-password-perl (1.12-1.1)
Perl extension for assessing password quality
libdata-password-zxcvbn-perl (1.0.4-2)
Perl module of Dropbox's password estimation logic
libdata-peek-perl (0.50-1)
module providing low-level manipulation of Perl data
libdata-perl-perl (0.002011-1)
classes wrapping fundamental Perl data types
libdata-phrasebook-loader-yaml-perl (0.13-2)
loader class for Data::Phrasebook using YAML
libdata-phrasebook-perl (0.35-1.1)
perl implementation of the phrasebook paradigm
libdata-pond-perl (0.005-1+b3)
Perl-based open notation for data module
libdata-printer-perl (1.000001-1)
colored pretty-printer of Perl data structures and objects
libdata-priority-map-clojure (0.0.9-1)
priority maps for Clojure
libdata-random-perl (0.13-1)
Perl module to generate random data
libdata-record-perl (0.02-4.1)
"split" on steroids
libdata-report-perl (1.001-1)
Framework for flexible reporting
libdata-rmap-perl (0.65-1)
Perl module implementing a recursive map, applying a block to a data structure
libdata-sah-normalize-perl (0.050-1)
Perl module to normalize Sah schema
libdata-section-perl (0.200007-1)
module to read chunks of data from a module's DATA section
libdata-section-simple-perl (0.07-2)
Perl module for reading data from __DATA__ section of the file
libdata-serializer-perl (0.65-1)
module that serializes data structures
libdata-serializer-sereal-perl (1.05-2)
bridge between Data::Serializer and Sereal
libdata-show-perl (0.002004-1)
Perl module to dump data structures with name and point-of-origin
libdata-showtable-perl (4.6-1.1)
Perl module to print arrays of data in a formatted listing
libdata-sorting-perl (0.9-5.1)
Perl module for multi-key sort using function results
libdata-stag-perl (0.14-2)
module to manipulate Structured Tags datastructures
libdata-stream-bulk-perl (0.11-1.1)
N at a time iteration API
libdata-streamdeserializer-perl (0.06-1.1+b7)
non-blocking deserializer
libdata-streamserializer-perl (0.07-1+b11 [amd64, armel, i386, mipsel, s390x], 0.07-1+b9 [armhf], 0.07-1+b8 [arm64], 0.07-1+b7 [mips64el, ppc64el])
non-blocking serializer
libdata-structure-util-perl (0.16-1+b7)
module to change nature of data within a data structure
libdata-swap-perl (0.08-1+b5)
Perl module to swap type and contents of variables
libdata-table-perl (1.78-1)
module to manipulate data tables in perl (as in R)
libdata-tablereader-perl (0.011-1)
locate and read records from human-edited data tables
libdata-transformer-perl (0.04-2.1)
Perl module to traverse data structures, altering them in place
libdata-treedumper-oo-perl (0.09-1.1)
Object-oriented interface to Data::TreeDumper
libdata-treedumper-perl (0.40-3)
module for dumping data structures in various formats
libdata-treedumper-renderer-dhtml-perl (0.09-2.1)
simple Perl DHTML renderer for Data::TreeDumper
libdata-types-perl (0.17-1)
module for validating and converting data types
libdata-uniqid-perl (0.12-1.1)
Perl extension for simple genrating of unique id's
libdata-uriencode-perl (0.11-2)
module to encode and decode data structures for use in URI's
libdata-url-java (1.0.1-2)
Support for data URLs according to RFC 2397
libdata-util-perl (0.66-1+b5 [mips64el], 0.66-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
selection of utilities for data and data types
libdata-uuid-libuuid-perl (0.05-3+b5)
uuid.h based UUID generation module
libdata-uuid-perl (1.226-1+b1)
globally/universally unique identifiers (GUIDs/UUIDs)
libdata-uuid-perl
本虛擬套件由這些套件填實: libossp-uuid-perl
libdata-validate-domain-perl (0.10-1.1)
perl domain name validation functions
libdata-validate-email-perl (0.06-2)
validator for email addresses written in Perl
libdata-validate-ip-perl (0.30-1)
Perl module for IP validation
libdata-validate-perl (0.09-1.1)
module providing common data validation routines for strings/numbers
libdata-validate-struct-perl (0.1-1.1)
module to validate recursive hash structures
libdata-validate-uri-perl (0.07-1)
common URI validation methods
libdata-visitor-perl (0.31-1)
Visitor implementation for Perl data structures
libdata-walk-perl (2.01-1)
module to traverse Perl data structures
libdata-xml-clojure (0.0.8-4)
library for reading and writing XML data
libdata-yaml-perl (0.0.7-1.1)
Easy YAML serialisation of Perl data structures
libdatabase-dumptruck-perl (1.2-2.1)
document-oriented interface to a SQLite database
libdatapager-perl (0.01-2.1)
Data::Pager - flexible data pager
libdataquay-dev (0.9.1-1+b1)
Simple RDF for C++ and Qt applications (development files)
libdataquay0 (0.9.1-1+b1)
Simple RDF for C++ and Qt applications
libdate-calc-perl (6.4-1.1)
Perl library for accessing dates
libdate-calc-xs-perl (6.4-1+b6)
Perl library for accessing dates
libdate-convert-perl (0.16-4.1)
Convert Between any two Calendrical Formats
libdate-extract-perl (0.06-1)
module to extract probable dates from strings
libdate-hijri-perl (0.02-2)
Perl module to convert Gregorian dates to Hijri and vice versa
libdate-holidays-de-perl (2.05-1)
module to determine German holiday dates
libdate-iso8601-perl (0.005-1)
Perl handling of the three ISO 8601 numerical calendars
libdate-jd-perl (0.006-1)
conversion between flavours of Julian Date
libdate-leapyear-perl (1.72-2)
Perl module to determine whether or not a year is a leapyear
libdate-manip-perl (6.83-1)
module for manipulating dates
libdate-pcalc-perl (6.1-6+b4)
Perl module for Gregorian calendar date calculations
libdate-pregnancy-perl (0.06-1.1)
Perl module to calculate birthdate and week numbers for a pregnancy
libdate-range-perl (1.41-3)
module to work with ranges of dates
libdate-simple-perl (3.0300-3+b1)
simple date object for Perl
libdate-tiny-perl (1.07-1.1)
date object, with as little code as possible
libdatetime-calendar-discordian-perl (1.0-2.1)
Perl extension for the Discordian Calendar
libdatetime-calendar-julian-perl (0.103-1)
Julian calendar complement for DateTime
libdatetime-event-cron-perl (0.09-1)
DateTime extension for generating recurrence sets from crontab lines and files
libdatetime-event-ical-perl (0.13-1)
Perl DateTime extension for computing RFC2445 recurrences
libdatetime-event-recurrence-perl (0.19-1)
Perl module to create recurring DateTime instances
libdatetime-event-sunrise-perl (0.0506-1)
Perl module for calculating sunrise and sunset for a given time and place
libdatetime-format-builder-perl (0.8300-1)
module to create DateTime parsers
libdatetime-format-dateparse-perl (0.05-2.1)
Perl module parsing Date::Parse compatible formats
libdatetime-format-db2-perl (0.05-3.1)
Parse and format DB2 dates and times
libdatetime-format-dbi-perl (0.041-2.1)
abstraction layer for DateTime over some database vendors
libdatetime-format-duration-perl (1.03a-1.2)
Format and parse DateTime::Durations objects in perl
libdatetime-format-epoch-perl (0.16-1.1)
Perl module to convert DateTime objects to or from epoch seconds
libdatetime-format-flexible-perl (0.32-1)
Perl module to transform strings into DateTime objects
libdatetime-format-http-perl (0.42-2.1)
Perl module for date conversion with date formats used by the HTTP protocol
libdatetime-format-human-duration-perl (0.64-1)
Perl module to return a locale-specific string describing a DateTime::Duration
libdatetime-format-ical-perl (0.09-2.1)
Perl module to parse and format iCal datetime and duration strings
libdatetime-format-iso8601-perl (0.16-1)
module to parse ISO8601 date and time formats
libdatetime-format-mail-perl (0.4030-1.1)
module to convert between DateTime and RFC2822/822 formats
libdatetime-format-mysql-perl (0.06-1)
module to parse and format MySQL dates and times
libdatetime-format-natural-perl (1.11-1)
Perl module for parsing human-readable date/time strings
libdatetime-format-oracle-perl (0.06-1.1)
module for parsing and formatting Oracle dates and timestamps
libdatetime-format-pg-perl (0.16013-1)
module for parsing and formatting PostgreSQL dates and times
libdatetime-format-rfc3339-perl (1.2.0-1)
module to parse and format RFC3339 datetime strings
libdatetime-format-sqlite-perl (0.11-2)
module to parse and format SQLite dates and times
libdatetime-format-strptime-perl (1.7800-1)
Perl module to parse and format strp and strf time patterns
libdatetime-format-w3cdtf-perl (0.08-1)
module to parse and format W3CDTF datetime strings
libdatetime-format-xsd-perl (0.2-1.1)
format DateTime according to xsd:dateTime
libdatetime-incomplete-perl (0.08-1.1)
library to handle incomplete datetime like January 5
libdatetime-locale-perl (1:1.31-1)
Perl extension providing localization support for DateTime
libdatetime-perl (2:1.54-1)
module for manipulating dates, times and timestamps
libdatetime-set-perl (0.3900-1.1)
Datetime sets and set math
libdatetime-timezone-perl (1:2.47-1+2024a)
framework exposing the Olson time zone database to Perl
libdatetime-timezone-systemv-perl (0.010-1)
System V and POSIX timezone strings
libdatetime-timezone-tzfile-perl (0.011-1)
Perl handling of tzfile (zoneinfo) timezone files
libdatetime-tiny-perl (1.07-1)
lightweight datetime object module
libdatetimex-auto-perl (0.009-1)
use DateTime without needing to call constructors
libdatetimex-easy-perl (0.089-2)
module to parse arbitrary date/time strings
libdatrie-dev (0.2.13-1)
Development files for double-array trie library
libdatrie-doc (0.2.13-1)
Documentation files for double-array trie library
libdatrie1 (0.2.13-1)
Double-array trie library
libdatrie1-bin (0.2.13-1)
Programs for double-array trie library
libdatrie1-udeb (0.2.13-1)
Double-array trie library for D-I use
libdav1d-dev (0.7.1-3)
fast and small AV1 video stream decoder (development files)
libdav1d4 (0.7.1-3)
fast and small AV1 video stream decoder (shared library)
libdavix0v5 (0.7.6-3)
Runtime library for davix
libdawgdic-dev (0.4.5-3)
C++ library for DAWG dictionaries
libdaxctl-dev (71.1-1)
Development files for libdaxctl
libdaxctl1 (71.1-1)
Utility library for managing the device DAX subsystem
libdazzle-1.0-0 (3.38.0-1)
feature-filled library for GTK+ and GObject
libdazzle-1.0-dev (3.38.0-1)
feature-filled library for GTK+ and GObject - development files
libdazzle-doc (3.38.0-1)
feature-filled library for GTK+ and GObject - documentation
libdazzle-tools (3.38.0-1)
feature-filled library for GTK+ and GObject - tools
libdb++-dev (5.3.1+nmu1)
Berkeley Database Libraries for C++ [development]
libdb-dev (5.3.1+nmu1)
Berkeley Database Libraries [development]
libdb-file-lock-perl (0.05-4.1)
wrapper adding locking for the DB_File module
libdb-java (5.3.1+nmu1)
Berkeley Database Libraries for Java
libdb-java-dev (5.3.1+nmu1)
Berkeley Database Libraries for Java [development]
libdb-je-java (3.3.98-2)
Oracle Berkeley Database Java Edition
libdb-sql-dev (5.3.1+nmu1)
Berkeley Database Libraries [SQL development]
libdb1-compat (2.1.3-21)
Berkeley database routines [glibc 2.0/2.1 compatibility]
libdb4o-cil-dev (8.0.184.15484+dfsg2-3.1)
native OODBMS for CLI - development files
libdb4o8.0-cil (8.0.184.15484+dfsg2-3.1)
native OODBMS for CLI
libdb5.3 (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [runtime]
libdb5.3++ (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries for C++ [runtime]
libdb5.3++-dev (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries for C++ [development]
libdb5.3-dbg (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [debug]
libdb5.3-dev (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [development]
libdb5.3-java (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries for Java
libdb5.3-java-dev (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries for Java [development]
libdb5.3-java-jni (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries for Java
libdb5.3-sql (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [SQL runtime]
libdb5.3-sql-dev (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [SQL development]
libdb5.3-stl (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [STL runtime]
libdb5.3-stl-dev (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries [STL development]
libdb5.3-tcl (5.3.28+dfsg1-0.8)
Berkeley v5.3 Database Libraries for Tcl [module]
libdbaudiolib-dev
本虛擬套件由這些套件填實: libdbaudiolib0-dev
libdbaudiolib0 (0.9.8-8)
Communicate to the DBMix audio system (runtime library)
libdbaudiolib0-dev (0.9.8-8)
Communicate to the DBMix audio system (development files)
libdbd-csv-perl (0.5800-1)
DBI driver for CSV files
libdbd-excel-perl (0.06-7.1)
provides an SQL interface (via DBI) for accessing Excel files
libdbd-firebird-perl (1.32-1+b1)
Perl DBI driver for Firebird RDBMS server
libdbd-freetds (0.9.0-9)
Freetds database server driver for libdbi
libdbd-ldap-perl (0.20-1.1)
Perl extension for LDAP access via an SQL/Perl DBI interface
libdbd-mariadb-perl (1.21-3)
Perl5 database interface to the MariaDB/MySQL databases
libdbd-mock-perl (1.59-1)
Mock database driver for testing
libdbd-mysql (0.9.0-9)
MySQL database server driver for libdbi
libdbd-mysql-perl (4.050-3+b1)
Perl5 database interface to the MariaDB/MySQL database
libdbd-odbc-perl (1.61-1+b1)
Perl Database Driver implementing ODBC for DBI
libdbd-oracle-perl (1.80-2) [contrib]
Perl DBI driver for Oracle
libdbd-pg-perl (3.14.2-1+b1)
Perl DBI driver for the PostgreSQL database server
libdbd-pgsql (0.9.0-9)
PostgreSQL database server driver for libdbi
libdbd-sqlite2-perl (2:0.38-1+b3)
Perl DBI driver with a self-contained RDBMS (SQLite2 version)
libdbd-sqlite3 (0.9.0-9)
SQLite3 database driver for libdbi
libdbd-sqlite3-perl (1.66-1+b1)
Perl DBI driver with a self-contained RDBMS
libdbd-sybase-perl (1.14-1+b10 [s390x], 1.14-1+b8 [amd64, armel, armhf, i386, mipsel], 1.14-1+b6 [arm64, mips64el, ppc64el])
Sybase/MS SQL database driver for the DBI module
libdbd-xbase-perl (1:1.08-1)
Perl module to access xbase files (optionally through DBI)
libdbi-dev (0.9.0-6)
DB Independent Abstraction Layer for C -- development files
libdbi-doc (0.9.0-6)
DB Independent Abstraction Layer for C -- documentation
libdbi-perl (1.643-3+b1)
Perl Database Interface (DBI)
libdbi-test-perl (0.001-1.1)
test suite for the DBI API
libdbi0-dev
本虛擬套件由這些套件填實: libdbi-dev
libdbi1 (0.9.0-6)
DB Independent Abstraction Layer for C -- shared library
libdbicx-sugar-perl (0.0200-1)
syntax sugar for DBIx::Class
libdbicx-testdatabase-perl (0.05-2)
module for testing a DBIx::Class::Schema
libdbix-abstract-perl (1.040-2)
DBI SQL abstraction
libdbix-class-candy-perl (0.005003-1)
module providing syntax sugar for DBIx::Class
libdbix-class-cursor-cached-perl (1.001004-1.1)
cursor object with built-in caching support
libdbix-class-datetime-epoch-perl (0.10-1.1)
extension for creating DateTime objects from columns
libdbix-class-deploymenthandler-perl (0.002233-1)
extensible module for DBIx::Class deployment
libdbix-class-dynamicdefault-perl (0.04-2)
dbix-class extension to automatically set and update fields
libdbix-class-encodedcolumn-perl (0.00020-1)
extension to encode column values automatically
libdbix-class-helpers-perl (2.036000-1)
collection of helpers for DBIx::Class
libdbix-class-htmlwidget-perl (0.16-6)
DBIx::Class::HTMLWidget perl module
libdbix-class-inflatecolumn-fs-perl (0.01007-1.1)
Inflate/deflate columns to Path::Class::File objects
libdbix-class-inflatecolumn-ip-perl (0.02003-1.1)
extension for creating NetAddr::IP objects from columns
libdbix-class-inflatecolumn-serializer-perl (0.09-1)
DBIx::Class inflator to serialize Perl data structures
libdbix-class-introspectablem2m-perl (0.001002-1.1)
Introspection of many-to-many DBIx::Class relationships
libdbix-class-optimisticlocking-perl (0.02-5)
Optimistic locking support for DBIx::Class
libdbix-class-perl (0.082841-1)
extensible and flexible object <-> relational mapper
libdbix-class-resultset-recursiveupdate-perl (0.42-1)
module for recursive updates of DBIx::Class::ResultSets
libdbix-class-schema-config-perl (0.001013-2)
Credential Management for DBIx::Class
libdbix-class-schema-loader-perl (0.07049-1)
module to automate definition of a DBIx::Class::Schema
libdbix-class-timestamp-perl (0.14-2)
DBIx::Class extension to update and create date and time based fields
libdbix-class-tree-nestedset-perl (0.10-1.1)
module to manage trees of data using the nested set model
libdbix-class-uuidcolumns-perl (0.02006-1.1)
Implicit uuid columns
libdbix-connector-perl (0.56-1)
fast and safe DBI connection and transaction management
libdbix-contextualfetch-perl (1.03-4.1)
module to add context aware fetches to DBI
libdbix-datasource-perl (0.02-5)
database-independent module to create and drop functions
libdbix-dbschema-perl (0.45-1)
Perl module to manipulate schemas independently of databases
libdbix-dbstag-perl (0.12-2)
module providing relational database to hierarchical mapping
libdbix-dr-perl (0.32-1.1)
easy DBI helper
libdbix-easy-perl (0.21-1.1)
Easy to Use DBI Interface
libdbix-fulltextsearch-perl (0.73-12)
Indexing documents with MySQL as storage
libdbix-introspector-perl (0.001005-1)
module to detect what database code is connected to
libdbix-multistatementdo-perl (1.00009-2)
multiple SQL statements in a single do() call with any DBI driver
libdbix-oo-perl (0.0.9-5)
database to Perl objects abstraction layer
libdbix-password-perl (1.9-2.1)
Perl module for creating a global password file for DB passwords
libdbix-profile-perl (1.0-5)
DBI query profiler
libdbix-recordset-perl (0.26-3.1)
Perl extension for DBI recordsets
libdbix-runsql-perl (0.21-1)
module to run an SQL file via a DBI handle
libdbix-safe-perl (1.2.5-2.1)
safe wrapper to DBI interface
libdbix-searchbuilder-perl (1.69-1)
Perl implementation of a simple ORM
libdbix-sequence-perl (1.5-3)
simple SQL92 ID generator
libdbix-simple-perl (1.37-1)
Perl module implementing an simpler interface to DBI
libdbix-xml-rdb-perl (0.05-12.1)
perl module for creating XML from a DBI datasource
libdbix-xmlmessage-perl (0.05-10.1)
perl module for exchanging XML messages between DBI data sources
libdbm-deep-perl (2.0016-1)
pure perl multi-level hash/array DBM that supports transactions
libdbus-1-3 (1.12.28-0+deb11u1)
simple interprocess messaging system (library)
libdbus-1-3-udeb (1.12.28-0+deb11u1)
simple interprocess messaging system (minimal library)
libdbus-1-dev (1.12.28-0+deb11u1)
simple interprocess messaging system (development headers)
libdbus-c++-1-0v5 (0.9.0-8.2)
C++ API for D-Bus (runtime package)
libdbus-c++-bin (0.9.0-8.2)
C++ API for D-Bus (utilities)
libdbus-c++-dbg (0.9.0-8.2)
C++ API for D-Bus (debugging symbols)
libdbus-c++-dev (0.9.0-8.2)
C++ API for D-Bus (development package)
libdbus-c++-doc (0.9.0-8.2)
C++ API for D-Bus (documentation)
libdbus-glib-1-2 (0.110-6)
deprecated library for D-Bus IPC
libdbus-glib-1-dev (0.110-6)
deprecated library for D-Bus IPC (development files)
libdbus-glib-1-dev-bin (0.110-6)
deprecated library for D-Bus IPC (development tools)
libdbus-glib-1-doc (0.110-6)
deprecated library for D-Bus IPC (API documentation)
libdbus-glib2.0-cil (0.6.0-1.1)
CLI implementation of D-Bus (GLib mainloop integration)
libdbus-glib2.0-cil-dev (0.6.0-1.1)
CLI implementation of D-Bus (GLib mainloop integration) - development files
libdbus-java (2.8-10)
simple interprocess messaging system (Java implementation)
libdbus-ocaml (0.29-6+b1)
OCaml bindings for the D-Bus API (runtime)
libdbus-ocaml-5p2w4
本虛擬套件由這些套件填實: libdbus-ocaml
libdbus-ocaml-dev (0.29-6+b1)
OCaml bindings for the D-Bus API (development files)
libdbus-ocaml-dev-5p2w4
本虛擬套件由這些套件填實: libdbus-ocaml-dev
libdbus-ocaml-dev-tygg7
本虛擬套件由這些套件填實: libdbus-ocaml-dev
libdbus-ocaml-dev-u1xm7
本虛擬套件由這些套件填實: libdbus-ocaml-dev
libdbus-ocaml-dev-uvu46
本虛擬套件由這些套件填實: libdbus-ocaml-dev
libdbus-ocaml-tygg7
本虛擬套件由這些套件填實: libdbus-ocaml
libdbus-ocaml-u1xm7
本虛擬套件由這些套件填實: libdbus-ocaml
libdbus-ocaml-uvu46
本虛擬套件由這些套件填實: libdbus-ocaml
libdbus2.0-cil (0.8.1-2)
CLI implementation of D-Bus
libdbus2.0-cil-dev (0.8.1-2)
CLI implementation of D-Bus - development files
libdbusada0.6.0 (0.6.0-3)
Ada bindings to the D-Bus message bus system (runtime)
libdbusada6-dev (0.6.0-3)
Ada bindings to the D-Bus message bus system
libdbusextended-qt5-1 (0.0.3-4)
Extended DBus interface for Qt
libdbusextended-qt5-dev (0.0.3-4)
Extended DBus interface for Qt (development files)
libdbuskit-dev (0.1.1-3+b3)
GNUstep framework that interfaces with D-Bus (development files)
libdbuskit0 (0.1.1-3+b3)
GNUstep framework that interfaces with D-Bus (runtime library)
libdbusmenu-glib-dev (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - development files
libdbusmenu-glib-doc (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - documentation
libdbusmenu-glib4 (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus
libdbusmenu-gtk-dev (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - GTK-2+ version development files
libdbusmenu-gtk-doc (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - GTK+ version documentation
libdbusmenu-gtk3-4 (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - GTK-3+ version
libdbusmenu-gtk3-dev (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - GTK-3+ version development files
libdbusmenu-gtk4 (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - GTK-2+ version
libdbusmenu-jsonloader-dev (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - Test lib development files
libdbusmenu-jsonloader4 (18.10.20180917~bzr492+repack1-2)
library for passing menus over DBus - Test lib
libdbusmenu-qt5-2 (0.9.3+16.04.20160218-2+b1)
Qt implementation of the DBusMenu protocol
libdbusmenu-qt5-dev (0.9.3+16.04.20160218-2+b1)
Qt implementation of the DBusMenu protocol (development)
libdbusmenu-qt5-doc (0.9.3+16.04.20160218-2)
Qt implementation of the DBusMenu protocol (documentation)
libdbusmenu-tools (18.10.20180917~bzr492+repack1-2)
tools useful during development with libdbusmenu
libdbustest1 (16.10.0~bzr100+repack1-4.1)
Runs tests under a new DBus session (shared library)
libdbustest1-dev (16.10.0~bzr100+repack1-4.1)
Runs tests under a new DBus session (development files)
libdc1394-22-dev (2.2.6-3)
transitional package
libdc1394-22-doc (2.2.6-3)
transitional package
libdc1394-25 (2.2.6-3)
high level programming interface for IEEE 1394 digital cameras
libdc1394-dev (2.2.6-3)
high level programming interface for IEEE 1394 digital cameras - development
libdc1394-doc (2.2.6-3)
high level programming interface for IEEE 1394 digital cameras - documentation
libdc1394-utils (2.2.6-3)
utilities for IEEE 1394 digital cameras
libdca-dev (0.0.7-2)
decoding library for DTS Coherent Acoustics streams (development)
libdca-utils (0.0.7-2)
decoding library for DTS Coherent Acoustics streams (utilities)
libdca0 (0.0.7-2)
decoding library for DTS Coherent Acoustics streams
libdcap1 (2.47.12-3)
Client Libraries for dCache
libdcmtk-dev (3.6.5-1)
OFFIS DICOM toolkit development libraries and headers
libdcmtk15 (3.6.5-1)
OFFIS DICOM toolkit runtime libraries
libdcmtk2-dev
本虛擬套件由這些套件填實: libdcmtk-dev
libdcmtkpp-dev
本虛擬套件由這些套件填實: libodil-dev
libdcmtkpp0
本虛擬套件由這些套件填實: libodil0
libdcmtkpp0-doc
本虛擬套件由這些套件填實: libodil-doc
libdconf-dev (0.38.0-2)
simple configuration storage system - development files
libdconf-doc (0.38.0-2)
simple configuration storage system - documentation
libdconf1 (0.38.0-2)
simple configuration storage system - runtime library
libdcontainers-dev (0.8.0~alpha.16-1+b5 [amd64, arm64, i386], 0.8.0~alpha.16-1+b4 [armel, armhf, s390x])
Containers backed by stdx.allocator -- development files
libdcontainers0 (0.8.0~alpha.16-1+b5 [amd64, arm64, i386], 0.8.0~alpha.16-1+b4 [armel, armhf, s390x])
Containers backed by stdx.allocator
libdd-plist-java (1.20-1.1)
Java library for working with property lists
libddccontrol-dev (0.4.4-1.2)
development files for ddccontrol
libddccontrol0 (0.4.4-1.2)
shared library for ddccontrol
libddcutil-dev (0.9.9-2)
Query and change monitor settings - development files
libddcutil3 (0.9.9-2)
Query and change monitor settings - shared library
libddogleg-java (0.17+ds-2)
library for non-linear optimization, clustering, robust model fitting and more
libdds-dev (2.9.0-9)
bridge double dummy solver - development
libdds0 (2.9.0-9)
bridge double dummy solver - shared library
libddsc-dev (0.7.0-7)
Eclipse Cyclone DDS library - development headers
libddsc-doc (0.7.0-7)
Eclipse Cyclone DDS library - API documentation
libddsc-tools (0.7.0-7)
Eclipse Cyclone DDS tools
libddsc0 (0.7.0-7)
Eclipse Cyclone DDS library
libde265-0 (1.0.11-0+deb11u3)
Open H.265 video codec implementation
libde265-dev (1.0.11-0+deb11u3)
Open H.265 video codec implementation - development files
libde265-examples (1.0.11-0+deb11u3)
Open H.265 video codec implementation - examples
libdeal.ii-9.2.0 (9.2.0-3+b2)
Finite Element Differential Equations Analysis Library
libdeal.ii-dev (9.2.0-3+b2)
Differential Equations Analysis Library - development files
libdeal.ii-doc (9.2.0-3)
Differential Equations Analysis Library - html doc. and examples
libdebconf-kde-dev (1.0.3-4)
Development headers for the Debconf KDE library
libdebconf-kde1 (1.0.3-4)
Debconf KDE GUI library
libdebconfclient-dev
本虛擬套件由這些套件填實: libdebconfclient0-dev
libdebconfclient0 (0.260)
Debian Configuration Management System (C-implementation library)
libdebconfclient0-dev (0.260)
Development files for cdebconf
libdebconfclient0-udeb (0.260)
Debian Configuration Management System (C-implementation)
libdebhelper-perl (13.3.4)
debhelper perl modules
libdebian-copyright-perl (0.2-4)
perl module to parse, merge and write Debian copyright files
libdebian-dpkgcross-perl (2.6.18+nmu1)
functions to aid cross-compiling Debian packages
libdebian-installer-dev
本虛擬套件由這些套件填實: libdebian-installer4-dev
libdebian-installer-extra4 (0.121)
Library of some extra debian-installer functions
libdebian-installer-extra4
本虛擬套件由這些套件填實: libdebian-installer-extra4-udeb
libdebian-installer-extra4-udeb (0.121)
Library of some extra debian-installer functions
libdebian-installer4 (0.121)
Library of common debian-installer functions
libdebian-installer4-dev (0.121)
Library of common debian-installer functions
libdebian-installer4-udeb (0.121)
Library of common debian-installer functions
libdebian-package-html-perl (0.1-2.1)
generates HTML from a Debian source/binary package
libdebian-source-perl (0.116)
collection of Perl modules for handling Debian source packages
libdebug-trace-perl (0.05-2)
module to trace Perl subroutine calls
libdebug0 (0.5.3-5)
Memory leak detection system and logging library
libdebug0-dev (0.5.3-5)
Development files for the debug library
libdebuginfod-dev (0.183-1)
libdebuginfod development libraries and header files
libdebuginfod1 (0.183-1)
library to interact with debuginfod (development files)
libdecentxml-java (1.4-2.1)
XML parser optimized for round-tripping and code reuse
libdecentxml-java-doc (1.4-2.1)
API documentation for libdecentxml-java
libdeclare-constraints-simple-perl (0.03-1.1)
module for declarative validation of data structures
libdecoration0 (2:0.8.18-2)
Compiz window decoration library
libdecoration0-dev (2:0.8.18-2)
Compiz window decoration library - development files
libdee-1.0-4 (1.2.7+17.10.20170616-6+b1)
Model to synchronize multiple instances over DBus - shared lib
libdee-dev (1.2.7+17.10.20170616-6+b1)
Model to synchronize multiple instances over DBus - dev files
libdee-doc (1.2.7+17.10.20170616-6)
Model to synchronize multiple instances over DBus - documentation
libdeepboof-java (0.4+ds-2)
Java library for running deep neural networks
libdefhash-perl (1.0.12-1)
module to define things according to a specification, using hashes
libdeflate-dev (1.7-1)
headers for whole-buffer compression and decompression library
libdeflate-tools (1.7-1)
tools for whole-buffer compression and decompression library
libdeflate0 (1.7-1)
fast, whole-buffer DEFLATE-based compression and decompression
libdelimmatch-perl (1.06a-4.1)
Perl module to match delimited substrings
libdeps-perl (0.13-4.1)
Dependency Extraction and Processing System
libdeps-renderer
本虛擬套件由這些套件填實: libdeps-renderer-dot-perl
libdeps-renderer-dot-perl (0.13-4.1)
DEPS renderer plugin using GraphViz/dot
libdepth-image-proc-dev (1.15.2-4+b1)
Robot OS depth-image-proc package - development
libdepth-image-proc0d (1.15.2-4+b1)
Robot OS depth-image-proc package
libderby-java (10.14.2.0-2)
Apache Derby Database Engine and Embedded JDBC Driver
libderbyclient-java (10.14.2.0-2)
Apache Derby Client JDBC Driver
libdesktop-notify-perl (0.05-2)
Perl module which communicates with the Desktop Notifications framework
libdevel-argnames-perl (0.03-2.1)
module providing access to subroutine variable names
libdevel-autoflush-perl (0.06-1.1)
module for setting autoflush from the command line
libdevel-backtrace-perl (0.12-2.1)
Object-oriented backtrace
libdevel-bt-perl (0.06-4+b6)
module providing automatic gdb backtraces on errors
libdevel-callchecker-perl (0.008-1+b2)
custom op checking attached to subroutines
libdevel-caller-ignorenamespaces-perl (1.1-1)
module for hiding namespaces from caller()
libdevel-caller-perl (2.06-2+b3)
module providing enhanced caller() support
libdevel-callparser-perl (0.002-4+b4)
custom parsing attached to subroutines
libdevel-callsite-perl (1.0.1-1+b3)
Perl module to get caller return OP address and Perl interpreter context
libdevel-calltrace-perl (1.2-2.1)
Code tracer to follow function calls
libdevel-checkbin-perl (0.04-1.1)
module to check that a command is available
libdevel-checkcompiler-perl (0.07-1)
module for checking compiler availability
libdevel-checklib-perl (1.14-2)
module for checking the availability of a library
libdevel-confess-perl (0.009004-1)
module to include stack traces on all warnings and errors
libdevel-cover-perl (1.36-2+b1)
Perl tool for determining code coverage metrics
libdevel-cycle-perl (1.12-1)
Perl module to detect memory cycles in Perl objects
libdevel-declare-parser-perl (0.020-1.1)
higher level interface to Devel::Declare
libdevel-declare-perl (0.006022-1+b1)
module to add new syntax to Perl
libdevel-dprof-perl (20110802.00-3+b10 [s390x], 20110802.00-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 20110802.00-3+b7 [mips64el, ppc64el])
deprecated Perl code profiler
libdevel-dumpvar-perl (1.06-1.1)
Perl module to dump Perl variables
libdevel-findperl-perl (0.015-2)
Perl module to find the path to the currently running perl
libdevel-gdb-perl (2.02-4)
module to open and communicate with a gdb session
libdevel-globaldestruction-perl (0.14-1.1)
module to expose the flag that marks global destruction
libdevel-hide-perl (0.0013-1)
module for forcing the unavailability of specified Perl modules (for testing)
libdevel-leak-perl (0.03-3+b10 [s390x], 0.03-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.03-3+b7 [mips64el, ppc64el])
utility for looking for perl objects that are not reclaimed
libdevel-lexalias-perl (0.05-2+b3)
Perl module that provides alias lexical variables
libdevel-mat-dumper-perl (0.42-3+b1)
module to write a heap dump file for later analysis
libdevel-nytprof-perl (6.06+dfsg-1+b3)
Perl statement and subroutine code profiler
libdevel-overloadinfo-perl (0.005-1)
module to introspect overloaded operators
libdevel-overrideglobalrequire-perl (0.001-1.1)
module to safely override CORE::GLOBAL::require
libdevel-partialdump-perl (0.20-1)
Perl module to dump subroutine parameters concisely
libdevel-patchperl-perl (2.08-1)
Perl module to patch perl source à la Devel::PPPort's buildperl.pl
libdevel-pragma-perl (1.1.0-1+b5)
helper functions for developers of lexical pragmas
libdevel-profile-perl (1.05-3.1)
Perl code profiler
libdevel-ptkdb-perl (1.1091-3.1)
Perl debugger using a Tk GUI
libdevel-refactor-perl (0.05-2.1)
Perl module for code refactoring
libdevel-refcount-perl (0.10-2+b7)
Perl module to obtain the reference count of a variable
libdevel-repl-perl (1.003028-1)
module for building a modern Perl interactive shell
libdevel-simpletrace-perl (0.08-2.1)
module to see where your code warns and dies using stack traces
libdevel-size-perl (0.83-1+b2)
Perl extension for finding the memory usage of Perl variables
libdevel-stacktrace-ashtml-perl (0.15-1.1)
module to display a stack trace in HTML
libdevel-stacktrace-perl (2.0400-1)
Perl module containing stack trace and related objects
libdevel-stacktrace-withlexicals-perl (2.01-2)
Perl module for stack traces with access to lexical variables
libdevel-strictmode-perl (0.003-1.1)
determine whether strict (but slow) tests should be enabled
libdevel-symdump-perl (2.18-4)
Perl module for inspecting perl's symbol table
libdevel-trace-perl (0.12-1.1)
Perl module to aid debugging by printing out each line before execution
libdevhelp-3-6 (3.38.1-1)
Library providing documentation browser functionality
libdevhelp-dev (3.38.1-1)
Library providing documentation browser functionality (development)
libdevice-cdio-perl (2.0.0-1+b4)
CD Input and control library
libdevice-gsm-perl (1.61-3)
module to access GSM phones / modems
libdevice-modem-perl (1.59-1)
module to access generic modems (AT-compliant)
libdevice-serialport-perl (1.04-3+b10 [s390x], 1.04-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 1.04-3+b7 [mips64el, ppc64el])
emulation of Win32::SerialPort for Linux/POSIX
libdevice-usb-pcsensor-hidtemper-perl (2:0.04-1+b1)
Perl module to interface to the HidTEMPer thermometers
libdevice-usb-perl (0.38-1+b1)
Perl module to access USB devices using libusb
libdevil-dev (1.7.8-10+b2)
Cross-platform image loading and manipulation toolkit
libdevil1c2 (1.7.8-10+b2)
Cross-platform image loading and manipulation toolkit
libdevmapper-dev (2:1.02.175-2.1)
Linux Kernel Device Mapper header files
libdevmapper-event1.02.1 (2:1.02.175-2.1)
Linux Kernel Device Mapper event support library
libdevmapper1.02.1 (2:1.02.175-2.1)
Linux Kernel Device Mapper userspace library
libdevmapper1.02.1-udeb (2:1.02.175-2.1)
Linux Kernel Device Mapper userspace library
libdewalls-dev (1.0.0+ds1-9)
Parser for Walls cave survey data - development files
libdewalls1 (1.0.0+ds1-9)
Parser library for Walls cave survey data
libdfp-dev (1.0.15-2)
decimal floating point library (runtime)
libdfp1 (1.0.15-2)
decimal floating point library (development files)
libdframeworkdbus-dev (5.2.0.29-1)
Qt DBus interface library for Deepin software (development files)
libdframeworkdbus2 (5.2.0.29-1)
Qt DBus interface library for Deepin software (shared library)
libdhash-dev (0.6.1-2)
Development files for libdhash
libdhash1 (0.6.1-2)
Dynamic hash table
libdiagnostic-aggregator-dev (1.10.1+ds1-3)
development files for diagnostic_aggregator (Robot OS)
libdiagnostic-aggregator-tools (1.10.1+ds1-3)
Robot OS diagnostic_aggregator tools
libdiagnostic-aggregator1d (1.10.1+ds1-3)
library for diagnostic_aggregator (Robot OS)
libdiagnostic-msgs-dev (1.13.0-2)
Messages relating to Robot OS diagnostic, C/C++ interface
libdiagnostic-updater-dev (1.10.1+ds1-3)
Robot OS diagnostic_updater tools
libdiagnostics-dev (0.3.3-12.1)
Logging, unittesting, and runtime diagnostics for C++ - development files
libdiagnostics0 (0.3.3-12.1)
Logging, unittesting, and runtime diagnostics for C++ - library
libdialog-dev
本虛擬套件由這些套件填實: dialog
libdico2 (2.10-1)
RFC 2229 compliant modular dictionary server (shared library)
libdicomscope-jni (3.6.0-22+b1)
OFFIS DICOM Viewer (JNI files)
libdictzip-java (0.8.2-2.1)
DictZip library for Java
libdieharder-dev (3.31.1.2-1)
Random-number generator test library -- development package
libdieharder3 (3.31.1.2-1)
Random-number generator test library
libdiffutils-java (4.9-1)
compute diffs and apply patches in Java
libdigest-bcrypt-perl (1.209-3)
Perl interface to the bcrypt digest algorithm
libdigest-bubblebabble-perl (0.02-2.1)
perl module to create bubble-babble fingerprints
libdigest-crc-perl (0.22.2-1+b3)
Perl module providing generic CRC functions
libdigest-elf-perl (1.42-1+b6)
Perl extension for ElfHash
libdigest-hmac-perl (1.03+dfsg-2.1)
module for creating standard message integrity checks
libdigest-jhash-perl (0.10-1+b5)
Perl extension for 32 bit Jenkins Hashing Algorithm
libdigest-md2-perl (2.04+dfsg-1+b3)
MD2 Message Digest for Perl
libdigest-md4-perl (1.9+dfsg-2+b3)
MD4 Message Digest for Perl
libdigest-md5-file-perl (0.08-1.1)
Perl extension for getting MD5 sums for files and urls
libdigest-md5-perl (= 2.55.01)
本虛擬套件由這些套件填實: perl
libdigest-perl (= 1.17.01)
本虛擬套件由這些套件填實: perl
libdigest-perl-md5-perl (1.9-1.1)
Perl Implementation of Rivest's MD5 algorithm
libdigest-sha-perl (6.02-1+b3)
Perl extension for SHA-1/224/256/384/512, SHA-512/224 and SHA-512/256
libdigest-sha-perl (= 6.02)
本虛擬套件由這些套件填實: perl
libdigest-sha3-perl (1.04-1+b3)
Perl extension for SHA-3
libdigest-ssdeep-perl (0.9.3-2)
Pure Perl ssdeep (CTPH) fuzzy hashing
libdigest-whirlpool-perl (1.09-1.1+b3)
A 512-bit, collision-resistant, one-way hash function
libdigidoc-common (3.10.5-2)
DigiDoc digital signature library common files
libdigidoc-dev (3.10.5-2)
DigiDoc digital signature development files
libdigidoc-doc (3.10.5-2)
DigiDoc digital signature library documentation
libdigidoc-tools (3.10.5-2)
DigiDoc digital signature library tools
libdigidoc2 (3.10.5-2)
DigiDoc digital signature library
libdime-dev (0.20111205-2.1)
DXF Import, Manipulation, and Export library - devel
libdime-doc (0.20111205-2.1)
DXF Import, Manipulation, and Export library - devel
libdime-tools-perl (0.05-1)
modules to parse and generate DIME messages
libdime1 (0.20111205-2.1)
DXF Import, Manipulation, and Export library
libdiodon0 (1.11.0-1)
GTK+ Clipboard manager (main library)
libdir-purge-perl (1.02-3.1)
Purge directories to a given number of files
libdir-self-perl (0.11-2)
module providing a __DIR__ constant for the directory of the source file
libdirectfb-1.7-7 (1.7.7-9)
direct frame buffer graphics (shared libraries)
libdirectfb-bin (1.7.7-9)
direct frame buffer graphics - binaries
libdirectfb-dev (1.7.7-9)
direct frame buffer graphics library - development files
libdirectfb-extra (1.7.7-9)
direct frame buffer graphics - extra providers
libdirectory-scratch-perl (0.18-1)
easy-to-use self-cleaning scratch space
libdirectory-scratch-structured-perl (0.04-2)
module to create temporary files and directories from a structured description
libdirgra-java (0.3-1.1)
Java library providing a simple directed graph implementation
libdirgra-java-doc (0.3-1.1)
Documentation for dirgra
libdisasm-dev (0.23-6+b1)
disassembler library for x86 code (development files)
libdisasm0 (0.23-6+b1)
disassembler library for x86 code
libdiscid-dev (0.6.2-3)
library for creating MusicBrainz DiscIDs (development files)
libdiscid-doc (0.6.2-3)
library for creating MusicBrainz DiscIDs (documentation)
libdiscid0 (0.6.2-3)
library for creating MusicBrainz DiscIDs
libdiscover-dev (2.1.2-8)
hardware identification library development files
libdiscover2 (2.1.2-8)
hardware identification library
libdislocker0-dev (0.7.3-2)
read/write encrypted BitLocker volumes (development files)
libdislocker0.7 (0.7.3-2)
read/write encrypted BitLocker volumes (shared library)
libdisorder-dev (0.0.2+git20130809.8062ee1-2)
library for entropy measurement of byte streams (devel)
libdisorder-tools (0.0.2+git20130809.8062ee1-2)
entropy measurement of byte streams
libdisorder0 (0.0.2+git20130809.8062ee1-2)
library for entropy measurement of byte streams
libdispatch-class-perl (0.02-2.1)
dispatch on the type (class) of an argument
libdisruptor-java (3.4.2-2)
Disruptor - High Performance Inter-Thread Messaging Library for Java
libdist-checkconflicts-perl (0.11-1.1)
module for declaring version conflicts for a distribution
libdist-inkt-doap-perl (0.110-3)
various DOAP-related roles for Dist::Inkt
libdist-inkt-perl (0.025-1)
yet another distribution builder
libdist-inkt-profile-tobyink-perl (0.024-1)
Dist::Inkt profile for TOBYINK
libdist-inkt-role-git-perl (0.001-1.1)
git functions for Dist::Inkt
libdist-inkt-role-hg-perl (0.004-1.1)
Mercurial-related behaviour for Dist::Inkt
libdist-inkt-role-release-perl (0.004-2.1)
automatically upload a distribution to the CPAN
libdist-inkt-role-test-kwalitee-perl (0.002-1.1)
check a distribution's kwalitee at build time
libdist-inkt-role-test-perl (0.002-1.1)
run various tests on a distribution at build time
libdist-metadata-perl (0.927-1.1)
module for getting information about a perl module distribution
libdist-zilla-app-command-authordebs-perl (0.003-1.1)
List or install Dist::Zilla authors dependencies as Debian packages
libdist-zilla-app-command-cover-perl (1.101001-2.1)
Run Devel::Cover from within Dist::Zilla
libdist-zilla-config-slicer-perl (0.201-1.1)
Config::MVP::Slicer customized for Dist::Zilla
libdist-zilla-localetextdomain-perl (0.91-3)
Dist::Zilla plugin that adds support for managing l10n and i18n in Perl modules
libdist-zilla-perl (6.017-1)
Perl distribution builder
libdist-zilla-plugin-autometaresources-perl (1.21-1.1)
Dist::Zilla plugin to ease filling "resources" metadata
libdist-zilla-plugin-bootstrap-lib-perl (1.001002-1)
minimal boot-strapping for Dist::Zilla plugins
libdist-zilla-plugin-bugtracker-perl (1.111080-1.1)
Dist::Zilla plugin to automatically sets the bugtracker URL and mailto
libdist-zilla-plugin-changelogfromgit-perl (0.017-1)
Dist::Zilla plugin to build a Changes file from a project's git log
libdist-zilla-plugin-checkbin-perl (0.008-1)
Dist::Zilla plugin for checking presence of command at build time
libdist-zilla-plugin-checkextratests-perl (0.029-2)
dzil command to check xt tests before release
libdist-zilla-plugin-config-git-perl (0.92-1.1)
Dist::Zilla Git plugin configuration settings module
libdist-zilla-plugin-emailnotify-perl (0.004-1)
dzil plugin to send an email on dist release
libdist-zilla-plugin-git-perl (2.047-1)
Dist::Zilla plugins to update your git repository after release
libdist-zilla-plugin-githubmeta-perl (0.58-1)
Automatically include GitHub meta information in META.yml
libdist-zilla-plugin-installguide-perl (1.200013-1)
Dist::Zilla plugin to generate installation instructions
libdist-zilla-plugin-localemsgfmt-perl (1.203-3)
Dist::Zilla plugin to compile PO files with Locale::Msgfmt
libdist-zilla-plugin-makemaker-awesome-perl (0.48-1)
Dist::Zilla plugin with more options than [MakeMaker]
libdist-zilla-plugin-makemaker-fallback-perl (0.030-1)
Dist::Zilla plugin that generates a Makefile.PL with deprecation warnings
libdist-zilla-plugin-metaprovides-package-perl (2.004003-2)
Dist::Zilla plugin to extract provides from traditional packages
libdist-zilla-plugin-metaprovides-perl (2.002004-1.1)
Dist::Zilla plugin generating and populating "provides" in your META.yml
libdist-zilla-plugin-minimumperlfast-perl (0.003-2)
Quickly detects the minimum version of Perl required for your dist
libdist-zilla-plugin-modulebuildtiny-fallback-perl (0.025-1.1)
Dist::Zilla plugin that generates a Build.PL with fallback on Module::Build
libdist-zilla-plugin-modulebuildtiny-perl (0.015-1.1)
Dist::Zilla plugin to create a Build.PL that uses Module::Build::Tiny
libdist-zilla-plugin-mojibaketests-perl (0.8-1.1)
Dist::Zilla plugin that provides author tests for source encoding
libdist-zilla-plugin-ourpkgversion-perl (1:0.21-1)
alternative to DZP::PkgVersion with "our" and no line insertion
libdist-zilla-plugin-podspellingtests-perl (2.007005-1.1)
Backward-compatibility wrapper around Dist::Zilla::Plugin::Test::PodSpelling
libdist-zilla-plugin-podweaver-perl (4.008-1.1)
Dist::Zilla plugin to use Pod::Weaver to generate Pod documentation
libdist-zilla-plugin-prepender-perl (2.004-1)
Dist::Zilla plugin to prepend lines at the top of your perl files
libdist-zilla-plugin-readmefrompod-perl (0.37-1)
Dist::Zilla plugin to generate a README from Pod
libdist-zilla-plugin-repository-perl (0.24-1)
Dist::Zilla plugin to discovery repository URL from svn/svk/Git checkout
libdist-zilla-plugin-requiresexternal-perl (1.009-2)
Dist::Zilla plugin to declare dependency on command-line tools
libdist-zilla-plugin-run-perl (0.048-1)
Dist::Zilla plugin to execute external commands
libdist-zilla-plugin-templatefiles-perl (0.03-1.1)
plugin that enables the use of templates in a Dist::Zilla distribution
libdist-zilla-plugin-test-compile-perl (2.058-1)
common tests to check syntax of your modules, using only core modules
libdist-zilla-plugin-test-eol-perl (0.19-3)
Dist::Zilla plugin to make sure that correct line endings are used
libdist-zilla-plugin-test-kwalitee-perl (2.12-1.1)
Dist::Zilla plugin providing a release-time Kwalitee test
libdist-zilla-plugin-test-notabs-perl (0.15-3)
Dist::Zilla plugin to make sure hard tabs are not used
libdist-zilla-plugin-test-perl-critic-perl (3.001-2)
Dist::Zilla plugin to check your code with perlcritic
libdist-zilla-plugin-test-podspelling-perl (2.007005-1.1)
Author tests for POD spelling
libdist-zilla-plugin-test-reportprereqs-perl (0.028-1)
module to report on prerequisite versions during automated testing
libdist-zilla-plugin-twitter-perl (0.026-2.1)
Twitter when you release with Dist::Zilla
libdist-zilla-plugins-cjm-perl (6.000-1)
collection of CJM's plugins for Dist::Zilla
libdist-zilla-role-bootstrap-perl (1.001004-1)
Shared logic for Dist::Zilla-related bootstrap things
libdist-zilla-role-modulemetadata-perl (0.006-2)
role for plugins that use Module::Metadata
libdist-zilla-role-pluginbundle-pluginremover-perl (0.104-1.1)
Dist::Zilla plugin to add '-remove' functionality to a bundle
libdist-zilla-util-configdumper-perl (0.003009-1.1)
simplify extraction of plugin settings for Dist::Zilla plugin authors
libdist-zilla-util-test-kentnl-perl (1.005014-1.1)
Module to write tests for Dist::Zilla plugins
libdistlib-java (1.0-2)
Java library of statistical distribution functions
libdistlib-java-doc (1.0-2)
Java library of statistical distribution functions (documentation)
libdistorm3-3 (3.4.1-5)
powerful disassembler library for x86/AMD64 binary streams
libdistorm3-dev (3.4.1-5)
powerful disassembler library for x86/AMD64 binary streams (development files)
libdistro-info-perl (1.0+deb11u1)
information about distributions' releases (Perl module)
libdivide-dev (3.0-1)
compile-time library for optimizing integer division
libdivsufsort-dev (2.0.1-5)
libdivsufsort header files
libdivsufsort3 (2.0.1-5)
fast suffix array construction
libdjconsole-data (0.1.3-3)
Hercules DJ Console access library - data files
libdjconsole-dev (0.1.3-3+b11)
Hercules DJ Console access library - development headers
libdjconsole0 (0.1.3-3+b11)
Hercules DJ Console access library
libdjvulibre-dev (3.5.28-2)
Development files for the DjVu image format
libdjvulibre-text (3.5.28-2)
Linguistic support files for libdjvulibre
libdjvulibre21 (3.5.28-2)
Runtime support for the DjVu image format
libdkim-dev (1:1.0.21-4+b1)
cryptographically identify the sender of email
libdkim1d (1:1.0.21-4+b1)
cryptographically identify the sender of email
libdkim1d-dbg (1:1.0.21-4+b1)
DomainKeys Identified Mail (DKIM) library - debug symbols
libdleyna-connector-dbus-1.0-1 (0.3.0-2)
DBus connector module for the dLeyna services
libdleyna-core-1.0-5 (0.6.0-4)
Utility functions for higher level dLeyna components
libdleyna-core-1.0-dev (0.6.0-4)
Utility functions for higher level dLeyna components (development files)
libdlib-data (19.10-3.1)
C++ toolkit for machine learning and computer vision - models
libdlib-dev (19.10-3.1)
C++ toolkit for machine learning and computer vision - development
libdlib19 (19.10-3.1)
C++ toolkit for machine learning and computer vision - library
libdlm-dev (4.1.0-1)
Distributed Lock Manager library development
libdlm3 (4.1.0-1)
Distributed Lock Manager library
libdlmcontrol-dev (4.1.0-1)
Distributed Lock Manager control library development
libdlmcontrol3 (4.1.0-1)
Distributed Lock Manager control library
libdlpack-dev (0.0~git20200217.3ec0443-2)
Open In Memory Tensor Structure
libdlrestrictions-dev (0.15.32)
development files for the DLRestrictions library
libdlrestrictions1 (0.15.32)
library that implements library compatibility checks for dlopen()
libdlt-dev (2.18.6-1+deb11u1)
Diagnostic Log and Trace (DLT) library (development)
libdlt-examples (2.18.6-1+deb11u1)
Diagnostic Log and Trace (DLT) (documentation)
libdlt2 (2.18.6-1+deb11u1)
Diagnostic Log and Trace (DLT) library
libdmalloc-dev (5.5.2-14)
debug memory allocation library (development files and doc)
libdmalloc5 (5.5.2-14)
debug memory allocation library
libdmapsharing-3.0-2 (2.9.41-3)
DMAP client and server library - runtime
libdmapsharing-3.0-dev (2.9.41-3)
DMAP client and server library - development
libdmlc-dev (0.0~git20200912.bfad207-3)
Distributed Machine Learning Common Codebase (dev)
libdmlc0 (0.0~git20200912.bfad207-3)
Distributed Machine Learning Common Codebase (dev)
libdmr-dev (5.7.6.147-1)
Deepin movie player - widget library (development files)
libdmr0.1 (5.7.6.147-1)
Deepin movie player - widget library
libdmraid-dev (1.0.0.rc16-8+b1 [amd64], 1.0.0.rc16-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Device-Mapper Software RAID support tool - header files
libdmraid1.0.0.rc16 (1.0.0.rc16-8+b1 [amd64], 1.0.0.rc16-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Device-Mapper Software RAID support tool - shared library
libdmraid1.0.0.rc16-udeb (1.0.0.rc16-8+b1 [amd64], 1.0.0.rc16-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Device-Mapper Software RAID support tool - shared library (udeb)
libdmtx-dev (0.7.5-3+b1)
Data Matrix barcodes (development files and static libraries)
libdmtx0b (0.7.5-3+b1)
Data Matrix barcodes (runtime library)
libdmx-dev (1:1.1.4-2)
X11 Distributed Multihead extension library (development headers)
libdmx1 (1:1.1.4-2)
X11 Distributed Multihead extension library
libdnet (2.65+b2)
DECnet Libraries
libdnet-dev (2.65+b2)
DECnet development libraries & Headers
libdnf
本虛擬套件由這些套件填實: libdnf2
libdnf-dev (0.55.2-6)
libdnf - development files
libdnf-doc (0.55.2-6)
Documentation for the libdnf library (common documentation)
libdnf1 (0.55.2-6)
transitional package
libdnf2 (0.55.2-6)
libdnf - shared library
libdnf2-common (0.55.2-6)
libdnf - common files
libdnlib-cil-dev (2.1-3)
.NET module/assembly reader/writer library for Mono - devel package
libdnlib2.1-cil (2.1-3)
.NET module/assembly reader/writer library for Mono
libdnnl-dev (2.0+ds-2+b1)
oneAPI Deep Neural Network Library (oneDNN) (dev)
libdnnl2 (2.0+ds-2+b1)
oneAPI Deep Neural Network Library (oneDNN) (lib)
libdns-export1110 (1:9.11.19+dfsg-2.1)
Exported DNS Shared Library
libdns-export1110-udeb (1:9.11.19+dfsg-2.1)
Exported DNS library for debian-installer
libdns-zoneparse-perl (1.10-1.1)
Perl extension for parsing and manipulating DNS Zone Files
libdns1110 (1:9.11.19+dfsg-2.1)
DNS Shared Library used by BIND
libdnsjava-java (2.1.8-2)
Implementation of DNS in Java
libdnssec8 (3.0.5-1+deb11u1)
DNSSEC shared library from Knot
libdnssecjava-java (1.1.3-3)
DNSSEC validating stub resolver for Java
libdnssecjava-java-doc (1.1.3-3)
DNSSEC validating stub resolver for Java (documentation)
libdockapp-dev (1:0.7.3-1)
Window Maker Dock App support (development files)
libdockapp3 (1:0.7.3-1)
Window Maker Dock App support (shared library)
libdocopt-dev (0.6.2-2.1)
development files for docopt.cpp
libdocopt0 (0.6.2-2.1)
C++11 port of docopt
libdogleg-dev (0.15.4-1)
Powell's dog-leg nonlinear least squares solver for sparse matrices
libdogleg-doc (0.15.4-1)
Powell's dog-leg nonlinear least squares solver for sparse matrices
libdogleg2 (0.15.4-1)
Powell's dog-leg nonlinear least squares solver for sparse matrices
libdokujclient-java (3.9.1-1)
Client library for Dokuwiki's xmlrpc interface
libdolfin-dev (2019.2.0~git20201207.b495043-5)
Shared links and development files for DOLFIN
libdolfin-dev-common (2019.2.0~git20201207.b495043-5)
Common header files for DOLFIN
libdolfin2019.2 (2019.2.0~git20201207.b495043-5)
Shared libraries for DOLFIN
libdolfin64-2019.2 (2019.2.0~git20201207.b495043-5)
Shared libraries for DOLFIN (64-bit indexing)
libdolfin64-dev (2019.2.0~git20201207.b495043-5)
Shared links and dev files for DOLFIN (64-bit indexing)
libdolfinx-complex-dev (2019.2.0~git20210130.c14cb0a-5)
Shared links and header files for DOLFIN (complex numbers)
libdolfinx-complex2019.2 (2019.2.0~git20210130.c14cb0a-5)
Shared libraries for DOLFIN
libdolfinx-dev (2019.2.0~git20210130.c14cb0a-5)
Shared links and header files for DOLFIN
libdolfinx-real-dev (2019.2.0~git20210130.c14cb0a-5)
Shared links and header files for DOLFIN (real numbers)
libdolfinx-real2019.2 (2019.2.0~git20210130.c14cb0a-5)
Shared libraries for DOLFIN
libdolphinvcs-dev (4:20.12.2-1)
library to show version control in Dolphin - development files
libdolphinvcs5 (4:20.12.2-1)
library to show version control in Dolphin
libdom4j-java (2.1.3-1)
Flexible XML framework for Java
libdom4j-java-doc (2.1.3-1)
Flexible XML framework for Java (documentation)
libdomain-name-ocaml (0.3.0-2+b3)
RFC 1035 Internet domain names (runtime)
libdomain-name-ocaml-7x7l4
本虛擬套件由這些套件填實: libdomain-name-ocaml
libdomain-name-ocaml-dev (0.3.0-2+b3)
RFC 1035 Internet domain names (dev)
libdomain-name-ocaml-dev-7x7l4
本虛擬套件由這些套件填實: libdomain-name-ocaml-dev
libdomain-name-ocaml-dev-ib9v6
本虛擬套件由這些套件填實: libdomain-name-ocaml-dev
libdomain-name-ocaml-dev-j49t4
本虛擬套件由這些套件填實: libdomain-name-ocaml-dev
libdomain-name-ocaml-dev-s65g2
本虛擬套件由這些套件填實: libdomain-name-ocaml-dev
libdomain-name-ocaml-ib9v6
本虛擬套件由這些套件填實: libdomain-name-ocaml
libdomain-name-ocaml-j49t4
本虛擬套件由這些套件填實: libdomain-name-ocaml
libdomain-name-ocaml-s65g2
本虛擬套件由這些套件填實: libdomain-name-ocaml
libdomain-publicsuffix-perl (0.19-1)
module for parsing a domain to determine the public suffix
libdontdie0 (1.2.0-2+b1)
library that sets the TCP keep-alive flag when applications call socket(2)
libdoodle-dev (0.7.0-10)
Desktop Search Engine (development)
libdoodle1 (0.7.0-10)
Desktop Search Engine (library)
libdose3-ocaml-dev (6.0.1-2)
OCaml libraries for package dependencies (development files)
libdose3-ocaml-dev-38wi5
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdose3-ocaml-dev-56al6
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdose3-ocaml-dev-5odr8
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdose3-ocaml-dev-io7c2
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdose3-ocaml-dev-lrsq6
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdose3-ocaml-dev-nr7q7
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdose3-ocaml-dev-y0r61
本虛擬套件由這些套件填實: libdose3-ocaml-dev
libdotconf-dev (1.3-0.3)
Configuration file parser library - development files
libdotconf0 (1.3-0.3)
Configuration file parser library - runtime files
libdotconf0-dbg (1.3-0.3)
Configuration file parser library - debugging symbols
libdouble-conversion-dev (3.1.5-6.1)
routines to convert IEEE floats to and from strings (development files)
libdouble-conversion3 (3.1.5-6.1)
routines to convert IEEE floats to and from strings
libdoxia-core-java (1.7-2)
Doxia content generation framework (core)
libdoxia-java (1.7-2)
Doxia content generation framework (modules)
libdoxia-java-doc (1.7-2)
Documentation for libdoxia-java
libdoxia-sitetools-java (1.7.5-2)
Extension package of the content generation framework Doxia
libdoxia-sitetools-java-doc (1.7.5-2)
Documentation for Doxia Sitetools
libdoxygen-filter-perl (1.72-2.1)
Methods for pre-filtering Perl code for Doxygen
libdpdk-dev (20.11.10-1~deb11u1)
Data Plane Development Kit (basic development files)
libdpkg-dev (1.20.13)
Debian package management static library
libdpkg-parse-perl (0.03-2)
module to parse various dpkg files into Perl Objects
libdpkg-perl (1.20.13)
Dpkg perl modules
libdr-sundown-perl (0.02-1+b11 [s390x], 0.02-1+b9 [amd64, armel, armhf, i386, mipsel], 0.02-1+b8 [arm64], 0.02-1+b7 [mips64el, ppc64el])
perl bindings for sundown
libdraco-dev (1.4.1+dfsg-3)
Library for compressing 3D geometric meshes and point clouds (headers)
libdraco1 (1.4.1+dfsg-3)
Library for compressing 3D geometric meshes and point clouds
libdrilbo-common (0.2.11-2.1)
Provides localization data for libdrilbo
libdrilbo-dev (0.2.11-2.1)
Imaging support library for the fizmo Z-Machine interpreter
libdrm-amdgpu1 (2.4.104-1)
Userspace interface to amdgpu-specific kernel DRM services -- runtime
libdrm-common (2.4.104-1)
Userspace interface to kernel DRM services -- common files
libdrm-dev (2.4.104-1)
Userspace interface to kernel DRM services -- development files
libdrm-etnaviv1 (2.4.104-1)
Userspace interface to etnaviv-specific kernel DRM services -- runtime
libdrm-exynos1 (2.4.104-1)
Userspace interface to exynos-specific kernel DRM services -- runtime
libdrm-freedreno1 (2.4.104-1)
Userspace interface to msm/kgsl kernel DRM services -- runtime
libdrm-intel1 (2.4.104-1)
Userspace interface to intel-specific kernel DRM services -- runtime
libdrm-nouveau2 (2.4.104-1)
Userspace interface to nouveau-specific kernel DRM services -- runtime
libdrm-omap1 (2.4.104-1)
Userspace interface to omap-specific kernel DRM services -- runtime
libdrm-radeon1 (2.4.104-1)
Userspace interface to radeon-specific kernel DRM services -- runtime
libdrm-tegra0 (2.4.104-1)
Userspace interface to tegra-specific kernel DRM services -- runtime
libdrm-tests (2.4.104-1)
Testing tools from the libdrm project
libdrm2 (2.4.104-1)
Userspace interface to kernel DRM services -- runtime
libdrm2-udeb (2.4.104-1)
Userspace interface to kernel DRM services -- runtime
libdrmaa1.0-java (8.1.9+dfsg-9.1)
Distributed resource management Application API library - Java bindings
libdrmaa1.0-java-doc (8.1.9+dfsg-9.1)
Distributed resource management Application API library - Java bindings docs
libdrmaa1.0-ruby (8.1.9+dfsg-9.1)
Distributed resource management Application API library - Ruby bindings
libdropwizard-metrics-java (3.2.6-1)
capture JVM- and application-level metrics for Java applications
libdrpm-dev (0.5.0-2+b2)
library for handling deltarpm packages - development files
libdrpm0 (0.5.0-2+b2)
library for handling deltarpm packages
libdrumstick-alsa1 (1.1.3-1+b2)
Qt5/C++ wrapper for ALSA Sequencer (shared library)
libdrumstick-dev (1.1.3-1+b2)
Qt5/C++ wrapper for ALSA Sequencer (development files)
libdrumstick-file1 (1.1.3-1+b2)
File format support library for drumstick (shared library)
libdrumstick-rt-backends (1.1.3-1+b2)
Realtime MIDI I/O backends
libdrumstick-rt1 (1.1.3-1+b2)
Realtime MIDI I/O with pluggable backends (shared library)
libdsdcc-dev (1.9.0-1)
Digital Speech Decoder (DSD) (headers)
libdsdcc1 (1.9.0-1)
Digital Speech Decoder (DSD)
libdsdp-5.8gf (5.8-9.4)
Software for Semidefinite Programming
libdsdp-dev (5.8-9.4)
Software for Semidefinite Programming
libdsfmt-11213-1 (2.2.3+dfsg-5)
dSFMT-11213 pseudorandom number generator (shared library)
libdsfmt-1279-1 (2.2.3+dfsg-5)
dSFMT-1279 pseudorandom number generator (shared library)
libdsfmt-132049-1 (2.2.3+dfsg-5)
dSFMT-132049 pseudorandom number generator (shared library)
libdsfmt-19937-1 (2.2.3+dfsg-5)
dSFMT-19937 pseudorandom number generator (shared library)
libdsfmt-216091-1 (2.2.3+dfsg-5)
dSFMT-216091 pseudorandom number generator (shared library)
libdsfmt-2203-1 (2.2.3+dfsg-5)
dSFMT-2203 pseudorandom number generator (shared library)
libdsfmt-4253-1 (2.2.3+dfsg-5)
dSFMT-4253 pseudorandom number generator (shared library)
libdsfmt-44497-1 (2.2.3+dfsg-5)
dSFMT-44497 pseudorandom number generator (shared library)
libdsfmt-521-1 (2.2.3+dfsg-5)
dSFMT-521 pseudorandom number generator (shared library)
libdsfmt-86243-1 (2.2.3+dfsg-5)
dSFMT-86243 pseudorandom number generator (shared library)
libdsfmt-dev (2.2.3+dfsg-5)
dSFMT pseudorandom number generator (development files)
libdshconfig-dev
本虛擬套件由這些套件填實: libdshconfig1-dev
libdshconfig1 (0.20.13-1.2)
configuration file parser library for dsh - runtime
libdshconfig1-dev (0.20.13-1.2)
configuration file parser library for dsh - development
libdsiutils-java (2.6.15-1)
Java library of statistical and text data handling tools
libdsk-utils (1.5.9+dfsg-1+b1)
library for accessing discs and disc image file (utilities)
libdsk4 (1.5.9+dfsg-1+b1)
library for accessing discs and disc image file
libdsk4-dev (1.5.9+dfsg-1+b1)
library for accessing discs and disc image file (development headers)
libdsocksd0 (1.4.2+dfsg-7+b2)
SOCKS library preloaded in user applications
libdssi-ocaml (0.1.2-1+b1)
OCaml interface to DSSI plugins -- runtime files
libdssi-ocaml-13fn3
本虛擬套件由這些套件填實: libdssi-ocaml
libdssi-ocaml-dev (0.1.2-1+b1)
OCaml interface to DSSI plugins -- developpement files
libdssi-ocaml-dev-13fn3
本虛擬套件由這些套件填實: libdssi-ocaml-dev
libdssi-ocaml-dev-dr8f8
本虛擬套件由這些套件填實: libdssi-ocaml-dev
libdssi-ocaml-dev-gtcf4
本虛擬套件由這些套件填實: libdssi-ocaml-dev
libdssi-ocaml-dev-lm1x8
本虛擬套件由這些套件填實: libdssi-ocaml-dev
libdssi-ocaml-dr8f8
本虛擬套件由這些套件填實: libdssi-ocaml
libdssi-ocaml-gtcf4
本虛擬套件由這些套件填實: libdssi-ocaml
libdssi-ocaml-lm1x8
本虛擬套件由這些套件填實: libdssi-ocaml
libdssialsacompat-dev (1.0.8a-1+b2)
DSSI ALSA compatibility library (development files)
libdssialsacompat0 (1.0.8a-1+b2)
DSSI ALSA compatibility library for non-Linux platforms
libdtd-parser-java (1.2-1)
Java library for parsing XML DTDs
libdtdinst-java (20181222+dfsg2-4)
XML DTD to XML instance format converter - Java library
libdtkcore-dev (5.2.2.5-3)
Deepin Tool Kit Core library (development files)
libdtkcore5 (5.2.2.5-3)
Deepin Tool Kit Core library
libdtkcore5-bin (5.2.2.5-3)
Deepin Tool Kit Core library (utilities)
libdtkgui-dev (5.2.2.4-2)
Deepin Tool Kit Gui library (development files)
libdtkgui5 (5.2.2.4-2)
Deepin Tool Kit Gui library
libdtkgui5-bin (5.2.2.4-2)
Deepin Tool Kit Gui utilities
libdtkwidget-dev (5.2.2.10-1)
Deepin Tool Kit Widget library (development files)
libdtkwidget5 (5.2.2.10-1)
Deepin Tool Kit Widget library
libdtkwidget5-bin (5.2.2.10-1)
Deepin Tool Kit Widget library utilities
libdtkwm-dev (2.0.12-3)
Deepin graphical user interface library (development files)
libdtkwm5 (2.0.12-3)
Deepin graphical user interface library
libdtl-dev (1.19-2)
diff template library written in C++
libdtools-ocaml-dev (0.4.2-1+b2)
library for writing daemons in OCaml
libdtools-ocaml-dev-8rab9
本虛擬套件由這些套件填實: libdtools-ocaml-dev
libdtools-ocaml-dev-der00
本虛擬套件由這些套件填實: libdtools-ocaml-dev
libdtools-ocaml-dev-iosl0
本虛擬套件由這些套件填實: libdtools-ocaml-dev
libdtools-ocaml-dev-ywfz0
本虛擬套件由這些套件填實: libdtools-ocaml-dev
libdublincore-record-perl (0.03-3.1)
Container for Dublin Core metadata elements
libdublintraceroute-dev (0.4.2-2+b1)
NAT-aware multipath tracerouting tool (dev)
libdublintraceroute0 (0.4.2-2+b1)
NAT-aware multipath tracerouting tool (library)
libdujour-version-check-clojure (0.2.3-1)
up-to-date version checking for Clojure
libduktape205 (2.5.0-2+deb11u1)
embeddable Javascript engine, library
libdumb1 (1:0.9.3-6+b3)
dynamic universal music bibliotheque
libdumb1-dev (1:0.9.3-6+b3)
development files for libdumb1
libdumbnet-dev (1.12-9)
dumb, portable networking library -- development files
libdumbnet1 (1.12-9)
dumb, portable networking library -- shared library
libdumbster-java (1.6+debian-4)
very simple fake SMTP Server for testing purposes
libdune-common-2.7.1
本虛擬套件由這些套件填實: libdune-common-dev
libdune-common-dev (2.7.1-2)
toolbox for solving PDEs -- basic classes (development files)
libdune-common-doc (2.7.1-2)
toolbox for solving PDEs -- basic classes (documentation)
libdune-functions-dev (2.7.1-2)
toolbox for solving PDEs -- interface for functions (development files)
libdune-functions-doc (2.7.1-2)
toolbox for solving PDEs -- interface for functions (documentation)
libdune-geometry-2.7.1
本虛擬套件由這些套件填實: libdune-geometry-dev
libdune-geometry-dev (2.7.1-2)
toolbox for solving PDEs -- geometry classes (development files)
libdune-geometry-doc (2.7.1-2)
toolbox for solving PDEs -- geometry classes (documentation)
libdune-grid-2.7.1
本虛擬套件由這些套件填實: libdune-grid-dev
libdune-grid-dev (2.7.1-2)
toolbox for solving PDEs -- grid interface (development files)
libdune-grid-doc (2.7.1-2)
toolbox for solving PDEs -- grid interface (documentation)
libdune-grid-glue-2.7.0
本虛擬套件由這些套件填實: libdune-grid-glue-dev
libdune-grid-glue-dev (2.7.0-3+b1)
toolbox for solving PDEs -- compute couplings between grids (development files)
libdune-grid-glue-doc (2.7.0-3)
toolbox for solving PDEs -- compute couplings between grids (documentation)
libdune-istl-dev (2.7.1-2)
toolbox for solving PDEs -- iterative solvers (development files)
libdune-istl-doc (2.7.1-2)
toolbox for solving PDEs -- iterative solvers (documentation)
libdune-localfunctions-dev (2.7.1-2)
toolbox for solving PDEs -- local basis (development files)
libdune-localfunctions-doc (2.7.1-2)
toolbox for solving PDEs -- local basis (documentation)
libdune-ocaml-dev (2.7.1-2)
composable build system for OCaml projects (libraries)
libdune-typetree-dev (2.7.1-2)
toolbox for solving PDEs -- typed tree template library (development files)
libdune-typetree-doc (2.7.1-2)
toolbox for solving PDEs -- typed tree template library (documentation)
libdune-uggrid-2.7.1
本虛擬套件由這些套件填實: libdune-uggrid-dev
libdune-uggrid-dev (2.7.1-2)
software framework for finite element methods (development files)
libduo-dev (1.11.3-1)
Duo Security development libraries and header files
libduo3 (1.11.3-1)
Duo Security library
libduppy-ocaml (0.8.0-1+b4 [amd64], 0.8.0-1+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Advanced scheduler for OCaml (Runtime library)
libduppy-ocaml-dev (0.8.0-1+b4 [amd64], 0.8.0-1+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Advanced scheduler for OCaml (Development package)
libduppy-ocaml-dev-iq332
本虛擬套件由這些套件填實: libduppy-ocaml-dev
libduppy-ocaml-dev-o4p25
本虛擬套件由這些套件填實: libduppy-ocaml-dev
libduppy-ocaml-dev-w5ks3
本虛擬套件由這些套件填實: libduppy-ocaml-dev
libduppy-ocaml-dev-z91n1
本虛擬套件由這些套件填實: libduppy-ocaml-dev
libduppy-ocaml-iq332
本虛擬套件由這些套件填實: libduppy-ocaml
libduppy-ocaml-o4p25
本虛擬套件由這些套件填實: libduppy-ocaml
libduppy-ocaml-w5ks3
本虛擬套件由這些套件填實: libduppy-ocaml
libduppy-ocaml-z91n1
本虛擬套件由這些套件填實: libduppy-ocaml
libdv-bin (1.0.0-13)
software library for DV format digital video (sample apps)
libdv-dev
本虛擬套件由這些套件填實: libdv4-dev
libdv4 (1.0.0-13)
software library for DV format digital video (runtime lib)
libdv4-dev (1.0.0-13)
software library for DV format digital video (devel files)
libdvbcsa-dev (1.1.0-2+b12)
free implementation of the DVB/CSA (development files)
libdvbcsa1 (1.1.0-2+b12)
free implementation of the DVB/CSA
libdvbpsi-dev (1.3.3-1)
development files for libdvbpsi
libdvbpsi10 (1.3.3-1)
library for MPEG TS and DVB PSI tables decoding and generating
libdvbv5-0 (1.20.0-2)
Libraries to control, scan and zap on Digital TV channels
libdvbv5-dev (1.20.0-2)
Development files for libdvbv5
libdvbv5-doc (1.20.0-2)
Doxygen generated documentation for libdvbv5
libdvd-pkg (1.4.2-1-1) [contrib]
DVD-Video playing library - installer
libdvdcss-dev
本虛擬套件由這些套件填實: libdvd-pkg
libdvdcss2
本虛擬套件由這些套件填實: libdvd-pkg
libdvdnav-dev (6.1.0-1+b1)
DVD navigation library (development)
libdvdnav-doc (6.1.0-1)
DVD navigation library (documentation)
libdvdnav4 (6.1.0-1+b1)
DVD navigation library
libdvdread-dev (6.1.1-2)
library for reading DVDs (development)
libdvdread8 (6.1.1-2)
library for reading DVDs
libdw-dev (0.183-1)
libdw1 development libraries and header files
libdw1 (0.183-1)
library that provides access to the DWARF debug information
libdwarf++0 (0.3-2.1)
C++11 DWARF parser
libdwarf-dev (20201201-1)
library to consume and produce DWARF debug information
libdwarf1 (20201201-1)
library to consume and produce DWARF debug information (runtime)
libdx4 (1:4.4.4-13)
OpenDX (IBM Visualization Data Explorer) - shared libraries
libdx4-dev (1:4.4.4-13)
OpenDX (IBM Visualization Data Explorer) - development files
libdxflib-dev (3.17.0-3+b1)
Development files for the dxflib library
libdxflib3 (3.17.0-3+b1)
Library for reading and writing DXF files
libdynalang-java (0.4-3.1)
JVM Dynamic Languages Metaobject Protocol
libdynaloader-functions-perl (0.003-1.1)
deconstructed dynamic C library loading
libdynamic-reconfigure-config-init-mutex-dev (1.7.1-3)
Robot OS dynamic-reconfigure library - development files
libdynamic-reconfigure-config-init-mutex0d (1.7.1-3)
Robot OS dynamic-reconfigure library
libdynamicedt3d-dev (1.9.5+dfsg-1)
dynamicEDT3D library development files
libdynamicedt3d1.9 (1.9.5+dfsg-1)
Incrementally updatable Euclidean distance transform library
libdynamite-dev (0.1.1-2+b2)
PKWARE Data Compression decompressor library - development files
libdynamite0 (0.1.1-2+b2)
PKWARE Data Compression decompressor library
libdynapath-clojure (1.0.0-3)
Clojure protocol and util functions for class loaders
libe-book-0.1-1 (0.1.3-2)
library for reading and converting various e-book formats
libe-book-dev (0.1.3-2)
library for reading and converting various e-book formats
libeac-dev (1.1.0+ds-1+b1)
cryptographic library for EAC version 2 (development)
libeac3 (1.1.0+ds-1+b1)
cryptographic library for EAC version 2 (shared library)
libeantic
本虛擬套件由這些套件填實: libeantic0
libeantic-dev (0.1.8+ds-1)
real Embedded Algebraic Number Theory In C/C++ - libdev
libeantic0 (0.1.8+ds-1)
real Embedded Algebraic Number Theory In C/C++ - libs
libear (3.0.8-1)
generate compilation database for Clang tooling (wrapper library)
libeasy-format-ocaml (1.3.2-1+b1)
easy(ier) pretty printing for OCaml - plugins
libeasy-format-ocaml-1ajv1
本虛擬套件由這些套件填實: libeasy-format-ocaml
libeasy-format-ocaml-1mv70
本虛擬套件由這些套件填實: libeasy-format-ocaml
libeasy-format-ocaml-dev (1.3.2-1+b1)
easy(ier) pretty printing for OCaml - development files
libeasy-format-ocaml-dev-1ajv1
本虛擬套件由這些套件填實: libeasy-format-ocaml-dev
libeasy-format-ocaml-dev-1mv70
本虛擬套件由這些套件填實: libeasy-format-ocaml-dev
libeasy-format-ocaml-dev-pv8p0
本虛擬套件由這些套件填實: libeasy-format-ocaml-dev
libeasy-format-ocaml-dev-v12a8
本虛擬套件由這些套件填實: libeasy-format-ocaml-dev
libeasy-format-ocaml-pv8p0
本虛擬套件由這些套件填實: libeasy-format-ocaml
libeasy-format-ocaml-v12a8
本虛擬套件由這些套件填實: libeasy-format-ocaml
libeasybind-java (1.0.3-2)
helper library for custom JavaFX bindings using lamdas
libeasyconf-java (0.9.5-6)
library to access configuration of software components
libeasyconf-java-doc (0.9.5-6)
library to access configuration of software components - Javadoc
libeasyloggingpp-dev (9.97.0+dfsg-1)
single-header logging library for C++ applications
libeasymock-java (4.2-1)
Java library to generate Mock Objects for given interfaces
libeasymock-java-doc (4.2-1)
Java library to generate Mock Objects for given interfaces (documentation)
libeatmydata1 (105-9)
Library and utilities to disable fsync and friends - shared library
libeb-dev
本虛擬套件由這些套件填實: libeb16-dev
libeb16 (4.4.3-13)
C library for accessing electronic books (runtime files)
libeb16-dev (4.4.3-13)
C library for accessing electronic books (development files)
libebackend-1.2-10 (3.38.3-1+deb11u2)
Utility library for evolution data servers
libebackend1.2-dev (3.38.3-1+deb11u2)
Utility library for evolution data servers (development files)
libebml-dev (1.4.2-1)
access library for the EBML format (development files)
libebml5 (1.4.2-1)
access library for the EBML format (shared library)
libebook-1.2-20 (3.38.3-1+deb11u2)
Client library for evolution address books
libebook-contacts-1.2-3 (3.38.3-1+deb11u2)
Client library for evolution contacts books
libebook-contacts1.2-dev (3.38.3-1+deb11u2)
Client library for evolution contacts books (development files)
libebook-tools-perl (0.5.4-1.3+b1 [amd64], 0.5.4-1.3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
E-Book manipulation tool and Perl libraries
libebook1.2-dev (3.38.3-1+deb11u2)
Client library for evolution address books (development files)
libebur128-1 (1.2.5-1)
implementation of the EBU R128 loudness standard
libebur128-dev (1.2.5-1)
implementation of the EBU R128 loudness standard (development files)
libec-dev (20190909-3+b1)
Library for modular symbols and elliptic curves over Q (development)
libec5 (20190909-3+b1)
Library for modular symbols and elliptic curves over Q
libecal-2.0-1 (3.38.3-1+deb11u2)
Client library for evolution calendars
libecal2.0-dev (3.38.3-1+deb11u2)
Client library for evolution calendars (development files)
libecap3 (1.0.1-3.2+b1)
eCAP library
libecap3-dev (1.0.1-3.2+b1)
eCAP development libraries
libecasoundc-dev (2.9.3-2)
multitrack-capable audio recorder and effect processor (C dev library)
libecasoundc1v5 (2.9.3-2)
multitrack-capable audio recorder and effect processor (C library)
libeccodes-data (2.20.0-1)
GRIB and BUFR enecoding/encoding software library - data
libeccodes-dev (2.20.0-1)
GRIB and BUFR decoding/encoding software library (development)
libeccodes-doc (2.20.0-1)
GRIB decoding/encoding software (documentation)
libeccodes-tools (2.20.0-1)
GRIB decoding/encoding software (utilities)
libeccodes0 (2.20.0-1)
GRIB and BUFR enecoding/encoding software library
libecholib-dev (19.09.1-3)
EchoLib library for SvxLink (development files)
libecholib1.3 (19.09.1-3)
EchoLib library for SvxLink
libecj-java (3.16.0-1)
Eclipse Java compiler (library)
libeckit-dev (1.15.4-1)
C++ toolkit for ECMWF tools and applications
libeckit-utils (1.15.4-1)
C++ toolkit for ECMWF tools and applications - development files
libeckit0d (1.15.4-1)
C++ toolkit for ECMWF tools and applications
libeclipse-cdt-java (9.9.0-5)
C/C++ Development Tools for Eclipse (Java libraries)
libeclipse-collections-java (10.4.0-3)
Eclipse Collections - comprehensive collections library for Java
libeclipse-compare-core-java (3.6.1000+eclipse4.18-1)
Eclipse Core Compare Support
libeclipse-compare-java (3.7.1200+eclipse4.18-1)
Eclipse Compare Support
libeclipse-core-commands-java (3.9.800+eclipse4.18-2)
Eclipse Commands
libeclipse-core-contenttype-java (3.7.800+eclipse4.18-1)
Eclipse Content Mechanism
libeclipse-core-databinding-beans-java (1.7.100+eclipse4.18-2)
Eclipse JFace Data Binding for JavaBeans
libeclipse-core-databinding-java (1.10.100+eclipse4.18-2)
Eclipse JFace Data Binding
libeclipse-core-databinding-observable-java (1.10.0+eclipse4.18-2)
Eclipse JFace Data Binding Observables
libeclipse-core-databinding-property-java (1.8.100+eclipse4.18-2)
Eclipse JFace Data Binding Properties
libeclipse-core-expressions-java (3.7.0+eclipse4.18-1)
Eclipse Expression Language
libeclipse-core-externaltools-java (1.1.800+eclipse4.18-1)
Eclipse External Tools Headless Support
libeclipse-core-filebuffers-java (3.6.1100+eclipse4.18-1)
Eclipse File Buffers
libeclipse-core-filesystem-java (1.7.700+eclipse4.18-1)
Eclipse Core File Systems
libeclipse-core-jobs-java (3.10.1000+eclipse4.18-1)
Eclipse Core Jobs
libeclipse-core-net-java (1.3.1000+eclipse4.18-1)
Eclipse Internet Connection Management
libeclipse-core-resources-java (3.13.900+eclipse4.18-1)
Eclipse Core Resource Management
libeclipse-core-runtime-java (3.20.0+eclipse4.18-1)
Eclipse Core Runtime
libeclipse-core-variables-java (3.4.800+eclipse4.18-1)
Eclipse Core Variables
libeclipse-debug-core-java (3.17.0+eclipse4.18-1)
Eclipse Debug Core
libeclipse-debug-ui-java (3.14.700+eclipse4.18-1)
Eclipse Debug UI
libeclipse-e4-core-commands-java (0.13.0+eclipse4.18-2)
Eclipse e4 core commands
libeclipse-e4-core-contexts-java (1.8.400+eclipse4.18-1)
Eclipse Contexts
libeclipse-e4-core-di-annotations-java (1.6.600+eclipse4.18-1)
Eclipse Dependency Injection Annotations
libeclipse-e4-core-di-extensions-java (0.16.0+eclipse4.18-1)
Eclipse Dependency Injection Extensions
libeclipse-e4-core-di-extensions-supplier-java (0.15.700+eclipse4.18-1)
Eclipse Dependency Injection Extensions Supplier
libeclipse-e4-core-di-java (1.7.600+eclipse4.18-1)
Eclipse Dependency Injection
libeclipse-e4-core-services-java (2.2.500+eclipse4.18-1)
Eclipse Application Services
libeclipse-e4-emf-xpath-java (0.2.800+eclipse4.18-2)
Eclipse EMF XPath
libeclipse-e4-ui-bindings-java (0.13.0+eclipse4.18-2)
Eclipse Bindings Support
libeclipse-e4-ui-css-core-java (0.13.0+eclipse4.18-2)
Eclipse CSS Core Support
libeclipse-e4-ui-css-swt-java (0.14.0+eclipse4.18-2)
Eclipse CSS SWT Support
libeclipse-e4-ui-css-swt-theme-java (0.13.0+eclipse4.18-2)
Eclipse CSS SWT Theme Support
libeclipse-e4-ui-di-java (1.2.900+eclipse4.18-2)
Eclipse UI Dependency Injection
libeclipse-e4-ui-dialogs-java (1.2.100+eclipse4.18-2)
Eclipse e4 dialogs
libeclipse-e4-ui-ide-java (3.15.100+eclipse4.18-2)
Eclipse e4 IDE UI
libeclipse-e4-ui-model-workbench-java (2.1.900+eclipse4.18-2)
Eclipse Workbench Model
libeclipse-e4-ui-progress-java (0.3.0+eclipse4.18-2)
Eclipse e4 Progress View
libeclipse-e4-ui-services-java (1.4.0+eclipse4.18-2)
Eclipse UI Application Services
libeclipse-e4-ui-swt-gtk-java (1.1.0+eclipse4.18-2)
Eclipse UI GTK Enhancements
libeclipse-e4-ui-widgets-java (1.2.800+eclipse4.18-2)
Eclipse UI Custom widgets
libeclipse-e4-ui-workbench-addons-swt-java (1.4.0+eclipse4.18-2)
Eclipse e4 Workbench Add-ons
libeclipse-e4-ui-workbench-java (1.12.0+eclipse4.18-2)
Eclipse e4 Workbench
libeclipse-e4-ui-workbench-renderers-swt-java (0.15.0+eclipse4.18-2)
Eclipse e4 Workbench SWT Renderer
libeclipse-e4-ui-workbench-swt-java (0.15.0+eclipse4.18-2)
Eclipse e4 Workbench SWT
libeclipse-e4-ui-workbench3-java (0.15.500+eclipse4.18-2)
Bundle for Workbench APIs available in e4
libeclipse-emf-common-java (2.21.0+eclipse2.24.0+dfsg-1)
Eclipse Modeling Framework (EMF) Common
libeclipse-emf-ecore-change-java (2.14.0+eclipse2.24.0+dfsg-1)
Eclipse Modeling Framework (EMF) Change Model
libeclipse-emf-ecore-java (2.23.0+eclipse2.24.0+dfsg-1)
Eclipse Modeling Framework (EMF) Ecore
libeclipse-emf-ecore-xmi-java (2.16.0+eclipse2.24.0+dfsg-1)
Eclipse Modeling Framework (EMF) XML/XMI Persistence
libeclipse-emf-edit-java (2.16.0+eclipse2.24.0+dfsg-1)
Eclipse Modeling Framework (EMF) Edit
libeclipse-help-java (3.8.800+eclipse4.18-1)
Eclipse Help System Core
libeclipse-jdt-annotation-java (2.2.600+eclipse4.18-1)
Eclipse JDT Annotations for Enhanced Null Analysis
libeclipse-jdt-apt-core-java (3.6.800+eclipse4.18-1)
Eclipse Java Annotation Processing Core
libeclipse-jdt-apt-pluggable-core-java (1.2.500+eclipse4.18-1)
Eclipse Java Compiler Apt IDE
libeclipse-jdt-astview-java (1.4.300+eclipse4.15-1)
Eclipse AST View Plug-in
libeclipse-jdt-compiler-apt-java (1.3.1200+eclipse4.18-1)
Eclipse Java Compiler Apt
libeclipse-jdt-compiler-tool-java (1.2.1100+eclipse4.18-1)
Eclipse Java Compiler Tool Support
libeclipse-jdt-core-java (3.24.0+eclipse4.18-1)
Eclipse Java Development Tools Core
libeclipse-jdt-core-manipulation-java (1.13.100+eclipse4.15-1)
Eclipse Java Code Manipulation Functionality
libeclipse-jdt-debug-java (3.15.0+eclipse4.15-2)
Eclipse JDI Debug Model
libeclipse-jdt-debug-ui-java (3.10.600+eclipse4.15-2)
Eclipse JDI Debug UI
libeclipse-jdt-junit-core-java (3.10.600+eclipse4.15-1)
Eclipse Java Development Tools JUnit core support
libeclipse-jdt-junit-runtime-java (3.5.100+eclipse4.15-1)
Eclipse Java Development Tools JUnit Runtime Support
libeclipse-jdt-junit4-runtime-java (1.1.1200+eclipse4.15-1)
Eclipse Java Development Tools JUnit4 Runtime Support
libeclipse-jdt-launching-java (3.17.0+eclipse4.15-2)
Eclipse Java Development Tools Launching Support
libeclipse-jdt-ui-java (3.21.0+eclipse4.15-1)
Eclipse Java Development Tools UI
libeclipse-jem-util-java (2.1.201+eclipse3.18-5)
Eclipse Web Tools Platform - Java EMF Model Utilities
libeclipse-jface-databinding-java (1.12.100+eclipse4.18-2)
Eclipse JFace Data Binding for SWT and JFace
libeclipse-jface-java (3.22.0+eclipse4.18-2)
Eclipse JFace
libeclipse-jface-notifications-java (0.2.100+eclipse4.18-2)
Eclipse JFace Notification API
libeclipse-jface-text-java (3.16.500+eclipse4.18-1)
Eclipse JFace Text
libeclipse-jsch-core-java (1.3.900+eclipse4.18-1)
Eclipse JSch Core
libeclipse-jsch-ui-java (1.3.1100+eclipse4.18-1)
Eclipse JSch UI
libeclipse-linuxtools-java (8.1.0+dfsg.1-1)
framework for Eclipse CDT profiling tools (Java libraries)
libeclipse-ltk-core-refactoring-java (3.10.400+eclipse4.15-1)
Eclipse Refactoring Core
libeclipse-ltk-ui-refactoring-java (3.10.200+eclipse4.15-1)
Eclipse Refactoring UI
libeclipse-nebula-widgets-nattable-core-java (2.0.0+dfsg-1)
Nebula NatTable - high performance SWT data grid
libeclipse-osgi-compatibility-state-java (1.2.200+eclipse4.18-1)
Equinox State and Resolver Compatibility Fragment
libeclipse-osgi-java (3.16.100+eclipse4.18-1)
Eclipse OSGi System Bundle
libeclipse-osgi-services-java (3.9.0+eclipse4.18-1)
Eclipse OSGi Service Interfaces and Classes
libeclipse-osgi-util-java (3.5.300+eclipse4.18-1)
Eclipse OSGi Utility Classes
libeclipse-remote-core-java (2.1.0+eclipse2.1.0-2)
Eclipse Remote Services API
libeclipse-remote-ui-java (2.0.0+eclipse2.1.0-2)
Eclipse Remote Services UI API
libeclipse-search-java (3.12.100+eclipse4.18-1)
Eclipse Search Support
libeclipse-sisu-maven-plugin-java (0.3.4-2)
Manage Sisu components and applications
libeclipse-swtchart-java (0.13.0-4)
Eclipse SWTChart creates different types of charts
libeclipse-team-core-java (3.8.1100+eclipse4.18-1)
Eclipse Team Support Core
libeclipse-team-genericeditor-diff-extension-java (1.0.600+eclipse4.18-1)
Eclipse Diff Extension for Generic Editor
libeclipse-team-ui-java (3.8.1100+eclipse4.18-1)
Eclipse Team Support UI
libeclipse-text-java (3.10.400+eclipse4.18-1)
Eclipse Text
libeclipse-ui-browser-java (3.6.1000+eclipse4.18-2)
Eclipse Browser Support
libeclipse-ui-console-java (3.10.0+eclipse4.18-1)
Eclipse Console
libeclipse-ui-editors-java (3.13.400+eclipse4.18-1)
Eclipse Default Text Editor
libeclipse-ui-externaltools-java (3.4.900+eclipse4.18-1)
Eclipse External Tools
libeclipse-ui-forms-java (3.11.0+eclipse4.18-2)
Eclipse UI forms
libeclipse-ui-genericeditor-java (1.1.900+eclipse4.18-1)
EEclipse Generic and Extensible Text Editor
libeclipse-ui-ide-application-java (1.3.900+eclipse4.18-2)
Eclipse IDE UI Application
libeclipse-ui-ide-java (3.18.0+eclipse4.18-2)
Eclipse IDE UI
libeclipse-ui-java (3.118.100+eclipse4.18-2)
Eclipse UI
libeclipse-ui-monitoring-java (1.1.900+eclipse4.18-2)
Eclipse UI Responsiveness Monitoring
libeclipse-ui-navigator-java (3.9.500+eclipse4.18-2)
Eclipse Common Navigator View
libeclipse-ui-navigator-resources-java (3.7.500+eclipse4.18-2)
Eclipse Navigator Workbench Components
libeclipse-ui-themes-java (1.2.1200+eclipse4.18-2)
Eclipse SDK Themes
libeclipse-ui-views-java (3.10.500+eclipse4.18-2)
Eclipse Views
libeclipse-ui-views-properties-tabbed-java (3.9.0+eclipse4.18-2)
Eclipse Tabbed Properties View
libeclipse-ui-workbench-java (3.122.0+eclipse4.18-2)
Eclipse Workbench
libeclipse-ui-workbench-texteditor-java (3.15.100+eclipse4.18-1)
Eclipse Text Editor Framework
libeclipse-urischeme-java (1.1.200+eclipse4.18-2)
Eclipse URI Scheme Handling
libeclipse-wst-common-core-java (1.3.0+eclipse3.18-5)
Eclipse Web Tools Platform - WST Common Core Plug-in
libeclipse-wst-common-emf-java (1.2.600+eclipse3.18-5)
Eclipse Web Tools Platform - EMF Utilities
libeclipse-wst-common-emfworkbench-integration-java (1.2.101+eclipse3.18-5)
Eclipse Web Tools Platform - EMF Workbench Edit Plug-in
libeclipse-wst-common-environment-java (1.0.400+eclipse3.18-5)
Eclipse Web Tools Platform - Environment Plug-in
libeclipse-wst-common-frameworks-java (1.2.201+eclipse3.18-5)
Eclipse Web Tools Platform - Common Frameworks
libeclipse-wst-common-frameworks-ui-java (1.2.400+eclipse3.18-5)
Eclipse Web Tools Platform - WTP UI Plug-in
libeclipse-wst-common-project-facet-core-java (1.4.400+eclipse3.18-5)
Eclipse Web Tools Platform - Eclipse Faceted Project Framework
libeclipse-wst-common-ui-java (1.1.700+eclipse3.18-5)
Eclipse Web Tools Platform - Eclipse Base UI extensions
libeclipse-wst-common-uriresolver-java (1.2.300+eclipse3.18-5)
Eclipse Web Tools Platform - Common URI Resolver Framework
libeclipse-wst-sse-core-java (1.2.400+eclipse3.18-5)
Eclipse Web Tools Platform - Structured Source Model
libeclipse-wst-sse-ui-java (1.7.0+eclipse3.18-5)
Eclipse Web Tools Platform - Structured Source Editor
libeclipse-wst-validation-java (1.2.800+eclipse3.18-5)
Eclipse Web Tools Platform - Validation Framework
libeclipse-wst-validation-ui-java (1.2.600+eclipse3.18-5)
Eclipse Web Tools Platform - Validation Framework UI
libeclipse-wst-xml-core-java (1.2.200+eclipse3.18-5)
Eclipse Web Tools Platform - Structured Source XML Model
libeclipse-wst-xml-ui-java (1.2.400+eclipse3.18-5)
Eclipse Web Tools Platform - Eclipse XML Editors and Tools
libeclipse-wst-xsd-core-java (1.2.0+eclipse3.18-5)
Eclipse Web Tools Platform - XSD Core Plugin
libeclipse-xsd-java (2.17.0+eclipse2.22.0-2)
XML Schema Definition (XSD) for Eclipse
libeclipselink-java (2.6.9-3)
Eclipse Persistence Services Project
libecm-dev (7.0.4+ds-5)
dummy package
libecm1 (7.0.4+ds-5)
factor integers using the Elliptic Curve Method -- lib
libecm1-dev (7.0.4+ds-5)
factor integers using the Elliptic Curve Method -- libdev
libecm1-dev-common (7.0.4+ds-5)
factor integers using the Elliptic Curve Method -- header
libeconf-dev (0.3.8-1+b1)
parse and manage key=value configuration files - development files
libeconf0 (0.3.8-1+b1)
parse and manage key=value configuration files
libecore-audio1 (1.25.1-1)
Ecore functions for audio playback and recording
libecore-bin (1.25.1-1)
EFL core abstraction layer - utilities
libecore-con1 (1.25.1-1)
Ecore functions for network connections
libecore-drm2-1 (1.25.1-1)
Ecore functions for DRM
libecore-evas1 (1.25.1-1)
Ecore functions for the Evas wrapper
libecore-fb1 (1.25.1-1)
Ecore functions for frame buffer displays
libecore-file1 (1.25.1-1)
Ecore functions for files and directories
libecore-imf1 (1.25.1-1)
Ecore functions for Input Method Framework
libecore-input1 (1.25.1-1)
Ecore functions for input devices
libecore-ipc1 (1.25.1-1)
Ecore functions for inter-process communication
libecore-wl2-1 (1.25.1-1)
Ecore functions for Wayland displays
libecore-x1 (1.25.1-1)
Ecore functions for X Window System displays
libecore1 (1.25.1-1)
EFL core abstraction layer
libecpg-compat3 (13.14-0+deb11u1) [security]
older version of run-time library for ECPG programs
libecpg-dev (13.14-0+deb11u1) [security]
development files for ECPG (Embedded PostgreSQL for C)
libecpg6 (13.14-0+deb11u1) [security]
run-time library for ECPG programs
libecpint-dev (1.0.5-2)
Effective Core Potentials integration library (development files)
libecryptfs-dev (111-5)
ecryptfs cryptographic filesystem (development)
libecryptfs1 (111-5)
ecryptfs cryptographic filesystem (library)
libector1 (1.25.1-1)
EFL vector graphics capabilities
libedac
本虛擬套件由這些套件填實: libedac1-dbg, libedac1
libedac-dev (0.18-1+b1)
report kernel-detected PCI and ECC RAM errors
libedac1 (0.18-1+b1)
report kernel-detected PCI and ECC RAM errors
libedac1-dbg (0.18-1+b1)
report kernel-detected PCI and ECC RAM errors
libedata-book-1.2-26 (3.38.3-1+deb11u2)
Backend library for evolution address books
libedata-book1.2-dev (3.38.3-1+deb11u2)
Backend library for evolution address books (development files)
libedata-cal-2.0-1 (3.38.3-1+deb11u2)
Backend library for evolution calendars
libedata-cal2.0-dev (3.38.3-1+deb11u2)
Backend library for evolution calendars (development files)
libedataserver-1.2-25 (3.38.3-1+deb11u2)
Utility library for evolution data servers
libedataserver1.2-dev (3.38.3-1+deb11u2)
Utility library for evolution data servers (development files)
libedataserverui-1.2-2 (3.38.3-1+deb11u2)
Utility library for evolution data servers
libedataserverui1.2-dev (3.38.3-1+deb11u2)
Utility library for evolution data servers (development files)
libedf-dev (1.19-1)
European Data Format library - devel
libedf1 (1.19-1)
European Data Format library - libs
libedit-dev (3.1-20191231-2+b1)
BSD editline and history libraries (development files)
libedit2 (3.1-20191231-2+b1)
BSD editline and history libraries
libeditorconfig-dev (0.12.1-1.1)
coding style indenter across editors - development files
libeditorconfig0 (0.12.1-1.1)
coding style indenter across editors - library
libeditorconfig0-dbg (0.12.1-1.1)
coding style indenter across editors - debugging symbols
libeditreadline-dev (3.1-20191231-2+b1)
BSD editline and history libraries (shim development files)
libedje-bin (1.25.1-1)
EFL layout and animation tools - utilities
libedje1 (1.25.1-1)
EFL layout and animation tools
libedlib-dev (1.2.6-1)
library for sequence alignment using edit distance (devel)
libedlib0 (1.2.6-1)
library for sequence alignment using edit distance
libee-dev (0.4.1-2+b1 [amd64], 0.4.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Event expression library inspired by CEE
libee0 (0.4.1-2+b1 [amd64], 0.4.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Event expression library inspired by CEE
libeegdev-dev (0.2-5)
Biosignal acquisition device library (Development files)
libeegdev0 (0.2-5)
Biosignal acquisition device library
libeet-bin (1.25.1-1)
EFL file chunk reading/writing - utilities
libeet1 (1.25.1-1)
EFL file chunk reading/writing
libeeze-bin (1.25.1-1)
EFL udev device manipulation - utilities
libeeze1 (1.25.1-1)
EFL udev device manipulation
libefa1
本虛擬套件由這些套件填實: ibverbs-providers
libeffcee-dev (2019.1-1+b3)
C++ library for stateful pattern matching of strings (development files)
libeffcee0 (2019.1-1+b3)
C++ library for stateful pattern matching of strings
libefiboot-dev (37-6)
Development headers for libefiboot
libefiboot1 (37-6)
Library to manage UEFI variables
libefivar-dev (37-6)
Development headers for libefivar
libefivar1 (37-6)
Library to manage UEFI variables
libefl-all-dev (1.25.1-1)
Enlightenment Foundation Libraries development files
libefreet-bin (1.25.1-1)
EFL helper program to create efreet data caches
libefreet1a (1.25.1-1)
EFL freedesktop.org spec implementations
libegl-dev (1.3.2-1)
Vendor neutral GL dispatch library -- EGL development files
libegl-mesa0 (20.3.5-1)
free implementation of the EGL API -- Mesa vendor library
libegl-nvidia-legacy-390xx0 (390.157-1~deb11u1) [non-free]
NVIDIA binary EGL library (390xx legacy version)
libegl-nvidia-tesla-418-0 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary EGL library (Tesla 418 version)
libegl-nvidia-tesla-450-0 (450.248.02-1~deb11u1) [non-free]
NVIDIA binary EGL library (Tesla 450 version)
libegl-nvidia-tesla-460-0 (460.106.00-6~deb11u1) [non-free]
NVIDIA binary EGL library (Tesla 460 version)
libegl-nvidia-tesla-470-0 (470.223.02-2~deb11u1) [non-free]
NVIDIA binary EGL library (Tesla 470 version)
libegl-nvidia0 (470.223.02-1) [non-free]
NVIDIA binary EGL library
libegl-vendor
本虛擬套件由這些套件填實: nvidia-tesla-450-egl-icd, nvidia-tesla-418-egl-icd, nvidia-tesla-470-egl-icd, nvidia-egl-icd, libegl-mesa0, nvidia-legacy-390xx-egl-icd
libegl1 (1.3.2-1)
Vendor neutral GL dispatch library -- EGL support
libegl1-mesa (20.3.5-1)
transitional dummy package
libegl1-mesa-dev (20.3.5-1)
free implementation of the EGL API -- development files
libegl1-nvidia-legacy-390xx (390.157-1~deb11u1) [non-free]
NVIDIA binary EGL library (non-GLVND variant) (390xx legacy version)
libegl1-nvidia-tesla-418 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary EGL library (non-GLVND variant) (Tesla 418 version)
libehcache-java (2.6.11-5)
distributed cache library
libeigen-stl-containers-dev (0.1.8-4)
Robot OS wrapper for eigen
libeigen3-dev (3.3.9-2)
lightweight C++ template library for linear algebra
libeigen3-doc (3.3.9-2)
eigen3 API documentation
libeigenbase-farrago-java (0.9.0-2.1)
Java framework for constructing RDBMS services
libeigenbase-resgen-java (1.3.0.13768-4.1)
Java i18n code generator from XML files
libeigenbase-resgen-java-doc (1.3.0.13768-4.1)
Java i18n code generator from XML files - documentation
libeina-bin (1.25.1-1)
EFL optimized data types - extra tools
libeina1a (1.25.1-1)
EFL optimized data types
libeinfo-dev (0.42-2.1)
dependency based service manager (pretty console display development)
libeinfo1 (0.42-2.1)
dependency based service manager (pretty console display library)
libeio1 (1.25.1-1)
EFL non-blocking, threaded I/O
libeiskaltdcpp-dev (2.4.2-1)
core library for EiskaltDC++ (development files)
libeiskaltdcpp2.4 (2.4.2-1)
core library for EiskaltDC++
libej-dev (4.8.8+repack-2)
hardware & software framework for developing science experiments
libej0 (4.8.8+repack-2)
hardware & software framework for developing science experiments
libejml-java (0.38+ds-1)
Efficient Java Matrix Library
libel-api-java (3.0.0-3)
Expression Language API
libelemental
本虛擬套件由這些套件填實: libelemental-dev
libelemental-dev (2.0.0-1)
Periodic Table viewer (development files)
libelemental-doc (2.0.0-1)
Periodic Table viewer (API documentation)
libelemental0 (2.0.0-1)
Periodic Table viewer (data and shared library)
libelementary-bin (1.25.1-1)
EFL widget set - helper programs
libelementary-data (1.25.1-1)
EFL widget set - data files
libelementary1 (1.25.1-1)
EFL widget set
libelf++0 (0.3-2.1)
C++11 ELF parser
libelf-dev (0.183-1)
libelf1 development libraries and header files
libelf1 (0.183-1)
library to read and write ELF files
libelfin-dev (0.3-2.1)
C++11 ELF/DWARF parser (development files)
libeliom-ocaml-dev (6.12.4-1+b4)
web framework for ocsigenserver (dev libraries)
libeliom-ocaml-dev-2x5w1
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-dev-3b6p8
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-dev-bcjh5
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-dev-c7sl9
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-dev-djer7
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-dev-dxqy0
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-dev-ptes2
本虛擬套件由這些套件填實: libeliom-ocaml-dev
libeliom-ocaml-doc (6.12.4-1)
web framework for ocsigenserver (documentation)
libelixirfm-perl (1.1.976-4.1)
perl implementation for Functional Arabic Morphology
libelk0 (3.99.8-4.2+b1)
implementation of Scheme (the Extension Language Kit)
libelk0-dev (3.99.8-4.2+b1)
development files for libelk0
libell-dev (0.36-1)
development files for the Embedded Linux library
libell0 (0.36-1)
Embedded Linux library
libelogind-dev (246.9.1-1+debian1)
user, seat and session management library (development files)
libelogind-dev-doc (246.9.1-1+debian1)
user, seat and session management library (development documentation files)
libelogind0 (246.9.1-1+debian1)
user, seat and session management library
libelpa-dev (2019.11.001-4)
Eigenvalue SoLvers for Petaflop-Applications (Development version)
libelpa15 (2019.11.001-4)
Eigenvalue SoLvers for Petaflop-Applications
libelput1 (1.25.1-1)
EFL abstraction for libinput
libelua-bin (1.25.1-1)
EFL Lua wrapper and convenience layer - binaries
libelua1 (1.25.1-1)
EFL Lua wrapper and convenience layer
libemail-abstract-perl (3.008-2)
unified interface to mail representations
libemail-address-list-perl (0.06-1)
RFC close address list parsing
libemail-address-perl (1.912-1)
Perl module for RFC 2822 address parsing and creation
libemail-address-xs-perl (1.04-1+b3)
Perl library for RFC 5322 address/group parsing and formatting
libemail-date-format-perl (1.005-1.1)
Module to generate RFC-2822-valid date strings
libemail-date-perl (1.104-2)
Perl module for correct formatting of dates in emails
libemail-filter-perl (1.034-1.1)
library for creating easy email filters
libemail-find-perl (0.10-dfsg-3.1)
module to find RFC 822 email addresses in plain text
libemail-folder-perl (0.860-1)
Perl module to read mail from a folder
libemail-foldertype-perl (0.813-1.3)
determine the type of a mail folder
libemail-localdelivery-perl (1.200-1.1)
module to deliver a piece of email - simply
libemail-messageid-perl (1.406-1)
Perl library for unique mail Message-ID generation
libemail-mime-attachment-stripper-perl (1.317-1.1)
module to strip attachments from an email
libemail-mime-contenttype-perl (1.026-1)
Perl module to parse a MIME Content-Type header
libemail-mime-createhtml-perl (1.042-2)
multipart HTML email builder
libemail-mime-creator-perl
本虛擬套件由這些套件填實: libemail-mime-perl
libemail-mime-encodings-perl (1.315-2)
unified interface to MIME encoding and decoding
libemail-mime-kit-perl (3.000006-1.1)
module to build complete email messages from templates
libemail-mime-modifier-perl
本虛擬套件由這些套件填實: libemail-mime-perl
libemail-mime-perl (1.949-1)
module for simple MIME message parsing
libemail-outlook-message-perl (0.920-2)
module for reading Outlook .msg files
libemail-received-perl (1.00-2.1)
Perl module to parse an email Received: header
libemail-reply-perl (1.204-2)
module to reply to an email message
libemail-sender-perl (1.300035-1)
Perl module for sending email
libemail-simple-creator-perl
本虛擬套件由這些套件填實: libemail-simple-perl
libemail-simple-perl (2.216-1)
module to parse RFC2822 headers and message format
libemail-stuffer-perl (0.018-1)
casual approach to creating and sending Email:: emails
libemail-thread-perl (0.712-2)
library providing threading for Email::Simple objects
libemail-valid-loose-perl (0.05-4.1)
Email::Valid which allows dot immediately before at mark
libemail-valid-perl (1.202-1)
Perl module for checking the validity of Internet email addresses
libemboss-acd-perl (2.2.0-11)
perl module to parse EMBOSS ACD files
libembperl-perl (2.5.0-15+b1)
system for building dynamic websites with Perl
libembree-dev (3.12.1+dfsg-1)
High Performance Ray Tracing Kernels - development
libembree-doc (3.12.1+dfsg-1)
High Performance Ray Tracing Kernels - documentation
libembree3-3 (3.12.1+dfsg-1)
High Performance Ray Tracing Kernels - runtime
libembryo-bin (1.25.1-1)
EFL SMALL-based abstract machine (AMX) compiler
libembryo1 (1.25.1-1)
EFL SMALL-based abstract machine (AMX) bytecode interpreter
libemeraldengine-dev (0.8.18-2)
Development files for emerald engines
libemeraldengine0 (0.8.18-2)
Decoration engines for compiz
libemf-dev (1.0.13-2)
Enhanced Metafile library (development)
libemf-doc (1.0.13-2)
Enhanced Metafile library (documentation)
libemf1 (1.0.13-2)
Enhanced Metafile library (run-time)
libemile1 (1.25.1-1)
EFL compression and encryption
libemos-bin (2:4.5.9-6)
ECMWF Interpolation Library - binaries
libemos-data (2:4.5.9-6)
Data files for the ECMWF Interpolation library
libemos-dev (2:4.5.9-6)
ECMWF Interpolation Library - development
libemos0d (2:4.5.9-6)
ECMWF Interpolation Library
libemotion1 (1.25.1-1)
EFL media object functionality
libempathy-common
本虛擬套件由這些套件填實: empathy-common
libempathy-gtk-common
本虛擬套件由這些套件填實: empathy-common
libenca-dbg (1.19-1+b1)
Extremely Naive Charset Analyser - debug files
libenca-dev (1.19-1+b1)
Extremely Naive Charset Analyser - development files
libenca0 (1.19-1+b1)
Extremely Naive Charset Analyser - shared library files
libenchant-2-2 (2.2.15-1)
Wrapper library for various spell checker engines (runtime libs)
libenchant-2-dev (2.2.15-1)
Wrapper library for various spell checker engines (development)
libenchant-2-voikko (2.2.15-1)
Voikko spell-checker libenchant plugin
libencode-arabic-perl (14.2-2)
perl implementation for Arabic encodings
libencode-base58-perl (0.01-1.1)
module to shorten numbers using a base58 conversion
libencode-detect-perl (1.01-5+b3)
Encode::Encoding subclass that detects the encoding of data
libencode-eucjpms-perl (0.07-3+b11 [s390x], 0.07-3+b9 [amd64, armel, armhf, i386, mipsel], 0.07-3+b8 [arm64], 0.07-3+b7 [mips64el, ppc64el])
Perl library for Microsoft Compatible Japanese Encodings
libencode-hanextra-perl (0.23-5+b3)
perl module providing extra sets of Chinese character encodings
libencode-imaputf7-perl (1.05-2.1)
modification of UTF-7 encoding for IMAP
libencode-jis2k-perl (0.03-1+b7)
perl module providing JIS X 0212 (aka JIS 2000) Encodings
libencode-locale-perl (1.05-1.1)
utility to determine the locale encoding
libencode-perl (3.08-1+deb11u2)
module providing interfaces between Perl's strings and the system
libencode-perl (= 3.06)
本虛擬套件由這些套件填實: perl
libencode-zapcp1252-perl (0.40-1)
zap Windows Western gremlin characters
libencoding-fixlatin-perl (1.04-1.1)
takes mixed encoding input and produces UTF-8 output
libencoding-fixlatin-xs-perl (1.01-3+b3)
XS implementation layer for Encoding::FixLatin
libend-perl (2009110401-1.1)
Perl interface to execute code at end of scope
libendless-0-0 (0~git20180727+ds-1.1)
Endless SDK runtime libraries
libendless-0-common (0~git20180727+ds-1.1)
common files for the Endless SDK runtime libraries
libendless-bin (0~git20180727+ds-1.1)
development tools for the Endless SDK
libendless-dev (0~git20180727+ds-1.1)
development files for the Endless SDK
libendless-doc (0~git20180727+ds-1.1)
documentation files for the Endless SDK
libenet-dev (1.3.13+ds-1)
thin network communication layer on top of UDP - headers
libenet-doc (1.3.13+ds-1)
thin network communication layer on top of UDP - documentation
libenet7 (1.3.13+ds-1)
thin network communication layer on top of UDP
libengine-gost-openssl1.1 (1.1.0.3-1+b1 [amd64], 1.1.0.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Loadable module for openssl implementing GOST algorithms
libengine-pkcs11-openssl (0.4.11-1)
OpenSSL engine for PKCS#11 modules
libengine-pkcs11-openssl1.1 (0.4.11-1)
dummy package for upgrades from libengine-pkcs11-openssl1.1
libengine-pkcs11-openssl1.1
本虛擬套件由這些套件填實: libengine-pkcs11-openssl
libenki-dev (1:1.6.99-2+b2)
development file for the library libenki
libenki2 (1:1.6.99-2+b2)
Enki is a fast 2D physics-based robot simulator written in C++.
libensmallen-dev (2.16.2-2)
C++ header-only library for mathematical optimization
libenum-perl (1.11-1)
perl module for sets of ordered constants like enums in C
libenv-path-perl (0.19-2)
Perl module implementing advanced operations on path variables
libenv-ps1-perl (0.06-2.1)
prompt string formatter
libenv-sanctify-perl (1.12-1.1)
Perl module providing lexically scoped environment (%ENV)
libeolian-bin (1.25.1-1)
EFL object parser and C code generator - C code generator
libeolian1 (1.25.1-1)
EFL object parser and C code generator
libeot-dev (0.01-5+b1)
Library for parsing/converting Embedded OpenType files -- development
libeot0 (0.01-5+b1)
Library for parsing/converting Embedded OpenType files
libepc-1.0-3 (0.4.6-2)
Easy Publish and Consume library - shared libraries
libepc-common (0.4.6-2)
Easy Publish and Consume library - data files
libepc-dev (0.4.6-2)
Easy Publish and Consume library - development files
libepc-doc (0.4.6-2)
Easy Publish and Consume library - documentation
libepc-ui-1.0-3 (0.4.6-2)
Easy Publish and Consume library - shared widget libraries
libepc-ui-dev (0.4.6-2)
Easy Publish and Consume library - widget development files
libepoxy-dev (1.5.5-1)
OpenGL function pointer management library- development
libepoxy0 (1.5.5-1)
OpenGL function pointer management library
libepoxy0-udeb (1.5.5-1)
OpenGL function pointer management library - minimal runtime
libepr-api-dev (2.3~dev20150708-10)
ENVISAT Product Reader API for C - Development files
libepr-api2 (2.3~dev20150708-10)
ENVISAT Product Reader API for C
libeprosima-idl-parser-java (1.1.0+dfsg-1)
IDL parser library for eProsima FastDDS
libepsilon-dev (0.9.2+dfsg-5)
Library for wavelet image compression - development files
libepsilon1 (0.9.2+dfsg-5)
Library for wavelet image compression
libept-dev (1.2.1)
High-level library for managing Debian package information
libept1.6.0 (1.2.1)
High-level library for managing Debian package information
libepub-dev (0.2.2-4+b4)
library to work with the EPUB file format - development files
libepub0 (0.2.2-4+b4)
library to work with the EPUB file format - runtime library
libepubgen-0.1-1 (0.1.1-1)
EPUB generator library
libepubgen-dev (0.1.1-1)
EPUB generator library -- development
libequeue-gtk2-ocaml-dev
本虛擬套件由這些套件填實: libocamlnet-gtk2-ocaml-dev
libequeue-ocaml
本虛擬套件由這些套件填實: libocamlnet-ocaml
libequeue-ocaml-dev
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libequinox-app-java (1.5.0+eclipse4.18-1)
Eclipse Equinox Application Container
libequinox-bidi-java (1.3.0+eclipse4.18-1)
Eclipse Equinox Bidirectional Text Support
libequinox-cm-java (1.4.400+eclipse4.18-1)
Eclipse Equinox Configuration Admin
libequinox-common-java (3.14.0+eclipse4.18-1)
Eclipse Equinox Common Utility Bundle
libequinox-concurrent-java (1.1.500+eclipse4.18-1)
Eclipse Equinox Concurrent API
libequinox-console-java (1.4.200+eclipse4.18-1)
Eclipse Equinox Console plug-in
libequinox-coordinator-java (1.3.800+eclipse4.18-1)
Eclipse Equinox Coordinator
libequinox-device-java (1.1.0+eclipse4.18-1)
Eclipse Equinox Device Access Service
libequinox-ds-java (1.6.200+eclipse4.18-1)
Eclipse Equinox Declarative Services
libequinox-event-java (1.5.500+eclipse4.18-1)
Eclipse Equinox Event Admin
libequinox-executable-jni (3.8.1000+eclipse4.18-1)
Eclipse Platform Launcher Executables
libequinox-frameworkadmin-equinox-java (1.1.400+eclipse4.18-1)
Equinox Framework Admin for Equinox
libequinox-frameworkadmin-java (2.1.400+eclipse4.18-1)
Equinox Framework Admin
libequinox-http-jetty-java (3.7.500+eclipse4.18-1)
Eclipse Equinox Jetty HTTP Service
libequinox-http-jetty-starter-java (1.1.100+eclipse4.18-1)
Eclipse Equinox Jetty HTTP Service Starter
libequinox-http-registry-java (1.2.0+eclipse4.18-1)
Eclipse Equinox HTTP Registry
libequinox-http-servlet-java (1.6.700+eclipse4.18-1)
Eclipse Equinox HTTP Services Servlet
libequinox-http-servletbridge-java (1.1.200+eclipse4.18-1)
Eclipse Equinox Servletbridge HTTP Service
libequinox-io-java (1.1.300+eclipse4.18-1)
Eclipse Equinox IO Connector Service
libequinox-ip-java (1.1.600+eclipse4.18-1)
Eclipse Equinox Initial Provisioning
libequinox-jsp-jasper-java (1.1.500+eclipse4.18-1)
Eclipse Equinox Jasper Jsp Support Bundle
libequinox-jsp-jasper-registry-java (1.1.400+eclipse4.18-1)
Eclipse Equinox Jasper Jsp Registry Support Plug-in
libequinox-launcher-java (1.6.0+eclipse4.18-1)
Eclipse Equinox Launcher
libequinox-metatype-java (1.5.300+eclipse4.18-1)
Eclipse Equinox Meta Type
libequinox-osgi-java (3.9.1-5)
Equinox OSGi framework (Transitional)
libequinox-p2-artifact-optimizers-java (1.1.0+eclipse4.18-1)
Equinox p2 Artifact Optimizers
libequinox-p2-artifact-processors-java (1.1.0+eclipse4.18-1)
Equinox p2 Artifact Processing Support
libequinox-p2-artifact-repository-java (1.3.500+eclipse4.18-1)
Equinox Provisioning Artifact Repository Support
libequinox-p2-console-java (1.1.400+eclipse4.18-1)
Equinox Provisioning Console
libequinox-p2-core-java (2.6.300+eclipse4.18-1)
Equinox p2 Core
libequinox-p2-director-app-java (1.1.600+eclipse4.18-1)
Equinox Provisioning Director Application
libequinox-p2-director-java (2.4.700+eclipse4.18-1)
Equinox Provisioning Director
libequinox-p2-directorywatcher-java (1.2.500+eclipse4.18-1)
Equinox Provisioning Directory Watcher
libequinox-p2-discovery-java (1.1.200+eclipse4.18-1)
Equinox Provisioning Discovery
libequinox-p2-engine-java (2.6.700+eclipse4.18-1)
Equinox Provisioning Engine
libequinox-p2-extensionlocation-java (1.3.400+eclipse4.18-1)
Equinox Provisioning Extension Location Repository Support
libequinox-p2-garbagecollector-java (1.1.400+eclipse4.18-1)
Equinox Provisioning Garbage Collector
libequinox-p2-jarprocessor-java (1.1.700+eclipse4.18-1)
Equinox Provisioning JAR Processor
libequinox-p2-metadata-java (2.5.100+eclipse4.18-1)
Equinox Provisioning Metadata
libequinox-p2-metadata-repository-java (1.3.400+eclipse4.18-1)
Equinox Provisioning Metadata Repository
libequinox-p2-operations-java (2.5.1000+eclipse4.18-1)
Equinox Provisioning Operations API
libequinox-p2-publisher-eclipse-java (1.3.700+eclipse4.18-1)
Equinox Provisioning Publisher for Eclipse
libequinox-p2-publisher-java (1.5.400+eclipse4.18-1)
Equinox Provisioning Publisher Infrastructure
libequinox-p2-reconciler-dropins-java (1.3.400+eclipse4.18-1)
Equinox Provisioning Drop-in
libequinox-p2-repository-java (2.5.0+eclipse4.18-1)
Equinox Provisioning Repository
libequinox-p2-repository-tools-java (2.2.500+eclipse4.18-1)
Equinox Provisioning Repository Tools
libequinox-p2-sar-java (0.2.0+eclipse4.18-1)
Equinox Sar Plug-in
libequinox-p2-touchpoint-eclipse-java (2.2.700+eclipse4.18-1)
Equinox Provisioning Eclipse Touchpoint
libequinox-p2-touchpoint-natives-java (1.3.600+eclipse4.18-1)
Equinox Provisioning Native Touchpoint
libequinox-p2-ui-java (2.6.100+eclipse4.18-1)
Equinox Provisioning UI Support
libequinox-p2-ui-sdk-java (1.1.700+eclipse4.18-1)
Equinox Provisioning Platform Update Support
libequinox-p2-ui-sdk-scheduler-java (1.5.0+eclipse4.18-1)
Equinox Provisioning Platform Automatic Update Support
libequinox-p2-updatechecker-java (1.2.300+eclipse4.18-1)
Equinox Provisioning Update Checker
libequinox-p2-updatesite-java (1.1.400+eclipse4.18-1)
Equinox Provisioning Legacy Update Site Support
libequinox-preferences-java (3.8.100+eclipse4.18-1)
Eclipse Preferences Mechanism
libequinox-region-java (1.5.0+eclipse4.18-1)
Eclipse Equinox Region
libequinox-registry-java (3.10.0+eclipse4.18-1)
Eclipse Extension Registry Support
libequinox-security-java (1.3.500+eclipse4.18-1)
Eclipse Equinox Java Authentication and Authorization Service (JAAS)
libequinox-security-ui-java (1.2.700+eclipse4.18-1)
Equinox Security Default UI
libequinox-servletbridge-java (1.6.0+eclipse4.18-1)
Eclipse Equinox Servletbridge
libequinox-simpleconfigurator-java (1.3.600+eclipse4.18-1)
Equinox Simple Configurator
libequinox-simpleconfigurator-manipulator-java (2.1.500+eclipse4.18-1)
Equinox Simple Configurator Manipulator
libequinox-transforms-hook-java (1.2.500+eclipse4.18-1)
Eclipse Equinox Transformer Hook Framework Extension
libequinox-transforms-xslt-java (1.1.100+eclipse4.18-1)
Eclipse Equinox Transforms XSLT
libequinox-useradmin-java (1.2.0+eclipse4.18-1)
Eclipse Equinox User Admin Service
libequinox-util-java (1.1.300+eclipse4.18-1)
Eclipse Equinox Util
libequinox-weaving-caching-java (1.1.400+eclipse4.18-1)
Eclipse Equinox Weaving Caching
libequinox-weaving-hook-java (1.2.700+eclipse4.18-1)
Eclipse Equinox Weaving Hook
libequinox-wireadmin-java (1.0.800+eclipse4.18-1)
Eclipse Equinox Wire Admin Service
liberasurecode-dev (1.6.2-1)
support of multiple erasure code backends - development files
liberasurecode1 (1.6.2-1)
support of multiple erasure code backends - shared library
liberfa-dev (1.7.2-1)
Essential Routines for Fundamental Astronomy (development files)
liberfa1 (1.7.2-1)
Essential Routines for Fundamental Astronomy
liberror-perl (0.17029-1)
Perl module for error/exception handling in an OO-ish way
liberror-prone-java (2.4.0-1)
Catch common Java mistakes as compile-time errors
libertas-firmware
本虛擬套件由這些套件填實: firmware-libertas
liberubis-ruby
本虛擬套件由這些套件填實: ruby-erubis
liberubis-ruby1.8
本虛擬套件由這些套件填實: ruby-erubis
liberubis-ruby1.9.1
本虛擬套件由這些套件填實: ruby-erubis
libescapevelocity-java (0.9.1-2)
Subset reimplementation of Apache Velocity with a much simpler API
libesd-java (0.0.7-4.1)
Implementation of EsounD in pure Java
libesedb-dev (20181229-3.1+b2)
Extensible Storage Engine DB access library -- development files
libesedb-utils (20181229-3.1+b2)
Extensible Storage Engine DB access library -- Utilities
libesedb1 (20181229-3.1+b2)
Extensible Storage Engine DB access library
libesmtp-dev (1.0.6-4.3+b2 [mips64el], 1.0.6-4.3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
LibESMTP SMTP client library (development files)
libesmtp6 (1.0.6-4.3+b2 [mips64el], 1.0.6-4.3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
LibESMTP SMTP client library
libesnacc-dev (1.8.1-1)
ASN.1 to C or C++ or IDL compiler, development files
libesnacc180 (1.8.1-1)
ASN.1 to C or C++ or IDL compiler, shared libraries
libespeak-dev (1.48.15+dfsg-2)
Multi-lingual software speech synthesizer: development files
libespeak-dev (= 1.48.04+dfsg)
本虛擬套件由這些套件填實: libespeak-ng-libespeak-dev
libespeak-ng-dev (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer: development files
libespeak-ng-libespeak-dev (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer: development files
libespeak-ng-libespeak1 (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer: shared library
libespeak-ng1 (1.50+dfsg-7+deb11u1)
Multi-lingual software speech synthesizer: shared library
libespeak1 (1.48.15+dfsg-2)
Multi-lingual software speech synthesizer: shared library
libespeak1 (= 1.48.04+dfsg)
本虛擬套件由這些套件填實: libespeak-ng-libespeak1
libestools-dev (1:2.5.0-11)
Edinburgh Speech Tools Library - developer's libraries
libestools2.1-dev
本虛擬套件由這些套件填實: libestools-dev
libestools2.5 (1:2.5.0-11)
Edinburgh Speech Tools Library
libestr-dev (0.1.10-2.1+b1)
helper functions for handling strings (headers)
libestr0 (0.1.10-2.1+b1)
Helper functions for handling strings (lib)
libethumb-client-bin (1.25.1-1)
EFL ethumb helper binary
libethumb-client1 (1.25.1-1)
EFL client for ethumb
libethumb1 (1.25.1-1)
EFL for thumbnail image creation
libetonyek-0.1-1 (0.1.9-4)
library for reading and converting Apple Keynote presentations
libetonyek-dev (0.1.9-4)
library for reading and converting Apple Keynote presentations
libetonyek-tools (0.1.9-4)
library for reading and converting Apple Keynote presentations -- tools
libetpan-dev (1.9.4-3)
mail handling library - development files
libetpan-doc (1.9.4-3)
mail handling library - API documentation
libetpan20 (1.9.4-3)
mail handling library
libetsf-io-dev (1.0.4-5)
Static libraries and Fortran module files of ETSF_IO
libetsf-io-doc (1.0.4-5)
Developer documentation API and tutorials for ETSF_IO
libeuclid-java (1.0.1-2)
Java library for 2D and 3D geometric calculations
libev-dev (1:4.33-1)
static library, header files, and docs for libev
libev-libevent-dev (1:4.33-1)
libevent event loop compatibility wrapper for libev
libev-perl (4.33-1+b1)
Perl interface to libev, the high performance event loop
libev4 (1:4.33-1)
high-performance event loop library modelled after libevent
libeval-closure-perl (0.14-1)
Perl module to safely and cleanly create closures via string eval
libeval-context-perl (0.09.11-3.1)
evalute perl code in context wrapper
libeval-linenumbers-perl (0.34-1.1)
module to add line numbers to eval'ed heredoc blocks
libevas-loaders (1.25.1-1)
EFL additional loaders for Evas
libevas1 (1.25.1-1)
EFL advanced canvas library
libevas1-engine
本虛擬套件由這些套件填實: libevas1-engines-wayland, libevas1-engines-drm, libevas1-engines-x, libevas1-engines-fb
libevas1-engine-gl-x11
本虛擬套件由這些套件填實: libevas1-engines-x
libevas1-engine-software-x11
本虛擬套件由這些套件填實: libevas1-engines-x
libevas1-engines-drm (1.25.1-1)
Evas module providing the DRM engine
libevas1-engines-fb (1.25.1-1)
Evas module providing the Framebuffer engine
libevas1-engines-wayland (1.25.1-1)
Evas module providing the Wayland engine
libevas1-engines-x (1.25.1-1)
Evas module providing the X11 engines
libevd-0.2-0 (0.2.0-1+b1)
Peer-to-peer inter-process communication library - Shared libraries
libevd-0.2-dev (0.2.0-1+b1)
Peer-to-peer inter-process communication library - Development files
libevdev-dev (1.11.0+dfsg-1)
wrapper library for evdev devices - development files
libevdev-doc (1.11.0+dfsg-1)
wrapper library for evdev devices - development docs
libevdev-tools (1.11.0+dfsg-1)
wrapper library for evdev devices - tools
libevdev2 (1.11.0+dfsg-1)
wrapper library for evdev devices
libevdev2-udeb (1.11.0+dfsg-1)
wrapper library for evdev devices - udeb
libevdevplus-dev (0.1.1-2)
Development files for the libevdevplus library
libevdi0 (1.9.0+dfsg-1)
Extensible Virtual Display Interface driver client library
libevdi0-dev (1.9.0+dfsg-1)
EVDI driver client library dev package
libevdocument3-4 (3.38.2-1)
Document (PostScript, PDF) rendering library
libevemu-dev (2.7.0-3)
Linux Input Event Device Emulation Library - development files
libevemu3 (2.7.0-3)
Linux Input Event Device Emulation Library
libevent-2.1-7 (2.1.12-stable-1)
Asynchronous event notification library
libevent-core-2.1-7 (2.1.12-stable-1)
Asynchronous event notification library (core)
libevent-dev (2.1.12-stable-1)
Asynchronous event notification library (development files)
libevent-distributor-perl (0.05-2)
simple in-process pub/sub mechanism
libevent-extra-2.1-7 (2.1.12-stable-1)
Asynchronous event notification library (extra)
libevent-openssl-2.1-7 (2.1.12-stable-1)
Asynchronous event notification library (openssl)
libevent-perl (1.27-1+b2)
generic Perl event loop module
libevent-pthreads-2.1-7 (2.1.12-stable-1)
Asynchronous event notification library (pthreads)
libevent-rpc-perl (1.10-1)
Event based transparent Client/Server RPC framework
libevhtp-dev (1.2.18-1)
Libevent based HTTP API - development files
libevhtp-doc (1.2.18-1)
Libevent based HTTP API - documentation
libevhtp0 (1.2.18-1)
Libevent based HTTP API
libevince-dev (3.38.2-1)
Document (PostScript, PDF) rendering library - development files
libevolution (3.38.3-1+deb11u2)
evolution libraries
libevt-dev (20200926-1)
Windows Event Log (EVT) format access library -- development files
libevt-utils (20200926-1)
Windows Event Log (EVT) format access library -- Utilities
libevt1 (20200926-1)
Windows Event Log (EVT) format access library
libevtx-dev (20181227-2)
Windows XML Event Log format access library -- development files
libevtx-utils (20181227-2)
Windows XML Event Log format access library -- Utilities
libevtx1 (20181227-2)
Windows XML Event Log format access library
libevview3-3 (3.38.2-1)
Document (PostScript, PDF) rendering library - Gtk+ widgets
libewf-dev (20140807-2+b2)
support for Expert Witness Compression format (development)
libewf2 (20140807-2+b2)
library with support for Expert Witness Compression Format
libex-monkeypatched-perl (0.03-1.1)
experimental API for safe monkey-patching
libexactimage-perl (1.0.2-8+b3)
fast image manipulation library (Perl bindings)
libexadrums-dev (0.6.0-1)
Software drum module (development)
libexadrums1 (0.6.0-1)
Software drum module (library)
libexcalibur-logger-java (2.1-7)
Excalibur project's log management system
libexcalibur-logkit-java (2.0-12)
Lightweight and fast designed logging toolkit for Java
libexcalibur-logkit-java-doc (2.0-12)
Lightweight and fast designed logging toolkit for Java (API docs)
libexcel-template-perl (0.34-2)
Perl module for templating Excel files
libexcel-template-plus-perl (0.06-1.1)
module for testing and comparing Excel files
libexcel-writer-xlsx-perl (1.07-1)
module to create Excel spreadsheets in xlsx format
libexception-class-dbi-perl (1.04-1)
exception objects customized for DBI
libexception-class-perl (1.44-1)
module that allows you to declare real exception classes in Perl
libexception-class-trycatch-perl (1.13-1.1)
syntactic try/catch sugar for use with Exception::Class
libexception-handler-perl (1.004-2.1)
perl module Exception::Handler
libexec-maven-plugin-java (1.6.0-4.1)
Exec Maven Plugin
libexecline-dev (2.7.0.1-2)
small and non-interactive scripting language (development files)
libexecline2.7 (2.7.0.1-2)
small and non-interactive scripting language (shared library)
libexecs-dev (1.3-1)
C library for commands execution - development files
libexecs-embedded0 (1.3-1)
C library for commands execution - low memory footprint
libexecs0 (1.3-1)
C library for commands execution
libexempi-dev (2.5.2-1)
library to parse XMP metadata (Development files)
libexempi8 (2.5.2-1)
library to parse XMP metadata (Library)
libexene-smlnj (110.79-6)
Concurrent ML library for the X Window System
libexif-dev (0.6.22-3)
library to parse EXIF files (development files)
libexif-doc (0.6.22-3)
library to parse EXIF files (documentation)
libexif-gtk-dev (0.5.0-2)
Library providing GTK+ widgets to display/edit EXIF tags (development files)
libexif-gtk3-5 (0.5.0-2)
Library providing GTK+ 3.0 widgets to display/edit EXIF tags
libexif-gtk5 (0.5.0-2)
Library providing GTK+ 2.0 widgets to display/edit EXIF tags
libexif12 (0.6.22-3)
library to parse EXIF files
libexiv2-27 (0.27.3-3+deb11u2)
EXIF/IPTC/XMP metadata manipulation library
libexiv2-dev (0.27.3-3+deb11u2)
EXIF/IPTC/XMP metadata manipulation library - development files
libexiv2-doc (0.27.3-3+deb11u2)
EXIF/IPTC/XMP metadata manipulation library - HTML documentation
libexo-2-0 (4.16.0-1+deb11u1)
Library with extensions for Xfce (GTK-3 version)
libexo-2-dev (4.16.0-1+deb11u1)
Development files for libexo (GTK-3 version)
libexo-common (4.16.0-1+deb11u1)
libexo common files
libexodusii-dev (6.02.dfsg.1-8+b3)
exodusII datamodel for finite element analysis
libexodusii5 (6.02.dfsg.1-8+b3)
exodusII datamodel for finite element analysis
libexpat-dev
本虛擬套件由這些套件填實: libexpat1-dev
libexpat-gst (3.2.5-1.3+b2)
Expat bindings for GNU Smalltalk
libexpat-ocaml (1.1.0-1+b1)
OCaml expat bindings (runtime)
libexpat-ocaml-2ha06
本虛擬套件由這些套件填實: libexpat-ocaml
libexpat-ocaml-dev (1.1.0-1+b1)
OCaml expat bindings (development)
libexpat-ocaml-dev-2ha06
本虛擬套件由這些套件填實: libexpat-ocaml-dev
libexpat-ocaml-dev-n0235
本虛擬套件由這些套件填實: libexpat-ocaml-dev
libexpat-ocaml-dev-nd999
本虛擬套件由這些套件填實: libexpat-ocaml-dev
libexpat-ocaml-dev-p8et4
本虛擬套件由這些套件填實: libexpat-ocaml-dev
libexpat-ocaml-n0235
本虛擬套件由這些套件填實: libexpat-ocaml
libexpat-ocaml-nd999
本虛擬套件由這些套件填實: libexpat-ocaml
libexpat-ocaml-p8et4
本虛擬套件由這些套件填實: libexpat-ocaml
libexpat1 (2.2.10-2+deb11u5)
XML parsing C library - runtime library
libexpat1-dev (2.2.10-2+deb11u5)
XML parsing C library - development kit
libexpat1-udeb (2.2.10-2+deb11u5)
XML parsing C library - runtime library
libexpect-ocaml (0.0.6-1+b3)
Expect-like framework for OCaml
libexpect-ocaml-26v49
本虛擬套件由這些套件填實: libexpect-ocaml
libexpect-ocaml-9s648
本虛擬套件由這些套件填實: libexpect-ocaml
libexpect-ocaml-dev (0.0.6-1+b3)
Expect-like framework for OCaml - development files
libexpect-ocaml-dev-26v49
本虛擬套件由這些套件填實: libexpect-ocaml-dev
libexpect-ocaml-dev-9s648
本虛擬套件由這些套件填實: libexpect-ocaml-dev
libexpect-ocaml-dev-dg0o6
本虛擬套件由這些套件填實: libexpect-ocaml-dev
libexpect-ocaml-dev-xvqw5
本虛擬套件由這些套件填實: libexpect-ocaml-dev
libexpect-ocaml-dg0o6
本虛擬套件由這些套件填實: libexpect-ocaml
libexpect-ocaml-xvqw5
本虛擬套件由這些套件填實: libexpect-ocaml
libexpect-perl (1.21-1.1)
Expect.pm - Perl Expect interface
libexpect-simple-perl (0.04-2.1)
wrapper around the Expect module
libexpected-dev (1.0.0~dfsg-2)
C++11/14/17 std::expected with functional-style extensions
libexperimental-perl (0.022-1)
pragma for making experimental features easy
libexperimental-perl (= 0.020)
本虛擬套件由這些套件填實: perl
libexplain-dev (1.4.D001-11+deb11u1)
library of system-call-specific strerror repl - development files
libexplain-doc (1.4.D001-11+deb11u1)
library of system-call-specific strerror repl - documentation
libexplain51 (1.4.D001-11+deb11u1)
library of system-call-specific strerror repl
libexport-attrs-perl (0.1.0-4)
Perl 6 'is export(...)' trait as a Perl 5 attribute
libexporter-autoclean-perl (0.01-1.1)
module that exports functions only available at compile time
libexporter-declare-perl (0.114-1.1)
perl module for meta-driven exporting
libexporter-easy-perl (0.18-1)
perl module to take the drudgery out of Exporting symbols
libexporter-lite-perl (0.08-1)
lightweight subset of Exporter
libexporter-renaming-perl (1.19-2.1)
facility to rename symbols when imported
libexporter-tidy-perl (0.08-1)
another way of exporting symbols
libexporter-tiny-perl (1.002002-1)
tiny exporter similar to Sub::Exporter
libext2fs-dev (1.46.2-2)
ext2/ext3/ext4 file system libraries - headers and static libraries
libext2fs2 (1.46.2-2)
ext2/ext3/ext4 file system libraries
libexternalsortinginjava-java (0.2.5-1)
External-Memory Sorting in Java
libexternalsortinginjava-java-doc (0.2.5-1)
External-Memory Sorting in Java (documentation)
libextlib-ocaml (1.7.7-2+b1)
extended standard library for OCaml (plugins)
libextlib-ocaml-03668
本虛擬套件由這些套件填實: libextlib-ocaml
libextlib-ocaml-55039
本虛擬套件由這些套件填實: libextlib-ocaml
libextlib-ocaml-dev (1.7.7-2+b1)
extended standard library for OCaml
libextlib-ocaml-dev-03668
本虛擬套件由這些套件填實: libextlib-ocaml-dev
libextlib-ocaml-dev-55039
本虛擬套件由這些套件填實: libextlib-ocaml-dev
libextlib-ocaml-dev-ekyd5
本虛擬套件由這些套件填實: libextlib-ocaml-dev
libextlib-ocaml-dev-ngq70
本虛擬套件由這些套件填實: libextlib-ocaml-dev
libextlib-ocaml-ekyd5
本虛擬套件由這些套件填實: libextlib-ocaml
libextlib-ocaml-ngq70
本虛擬套件由這些套件填實: libextlib-ocaml
libextractor-dev (1:1.11-2)
extracts meta-data from files of arbitrary type (development)
libextractor-java-dev (1.0.0-2)
Java bindings for GNU libextractor (development)
libextractor-java0 (1.0.0-2)
Java bindings for GNU libextractor
libextractor-plugin-archive (1:1.11-2)
extracts meta-data from files of arbitrary type (archive plugin)
libextractor-plugin-av (1:1.11-2)
extracts meta-data from files of arbitrary type (libav plugin)
libextractor-plugin-exiv2 (1:1.11-2)
extracts meta-data from files of arbitrary type (exiv2 plugin)
libextractor-plugin-flac (1:1.11-2)
extracts meta-data from files of arbitrary type (flac plugin)
libextractor-plugin-gif (1:1.11-2)
extracts meta-data from files of arbitrary type (gif plugin)
libextractor-plugin-gstreamer (1:1.11-2)
extracts meta-data from files of arbitrary type (GStreamer plugin)
libextractor-plugin-html (1:1.11-2)
extracts meta-data from files of arbitrary type (html plugin)
libextractor-plugin-jpeg (1:1.11-2)
extracts meta-data from files of arbitrary type (jpeg plugin)
libextractor-plugin-midi (1:1.11-2)
extracts meta-data from files of arbitrary type (midi plugin)
libextractor-plugin-mpeg (1:1.11-2)
extracts meta-data from files of arbitrary type (mpeg plugin)
libextractor-plugin-ogg (1:1.11-2)
extracts meta-data from files of arbitrary type (ogg plugin)
libextractor-plugin-ole2 (1:1.11-2)
extracts meta-data from files of arbitrary type (ole2 plugin)
libextractor-plugin-pdf (1:1.11-2)
extracts meta-data from files of arbitrary type (pdf plugin)
libextractor-plugin-rpm (1:1.11-2)
extracts meta-data from files of arbitrary type (rpm plugin)
libextractor-plugin-thumbnailgtk (1:1.11-2)
extracts meta-data from files of arbitrary type (gdk pixbuf plugin)
libextractor-plugin-tiff (1:1.11-2)
extracts meta-data from files of arbitrary type (tiff plugin)
libextractor-plugins
本虛擬套件由這些套件填實: libextractor-plugin-flac, libextractor-plugin-av, libextractor-plugin-exiv2, libextractor-plugin-gif, libextractor-plugin-rpm, libextractor-plugin-ole2, libextractor-plugin-mpeg, libextractor-plugin-gstreamer, libextractor-plugins-all, libextractor-plugin-html, libextractor-plugin-tiff, libextractor-plugins-misc, libextractor-plugin-midi, libextractor-plugin-thumbnailgtk, libextractor-plugin-ogg, libextractor-plugin-archive, libextractor-plugin-pdf, libextractor-plugin-jpeg
libextractor-plugins-all (1:1.11-2)
extracts meta-data from files of arbitrary type (plugins)
libextractor-plugins-misc (1:1.11-2)
extracts meta-data from files of arbitrary type (miscellaneous plugins)
libextractor3 (1:1.11-2)
extracts meta-data from files of arbitrary type (library)
libexttextcat-2.0-0 (3.4.5-1)
Language detection library
libexttextcat-data (3.4.5-1)
Language detection library - data files
libexttextcat-dev (3.4.5-1)
Language detection library - development files
libextunix-ocaml (0.2.0-1+b1)
Extended functions for OCaml Unix module (runtime package)
libextunix-ocaml-b3ff8
本虛擬套件由這些套件填實: libextunix-ocaml
libextunix-ocaml-dev (0.2.0-1+b1)
Extended functions for OCaml Unix module (development package)
libextunix-ocaml-dev-b3ff8
本虛擬套件由這些套件填實: libextunix-ocaml-dev
libextunix-ocaml-dev-ex8s2
本虛擬套件由這些套件填實: libextunix-ocaml-dev
libextunix-ocaml-dev-mgq01
本虛擬套件由這些套件填實: libextunix-ocaml-dev
libextunix-ocaml-dev-rf1h8
本虛擬套件由這些套件填實: libextunix-ocaml-dev
libextunix-ocaml-ex8s2
本虛擬套件由這些套件填實: libextunix-ocaml
libextunix-ocaml-mgq01
本虛擬套件由這些套件填實: libextunix-ocaml
libextunix-ocaml-rf1h8
本虛擬套件由這些套件填實: libextunix-ocaml
libextutils-autoinstall-perl (0.64-2)
module to automatically install dependencies via CPAN
libextutils-cbuilder-perl (0.280236-1)
module to compile and link C code for Perl modules
libextutils-cbuilder-perl (= 0.280234)
本虛擬套件由這些套件填實: perl
libextutils-cchecker-perl (0.10-1.1)
helper module for handling C headers and libraries
libextutils-command-perl (= 7.44)
本虛擬套件由這些套件填實: perl
libextutils-config-perl (0.008-2)
wrapper around Perl's configuration hash
libextutils-cppguess-perl (0.21-1)
Perl build helper to guess C++ compiler and flags
libextutils-depends-perl (0.8000-1)
Perl module for building extensions that depend on other extensions
libextutils-f77-perl (1.26-1)
module to compile and link FORTRAN 77 code for Perl modules
libextutils-hascompiler-perl (0.023-1)
Perl Module checking the presence of a compiler
libextutils-helpers-perl (0.026-1)
various portability utilities for module builders
libextutils-install-perl (= 2.14)
本虛擬套件由這些套件填實: perl
libextutils-installpaths-perl (0.012-1.1)
module to make Build.PL install path logic easy
libextutils-libbuilder-perl (0.08-1)
Perl module to compile standard, self-contained C libraries
libextutils-makemaker-cpanfile-perl (0.09-1)
Perl module adding cpanfile support to ExtUtils-MakeMaker
libextutils-makemaker-dist-zilla-develop-perl (0.03-2)
Perl module creating bare-bones Makefile.PL files for use with dzil
libextutils-modulemaker-perl (0.63-1)
Perl extension to build module from scratch
libextutils-parsexs-perl (= 3.400000)
本虛擬套件由這些套件填實: perl
libextutils-pkgconfig-perl (1.16-1.1)
Perl interface to the pkg-config utility
libextutils-typemap-perl (1.00-1.1)
ExtUtils::Typemap - Read/Write/Modify Perl/XS typemap files
libextutils-typemaps-default-perl (1.05-2.1)
Perl module providing a set of useful typemaps
libextutils-xsbuilder-perl (0.28-3)
Automatic XS glue code generation
libextutils-xspp-perl (0.1800-2.1)
module for using Perl XS with C++ code
libez-vcard-java (0.11.2+dfsg-1)
vCard library
libezmorph-java (1.0.6-4)
Java library for transforming an Object to another Object
libeztrace-dev (1.1-10-2)
Automatic execution trace generation for HPC - development files
libeztrace0 (1.1-10-2)
Automatic execution trace generation for HPC - development files
libf2c2 (20140711-1)
Shared libraries for use with FORTRAN applications
libf2c2-dev (20140711-1)
Development libraries for use with f2c
libf2fs-dev (1.14.0-2)
Core library for Flash-Friendly File System - Development files
libf2fs-format-dev (1.14.0-2)
Format library for Flash-Friendly File System - Development files
libf2j-java (0.8.1+dfsg-5)
Java library port of Fortran numerical libraries
libf77dcl-dev (7.4.1-1)
GFD-DENNOU Club Library (DCL) - static library and header files
libf77dcl7 (7.4.1-1)
GFD-DENNOU Club Library (DCL) - shared library
libf95getdata7 (0.10.0-10)
library to read/write dirfile data - Fortran 95 bindings
libfaac-dev (1.30-1) [non-free]
AAC audio encoder (development)
libfaac0 (1.30-1) [non-free]
AAC audio encoder (library)
libfaad-dev (2.10.0-1)
freeware Advanced Audio Decoder - development files
libfaad-ocaml (0.4.0-1+b3 [amd64], 0.4.0-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml interface to the faad library -- runtime files
libfaad-ocaml-24yt2
本虛擬套件由這些套件填實: libfaad-ocaml
libfaad-ocaml-dev (0.4.0-1+b3 [amd64], 0.4.0-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml interface to the faad library -- development files
libfaad-ocaml-dev-24yt2
本虛擬套件由這些套件填實: libfaad-ocaml-dev
libfaad-ocaml-dev-obmt8
本虛擬套件由這些套件填實: libfaad-ocaml-dev
libfaad-ocaml-dev-r0699
本虛擬套件由這些套件填實: libfaad-ocaml-dev
libfaad-ocaml-dev-tmd01
本虛擬套件由這些套件填實: libfaad-ocaml-dev
libfaad-ocaml-obmt8
本虛擬套件由這些套件填實: libfaad-ocaml
libfaad-ocaml-r0699
本虛擬套件由這些套件填實: libfaad-ocaml
libfaad-ocaml-tmd01
本虛擬套件由這些套件填實: libfaad-ocaml
libfaad2 (2.10.0-1)
freeware Advanced Audio Decoder - runtime files
libfabric-bin (1.11.0-2)
Diagnosis programs for the libfabric communication library
libfabric-dev (1.11.0-2)
Development files for libfabric1
libfabric1 (1.11.0-2)
libfabric communication library
libfacile-ocaml-dev (1.1.3-1+b1)
functional constraint library implemented in Objective Caml
libfacile-ocaml-dev-5ljw6
本虛擬套件由這些套件填實: libfacile-ocaml-dev
libfacile-ocaml-dev-9rdx6
本虛擬套件由這些套件填實: libfacile-ocaml-dev
libfacile-ocaml-dev-fjp23
本虛擬套件由這些套件填實: libfacile-ocaml-dev
libfacile-ocaml-dev-gqec7
本虛擬套件由這些套件填實: libfacile-ocaml-dev
libfacter3.14.12 (3.14.12-1+b2)
collect and display facts about the system -- shared library
libfaifa-dev (0.2~svn82-2)
manage HomePlug 1.0/AV devices via ethernet frames - devel library
libfaifa0 (0.2~svn82-2)
manage HomePlug 1.0/AV devices via ethernet frames - library
libfailures-perl (0.004-1.1)
minimalist exception hierarchy generator
libfakechroot (2.19-3.3)
gives a fake chroot environment - runtime
libfakekey-dev (0.3+git20170516-2)
library for converting characters to X key-presses [development]
libfakekey-doc (0.3+git20170516-2)
library for converting characters to X key-presses [documentation]
libfakekey0 (0.3+git20170516-2)
library for converting characters to X key-presses [runtime]
libfakekey0-udeb (0.3+git20170516-2)
library for converting characters to X key-presses [runtime]
libfakeroot (1.25.3-1.1)
tool for simulating superuser privileges - shared libraries
libfaketime (0.9.8-9)
Report faked system time to programs (preload library)
libfam-dev (2.7.0-17.3)
Client library to control the FAM daemon - development files
libfam-dev
本虛擬套件由這些套件填實: libgamin-dev
libfam0 (2.7.0-17.3)
Client library to control the FAM daemon
libfam0
本虛擬套件由這些套件填實: libgamin0
libfam0c102 (2.7.0-17.3)
Dummy package for libfam0
libfam0c102
本虛擬套件由這些套件填實: libgamin0, libfam0
libfann-dev (2.2.0+ds-6)
Development libraries and header files for FANN
libfann-doc (2.2.0+ds-6)
API documentation for FANN
libfann2 (2.2.0+ds-6)
Fast Artificial Neural Network Library
libfannj-java (0.3-2)
FannJ a Java binding to the Fast Artificial Neural Network (FANN) C library
libfannj-java-doc (0.3-2)
FannJ - Documentation
libfarmhash-dev (0~git20190513.0d859a8-1)
FarmHash, a family of hash functions (development files, docs)
libfarmhash0 (0~git20190513.0d859a8-1)
FarmHash, a family of hash functions (shared library)
libfarstream-0.2-5 (0.2.9-1)
Audio/Video communications framework: core library
libfarstream-0.2-dev (0.2.9-1)
Audio/Video communications framework: development files
libfarstream-0.2-doc (0.2.9-1)
Audio/Video communications framework: documentation
libfast-zip-clojure (0.5.0-1.1)
modification of clojure.zip that uses protocols and records
libfast-zip-visit-clojure (1.0.2-2)
Clojure zipper-based visitor library (fast-zip version)
libfast5-dev (0.6.5-4)
library for reading Oxford Nanopore Fast5 files -- headers
libfastahack-dev (1.0.0+dfsg-7)
library for indexing and sequence extraction from FASTA files (devel)
libfastahack0 (1.0.0+dfsg-7)
library for indexing and sequence extraction from FASTA files (lib)
libfastcdr-dev (1.0.19-1)
Fast CDR serialization library for DDS - development headers
libfastcdr-doc (1.0.19-1)
Fast CDR serialization library for DDS - API documentation
libfastcdr1 (1.0.19-1)
Fast CDR serialization library for DDS
libfastinfoset-java (1.2.12-3)
Java library implementing the Fast Infoset standard
libfastjet-dev (3.0.6+dfsg-3+b13)
Development files of FastJet
libfastjet-fortran-dev (3.0.6+dfsg-3+b13)
Fortran bindings of FastJet - development files
libfastjet-fortran0 (3.0.6+dfsg-3+b13)
Fortran bindings of FastJet
libfastjet0v5 (3.0.6+dfsg-3+b13)
Fast C++ code for the kt and other jet algorithms
libfastjetplugins-dev (3.0.6+dfsg-3+b13)
FastJet plugins - development files
libfastjetplugins0 (3.0.6+dfsg-3+b13)
FastJet plugins
libfastjettools-dev (3.0.6+dfsg-3+b13)
Tools for FastJet - development files
libfastjettools0 (3.0.6+dfsg-3+b13)
Tools for FastJet
libfastjson-dev (0.99.9-1)
fast json library for C - development files
libfastjson4 (0.99.9-1)
fast json library for C
libfastrtps-dev (2.1.0+ds-9+deb11u1)
C++ library for the Real Time Publish Subscribe protocol - development headers
libfastrtps-doc (2.1.0+ds-9+deb11u1)
C++ library for the Real Time Publish Subscribe protocol - documentation
libfastrtps2 (2.1.0+ds-9+deb11u1)
C++ library for the Real Time Publish Subscribe protocol
libfasttext-dev (0.9.2-3+b2)
Header files of fastText
libfasttext0 (0.9.2-3+b2)
Library files of fastText
libfastutil-java (8.4.4-1)
Java API providing type-specific maps, sets, lists and queues
libfastutil-java-doc (8.4.4-1)
API documentation for libfastutil-java
libfathom-dev (1.0+git.20190811.97fb5c-1)
Library for probing Syzygy tablebases (development files)
libfathom1 (1.0+git.20190811.97fb5c-1)
Library for probing Syzygy tablebases
libfaudio-dev (21.02-1)
XAudio sound processing reimplementation - development files
libfaudio0 (21.02-1)
XAudio sound processing reimplementation - library
libfauhdli-dev (20180504-3.1+b1)
interpreter library and development files for fauhdli
libfaust2 (2.30.5~ds0-2)
functional programming language for realtime audio applications - libraries
libfax-hylafax-client-perl (1.02-3)
simple Perl client for HylaFAX fax server
libfbclient2 (3.0.7.33374.ds4-2)
Firebird client library
libfccp-dev (0.0+git20160525~9bf299c-2)
Fast C++ CSV Parser
libfcft-dev (2.3.1-1)
font loading and glyph rasterization library -- development files
libfcft-doc (2.3.1-1)
font loading and glyph rasterization library -- documentation
libfcft3 (2.3.1-1)
font loading and glyph rasterization library
libfcgi
本虛擬套件由這些套件填實: libfcgi0ldbl
libfcgi-async-perl (0.22-1.1)
FastCGI engine based on IO::Async
libfcgi-bin (2.4.2-2)
FastCGI bridge from CGI
libfcgi-client-perl (0.09-1)
Perl client library for FastCGI protocol
libfcgi-dev (2.4.2-2)
header files of FastCGI
libfcgi-engine-perl (0.22-1.1)
flexible engine for running FCGI-based applications
libfcgi-ev-perl (2.0.1-1)
module to support FastCGI protocol in EV-based applications
libfcgi-perl (0.79+ds-2)
helper module for FastCGI
libfcgi-procmanager-maxrequests-perl (0.2-1.1)
restrict max number of requests by each child
libfcgi-procmanager-perl (0.28-1.1)
Perl module to help manage FastCGI applications
libfcgi0ldbl (2.4.2-2)
shared library of FastCGI
libfcitx-config4 (1:4.2.9.8-3)
Flexible Input Method Framework - configuration support library
libfcitx-core0 (1:4.2.9.8-3)
Flexible Input Method Framework - library of core functions
libfcitx-gclient1 (1:4.2.9.8-3)
Flexible Input Method Framework - D-Bus client library for Glib
libfcitx-qt5-1 (1.2.5-1+b2)
Free Chinese Input Toy of X - D-Bus client libraries for Qt5
libfcitx-qt5-data (1.2.5-1)
Free Chinese Input Toy of X - data files for Qt5 integration
libfcitx-qt5-dev (1.2.5-1+b2)
Free Chinese Input Toy of X - Devel files for libfcitx-qt5
libfcitx-utils0 (1:4.2.9.8-3)
Flexible Input Method Framework - utility support library
libfcitx5-qt-data (5.0.3-1)
Qt library and IM module for fcitx5 (data files)
libfcitx5-qt-dev (5.0.3-1)
Qt library and IM module for fcitx5 (development files)
libfcitx5-qt1 (5.0.3-1)
Qt library and IM module for fcitx5
libfcitx5config-dev (5.0.5-1)
Fcitx Input Method Framework v5 (config library dev files)
libfcitx5config6 (5.0.5-1)
Fcitx Input Method Framework v5 (config library)
libfcitx5core-dev (5.0.5-1)
Fcitx Input Method Framework v5 (core library dev files)
libfcitx5core7 (5.0.5-1)
Fcitx Input Method Framework v5 (core library)
libfcitx5gclient-dev (5.0.3-1)
GLib-based D-Bus client library for fcitx5 (development files)
libfcitx5gclient1 (5.0.3-1)
GLib-based D-Bus client library for fcitx5 (library)
libfcitx5utils-dev (5.0.5-1)
Fcitx Input Method Framework v5 (utils library dev files)
libfcitx5utils2 (5.0.5-1)
Fcitx Input Method Framework v5 (utils library)
libfckit-dev (0.9.0-6)
Fortran toolkit for interoperating Fortran with C/C++
libfckit-utils (0.9.0-6)
Library Fortran toolkit for interoperating Fortran with C/C++
libfckit0d (0.9.0-6)
Library Fortran toolkit for interoperating Fortran with C/C++
libfcl-dev (0.6.1-5)
Flexible Collision Library - development files
libfcl0.6 (0.6.1-5)
Flexible Collision Library - shared library
libfclib-dev (3.1.0+dfsg-2)
read and write problems from the Friction Contact Library (headers)
libfclib0 (3.1.0+dfsg-2)
read and write problems from the Friction Contact Library (library)
libfcml-dev (1.2.2-2)
machine code manipulation library - development files
libfcml-doc (1.2.2-2)
machine code manipulation library - documentation
libfcml0 (1.2.2-2)
machine code manipulation library
libfdb5-0d (5.7.0-5)
Library for the ECMWF Fields Database
libfdb5-dev (5.7.0-5)
Development files for the ECMWF Fields database
libfdcore6 (1.2.1-8)
FreeDiameter - library for the Diameter protocol
libfdisk-dev (2.36.1-8+deb11u2) [security]
fdisk partitioning library - headers
libfdisk1 (2.36.1-8+deb11u2) [security]
fdisk partitioning library
libfdisk1-udeb (2.36.1-8+deb11u2) [security]
stripped down fdisk partitioning library, for debian-installer
libfdk-aac-dev (2.0.1-1) [non-free]
Fraunhofer FDK AAC Codec Library - development files
libfdk-aac2 (2.0.1-1) [non-free]
Fraunhofer FDK AAC Codec Library - runtime files
libfdkaac-ocaml (0.3.2-1) [contrib]
OCaml interface to the fdkaac library -- runtime files
libfdkaac-ocaml-dev (0.3.2-1) [contrib]
OCaml interface to the fdkaac library -- development files
libfdkaac-ocaml-dynlink (0.3.2-1) [contrib]
OCaml interface to the fdkaac library -- dynamically load
libfdproto6 (1.2.1-8)
Library for manipulating Diameter messages and dictionary
libfdt-dev (1.6.0-1)
Flat Device Trees manipulation library - development files
libfdt1 (1.6.0-1)
Flat Device Trees manipulation library
libfduserdata-dev (0.1.2-2)
associate file descriptors with user defined data (devel)
libfduserdata0 (0.1.2-2)
associate file descriptors with user defined data (library)
libfec-dev (1.0+20161003-4)
forward error correction (FEC) algorithms - header files
libfec0 (1.0+20161003-4)
forward error correction (FEC) algorithms
libfeed-find-perl (0.07-2.1)
Syndication feed auto-discovery
libfeedback-0.0-0 (0.0.0+git20210125-1)
Library for managing haptic/visual/audio feedback
libfeedback-dev (0.0.0+git20210125-1)
Development files for libfeedback
libfelix-bundlerepository-java (2.0.10-4)
Felix OSGi bundle repository service
libfelix-bundlerepository-java-doc (2.0.10-4)
Documentation for Felix OSGi bundle repository service
libfelix-framework-java (4.6.1-2.1)
Felix Framework subproject
libfelix-framework-java-doc (4.6.1-2.1)
Javadoc API documentation for the Felix Framework subproject
libfelix-gogo-command-java (0.14.0-2.1)
Apache Felix Gogo Command bundle
libfelix-gogo-command-java-doc (0.14.0-2.1)
Documentation for Apache Felix Gogo Command bundle
libfelix-gogo-runtime-java (0.16.2-1.1)
Apache Felix Gogo Runtime bundle
libfelix-gogo-runtime-java-doc (0.16.2-1.1)
Documentation for Apache Felix Gogo Runtime bundle
libfelix-gogo-shell-java (0.12.0-1.1)
Apache Felix Gogo Shell bundle
libfelix-gogo-shell-java-doc (0.12.0-1.1)
Documentation for Apache Felix Gogo Shell bundle
libfelix-main-java (5.0.0-5)
Libraries to instantiate and execute the Felix Framework
libfelix-main-java-doc (5.0.0-5)
Libraries to instantiate and execute OSGi Felix Framework - doc
libfelix-osgi-obr-java (1.0.2-5)
OSGi OBR Service API
libfelix-osgi-obr-java-doc (1.0.2-5)
Javadoc API for OSGi OBR Service API
libfelix-resolver-java (1.16.0-1)
OSGi Resolver implementation by Apache Felix
libfelix-scr-java (2.1.20-2)
Felix Service Component Runtime
libfelix-scr-java-doc (2.1.20-2)
Documentation for Felix Service Component Runtime
libfelix-shell-java (1.4.3-2)
Felix OSGi shell to issue commands to the framework
libfelix-shell-java-doc (1.4.3-2)
Felix OSGi shell - documentation
libfelix-shell-tui-java (1.4.1-4)
Apache Felix Shell TUI
libfelix-shell-tui-java-doc (1.4.1-4)
Documentation for Apache Felix Shell TUI
libfelix-utils-java (1.8.6-1.1)
collection of utility classes for Apache Felix
libfelix-utils-java-doc (1.8.6-1.1)
collection of utility classes for Apache Felix - documentation
libfennec-lite-perl (0.4-2.1)
minimalist Fennec implementation
libfennec-perl (2.018-1)
Perl module providing RSPEC, Workflows, Parallelization, and Encapsulation
libfest-assert-java (2.0~M10-1.1)
Java library that provides a fluent interface for writing assertions
libfest-assert-java-doc (2.0~M10-1.1)
Documentation for libfest-assert-java
libfest-reflect-java (1.4.1-3)
Java library for fluent reflection
libfest-reflect-java-doc (1.4.1-3)
Documentation for libfest-reflect-java
libfest-test-java (2.1.0-1.1)
common testing code for FEST (Fixtures for Easy Software Testing) packages
libfest-test-java-doc (2.1.0-1.1)
Documentation for libfest-test-java
libfest-util-java (1.2.5-1.1)
common utilities for FEST (Fixtures for Easy Software Testing) packages
libfest-util-java-doc (1.2.5-1.1)
Documentation for libfest-util-java
libffado-dev (2.4.4-1)
FFADO API - development files
libffado2 (2.4.4-1)
FFADO API
libffcall-dev (2.2-1)
foreign function call libraries - development files
libffcall1-dev (2.2-1)
foreign function call libraries - transitional package
libffcall1b (2.2-1)
foreign function call libraries - main shared library
libffi-checklib-perl (0.27-1)
module to check availability of a library for FFI
libffi-dev (3.3-6)
Foreign Function Interface library (development files)
libffi-platypus-perl (1.34-1+b1)
module to create Perl bindings to non-Perl libraries with FFI
libffi7 (3.3-6)
Foreign Function Interface library runtime
libffi7-udeb (3.3-6)
Foreign Function Interface library runtime
libffindex-dev
本虛擬套件由這些套件填實: libffindex0-dev
libffindex0 (0.9.9.9-4)
library for simple index/database for huge amounts of small files
libffindex0-dev (0.9.9.9-4)
library for simple index/database for huge amounts of small files (development)
libffmpeg-ocaml (0.4.3-1+b1)
OCaml interface for FFmpeg -- runtime files
libffmpeg-ocaml-3ob77
本虛擬套件由這些套件填實: libffmpeg-ocaml
libffmpeg-ocaml-4jl67
本虛擬套件由這些套件填實: libffmpeg-ocaml
libffmpeg-ocaml-71tf9
本虛擬套件由這些套件填實: libffmpeg-ocaml
libffmpeg-ocaml-dev (0.4.3-1+b1)
OCaml interface for FFmpeg -- development files
libffmpeg-ocaml-dev-3ob77
本虛擬套件由這些套件填實: libffmpeg-ocaml-dev
libffmpeg-ocaml-dev-4jl67
本虛擬套件由這些套件填實: libffmpeg-ocaml-dev
libffmpeg-ocaml-dev-71tf9
本虛擬套件由這些套件填實: libffmpeg-ocaml-dev
libffmpeg-ocaml-dev-ykg67
本虛擬套件由這些套件填實: libffmpeg-ocaml-dev
libffmpeg-ocaml-ykg67
本虛擬套件由這些套件填實: libffmpeg-ocaml
libffmpegthumbnailer-dev (2.1.1-0.2+b1)
development files for ffmpegthumbnailer
libffmpegthumbnailer4v5 (2.1.1-0.2+b1)
shared library for ffmpegthumbnailer
libffms2-4 (2.23-4)
Cross platform ffmpeg wrapper library
libffms2-dev (2.23-4)
Development files for libffms2
libfftw3-3 (3.3.8-2)
Library for computing Fast Fourier Transforms
libfftw3-bin (3.3.8-2)
Library for computing Fast Fourier Transforms - Tools
libfftw3-dev (3.3.8-2)
Library for computing Fast Fourier Transforms - development
libfftw3-doc (3.3.8-2)
Documentation for fftw version 3
libfftw3-double3 (3.3.8-2)
Library for computing Fast Fourier Transforms - Double precision
libfftw3-long3 (3.3.8-2)
Library for computing Fast Fourier Transforms - Long precision
libfftw3-mpi-dev (3.3.8-2)
MPI Library for computing Fast Fourier Transforms - development
libfftw3-mpi3 (3.3.8-2)
MPI Library for computing Fast Fourier Transforms
libfftw3-quad3 (3.3.8-2)
Library for computing Fast Fourier Transforms - Quad precision
libfftw3-single3 (3.3.8-2)
Library for computing Fast Fourier Transforms - Single precision
libfgetdata6 (0.10.0-10)
library to read/write dirfile data - Fortran 77 bindings
libfido2-1 (1.6.0-2)
library for generating and verifying FIDO 2.0 objects
libfido2-dev (1.6.0-2)
library for generating and verifying FIDO 2.0 objects -- headers
libfido2-doc (1.6.0-2)
library for generating and verifying FIDO 2.0 objects -- documentation
libfieldslib-ocaml (1:0.14.0-1+b1)
OCaml syntax extension that enables folding over record fields (runtime files)
libfieldslib-ocaml-dev (1:0.14.0-1+b1)
OCaml syntax extension that enables folding over record fields (dev files)
libfieldslib-ocaml-dev-ieva2
本虛擬套件由這些套件填實: libfieldslib-ocaml-dev
libfieldslib-ocaml-dev-tn581
本虛擬套件由這些套件填實: libfieldslib-ocaml-dev
libfieldslib-ocaml-ieva2
本虛擬套件由這些套件填實: libfieldslib-ocaml
libfieldslib-ocaml-tn581
本虛擬套件由這些套件填實: libfieldslib-ocaml
libfifechan-dev (0.1.5-2)
development files for the GUI library Fifechan
libfifechan0.1.5 (0.1.5-2)
lightweight cross platform GUI library written in C++
libfile-basedir-perl (0.08-1)
Perl module to use the freedesktop basedir specification
libfile-bom-perl (0.18-1)
Perl module for handling Byte Order Marks
libfile-cache-perl (0.16-15)
File::Cache, a filesystem-based object store
libfile-changenotify-perl (0.31-1)
module to monitor files for changes
libfile-chdir-perl (0.1008-1.1)
more sensible way to change directories
libfile-checktree-perl (4.42-1.1)
module for running many filetest checks on a tree
libfile-chmod-perl (0.42-1)
chmod() override with symbolic and ls-style modes
libfile-configdir-perl (0.021-1)
get directories of configuration files
libfile-copy-link-perl (0.140-2.1)
Perl extension for replacing a link by a copy of the linked file
libfile-copy-recursive-perl (0.45-1)
Perl extension for recursively copying files and directories
libfile-copy-recursive-reduced-perl (0.006-1.1)
module for recursive copying of files and directories
libfile-counterfile-perl (1.04-5.1)
persistent counter class for Perl
libfile-countlines-perl (0.0.3-2.1)
module for efficiently counting the number of lines in a file
libfile-data-perl (1.20-1.1)
interface to file data
libfile-desktopentry-perl (0.22-2)
Perl module to handle freedesktop .desktop files
libfile-dircompare-perl (0.7-2)
Perl module to compare two directories
libfile-dirlist-perl (0.05-2)
provide a sorted list of directory content
libfile-dropbox-perl (0.7-1.1)
convenient and fast Dropbox API abstraction
libfile-extattr-perl (1.09-5+b1)
Perl interface to file system extended attributes
libfile-fcntllock-perl (0.22-3+b7)
Perl module for file locking with fcntl(2)
libfile-find-object-perl (0.3.5-1)
object oriented File::Find replacement
libfile-find-object-rule-perl (0.0312-1)
alternative interface to File::Find::Object
libfile-find-rule-filesys-virtual-perl (1.22-2.1)
File::Find::Rule adapted to Filesys::Virtual
libfile-find-rule-perl (0.34-1)
module to search for files based on rules
libfile-find-rule-perl-perl (1.15-2)
Perl module for searching Perl things
libfile-find-rule-vcs-perl (1.08-2.1)
Perl module to exclude files/directories for Version Control Systems
libfile-find-wanted-perl (1.00-1.1)
more obvious wrapper around File::Find
libfile-finder-perl (0.53-2)
wrapper for File::Find à la find(1)
libfile-flat-perl (1.07-1)
flat filesystem module for perl
libfile-flock-perl (2014.01-2.1)
file locking with flock
libfile-flock-retry-perl (0.631-1)
lightweight OO-only flock perl module with autoretry
libfile-fnmatch-perl (0.02-2+b10 [s390x], 0.02-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.02-2+b7 [mips64el, ppc64el])
Perl module that provides simple filename and pathname matching
libfile-fu-perl (0.0.8-3)
module for manipulating files and directories as objects
libfile-grep-perl (0.02-1.1)
module for pattern matching in a series of files
libfile-homedir-perl (1.006-1)
Perl module for finding user directories across platforms
libfile-inplace-perl (0.20-2)
Perl module to ease editing a file in-place
libfile-keepass-perl (2.03-1.1)
interface to KeePass V1 and V2 database files
libfile-lchown-perl (0.02-2+b7)
module to modify attributes of symlinks without dereferencing them
libfile-libmagic-perl (1.23-1+b1)
Perl interface to libmagic for determining file type
libfile-listing-perl (6.14-1)
module to parse directory listings
libfile-localizenewlines-perl (1.12-1.1)
Perl module to localize the newlines for one or more files
libfile-map-perl (0.67-1+b1)
Perl module providing simple and safe memory mapping
libfile-mimeinfo-perl (0.30-1)
Perl module to determine file types
libfile-mmagic-perl (1.30-1.1)
Perl module to guess file type
libfile-mmagic-xs-perl (0.09008-3)
Perl module to guess file type (à la mod_mime_magic)
libfile-modified-perl (0.10-1)
module to check if files have changed
libfile-monitor-lite-perl (0.652003-1.1)
module for monitoring file creation, deletion, and modification
libfile-monitor-perl (1.00-1.1)
module to monitor file and directory changes
libfile-ncopy-perl (0.36-2.1)
module for file copying like cp
libfile-next-perl (1.18-1)
file-finding iterator
libfile-nfslock-perl (1.29-1.1)
perl module to do NFS (or not) locking
libfile-path-expand-perl (1.02-3.1)
expand user directories in filenames
libfile-path-perl (= 2.16)
本虛擬套件由這些套件填實: perl-base
libfile-path-tiny-perl (1.0-1)
recursive version of mkdir() and rmdir()
libfile-pid-perl (1.01-2.1)
Perl module for pid file manipulation
libfile-policy-perl (1.005-2.1)
simple policy for file I/O functions
libfile-pushd-perl (1.016-1)
module for changing directory temporarily for a limited scope
libfile-queue-perl (1.01a-2)
Perl module providing a persistent FIFO Queue using a file
libfile-read-perl (0.0801-5.1)
interface for reading one or more files
libfile-readbackwards-perl (1.05-2)
Perl module for reading a file backwards by lines
libfile-remove-perl (1.60-1)
Perl module to remove files or directories
libfile-rename-perl
本虛擬套件由這些套件填實: rename
libfile-rsync-perl (0.49-1)
Perl module interface to rsync
libfile-save-home-perl (0.11-1)
Perl extension to place file safely under user home directory
libfile-searchpath-perl (0.06-2.2)
Perl module for searching for a file in a PATH-like variable
libfile-share-perl (0.25-1.1)
replacement for File::ShareDir to deal with a development environment
libfile-sharedir-install-perl (0.13-1.1)
module for installing read-only data files
libfile-sharedir-par-perl (0.06-2)
module for handling shared data in PAR archives
libfile-sharedir-perl (1.118-1)
module to locate non-code files during run-time
libfile-sharedir-projectdistdir-perl (1.000009-1)
simple set-and-forget use of a share directory in the project root
libfile-slurp-perl (9999.32-1)
single call read & write file routines
libfile-slurp-tiny-perl (0.004-1)
simple, sane and efficient file slurper
libfile-slurp-unicode-perl (0.7.1-2.1)
Perl module to add Unicode support for the File::Slurp package
libfile-slurper-perl (0.012-1)
simple, sane and efficient module to slurp a file
libfile-sort-perl (1.01-2)
module to sort a file or merge sort multiple files
libfile-spec-native-perl (1.004-1)
module for using native OS implementation of File::Spec from a subclass
libfile-spec-perl (= 3.7800)
本虛擬套件由這些套件填實: perl
libfile-stripnondeterminism-perl (1.12.0-1)
file non-deterministic information stripper — Perl module
libfile-sync-perl (0.11-2+b10 [s390x], 0.11-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.11-2+b7 [mips64el, ppc64el])
Perl interface to sync() and fsync()
libfile-tail-perl (1.3-6)
File::Tail perl module
libfile-tee-perl (0.07-2)
Perl module to duplicate streams like the tee unix command
libfile-temp-perl (= 0.2309)
本虛擬套件由這些套件填實: perl-base
libfile-touch-perl (0.11-1)
Perl interface to touch-like functions
libfile-type-perl (0.22-3.1)
module to determine file type using magic structure
libfile-type-webimages-perl (1.01-2.1)
tool for determining web image file types using magic
libfile-userconfig-perl (0.06-4)
provides configuration directory for applications
libfile-util-perl (4.201720-1)
Perl extension to handle files easily
libfile-which-perl (1.23-1)
Perl module for searching paths for executable programs
libfile-wildcard-perl (0.11-3.1)
Enhanced glob processing
libfile-write-rotate-perl (0.321-1)
module to deal with files that archive/rotate themselves
libfile-zglob-perl (0.11-1.1)
extended globs
libfileclasses1 (2.6.7.6+ds-3+b1)
GDAL add-on tools to perform useful raster processing - libfileClasses
libfilehandle-fmode-perl (0.14-2+b3)
Perl module for checking filehandle status
libfilehandle-unget-perl (0.1634-1.1)
Perl module that allows ungetting of multiple bytes
libfilesys-df-perl (0.92-6+b6)
Module to obtain filesystem disk space information
libfilesys-diskspace-perl (0.05-16+nmu2.1)
fetch filesystem size and usage information from Perl
libfilesys-notify-simple-perl (0.14-1)
simple file system monitor
libfilesys-smbclient-perl (3.2-3+b3)
perl interface to access Samba filesystem
libfilesys-statvfs-perl (0.82-3+b10 [s390x], 0.82-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.82-3+b7 [mips64el, ppc64el])
Perl module for the statvfs system call
libfilesys-virtual-perl (0.06-1.1)
Perl framework for a virtual filesystem
libfilesys-virtual-plain-perl (0.10-1.1)
plain virtual filesystem
libfilesystem-ruby (0.5-5.2)
Transitional package for ruby-filesystem
libfilesystem-ruby
本虛擬套件由這些套件填實: ruby-filesystem
libfilesystem-ruby1.8 (0.5-5.2)
Transitional package for ruby-filesystem
libfilesystem-ruby1.8
本虛擬套件由這些套件填實: ruby-filesystem
libfilesystem-ruby1.9 (0.5-5.2)
Transitional package for ruby-filesystem
libfilesystem-ruby1.9
本虛擬套件由這些套件填實: ruby-filesystem
libfileutils-ocaml-dev (0.6.3-1+b1)
File manipulation for OCaml
libfileutils-ocaml-dev-4zt32
本虛擬套件由這些套件填實: libfileutils-ocaml-dev
libfileutils-ocaml-dev-cn9q8
本虛擬套件由這些套件填實: libfileutils-ocaml-dev
libfileutils-ocaml-dev-e9gj2
本虛擬套件由這些套件填實: libfileutils-ocaml-dev
libfileutils-ocaml-dev-fn3z4
本虛擬套件由這些套件填實: libfileutils-ocaml-dev
libfilezilla-dev (0.26.0-1+b1 [amd64], 0.26.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
build high-performing platform-independent programs (development)
libfilezilla11 (0.26.0-1+b1 [amd64], 0.26.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
build high-performing platform-independent programs (runtime lib)
libfilter-eof-perl (0.04-3)
module to run a callback after a file has been compiled
libfilter-perl (1.60-1+b1)
Perl source filters
libfilter-signatures-perl (0.17-1)
compatibility shim for subroutine signatures
libfilter-template-perl (1.043-1.1)
source filter for inline code templates (macros)
libfinance-bank-ie-permanenttsb-perl (0.4-3.1)
perl interface to the PermanentTSB Open24 homebanking
libfinance-qif-perl (3.02-1.1)
Parse and create Quicken Interchange Format files
libfinance-quote-perl (1.50~rc2-2)
Perl module for retrieving stock quotes from a variety of sources
libfinance-quotehist-perl (1.32-1)
Perl modules for fetching historical stock quotes from the web
libfinance-streamer-perl (1.10-3.1)
Perl5 module with interface to Datek Streamer
libfinbin-java (0.6.2-3)
finbin - java library for hi-speed byte array search
libfind-lib-perl (1.04-1.1)
Perl module to intelligently find libraries
libfindbin-libs-perl (2.190.02-1)
Perl module to use a relative path for lib directories
libfindbugs-annotations-java (3.1.0~preview2-3)
Static java code analyzer to find bugs (Annotations)
libfindbugs-ant-java (3.1.0~preview2-3)
Static java code analyzer to find bugs (Ant tasks)
libfindbugs-java (3.1.0~preview2-3)
Static java code analyzer to find bugs (Library)
libfindlib-ocaml (1.8.1-2)
library for managing OCaml libraries (runtime)
libfindlib-ocaml-171z0
本虛擬套件由這些套件填實: libfindlib-ocaml
libfindlib-ocaml-agxk0
本虛擬套件由這些套件填實: libfindlib-ocaml
libfindlib-ocaml-ccbz8
本虛擬套件由這些套件填實: libfindlib-ocaml
libfindlib-ocaml-dev (1.8.1-2)
library for managing OCaml libraries (development files)
libfindlib-ocaml-dev-171z0
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-dev-agxk0
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-dev-ccbz8
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-dev-kzn55
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-dev-p0yg8
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-dev-sjho4
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-dev-wh6n1
本虛擬套件由這些套件填實: libfindlib-ocaml-dev
libfindlib-ocaml-kzn55
本虛擬套件由這些套件填實: libfindlib-ocaml
libfindlib-ocaml-p0yg8
本虛擬套件由這些套件填實: libfindlib-ocaml
libfindlib-ocaml-sjho4
本虛擬套件由這些套件填實: libfindlib-ocaml
libfindlib-ocaml-wh6n1
本虛擬套件由這些套件填實: libfindlib-ocaml
libfishsound-dev
本虛擬套件由這些套件填實: libfishsound1-dev
libfishsound1 (1.0.0-8)
simple API that wraps Xiph.Org audio codecs
libfishsound1-dev (1.0.0-8)
simple API that wraps Xiph.Org audio codecs (development files)
libfits-java (1.15.2-2)
Java library for the I/O handling of FITS files
libfits-java-doc (1.15.2-2)
Java library for the I/O handling of FITS files (javadoc)
libfiu-dev (1.00-7+b3)
userspace fault injection framework (development libraries)
libfiu0 (1.00-7+b3)
userspace fault injection framework
libfixbuf-dev (2.4.0+ds-2)
Implementation of the IPFIX protocol - development headers
libfixbuf-doc (2.4.0+ds-2)
Implementation of the IPFIX protocol - documentation
libfixbuf-tools (2.4.0+ds-2)
Implementation of the IPFIX protocol - tools
libfixbuf9 (2.4.0+ds-2)
Implementation of the IPFIX protocol - shared library
libfixposix-dev (1:0.4.3-1+b1)
Replacement for inconsistent parts of POSIX (development)
libfixposix3 (1:0.4.3-1+b1)
Replacement for inconsistent parts of POSIX (runtime)
libfizmo-common (0.7.15-2.1)
Provides localization data for libfizmo
libfizmo-dev (0.7.15-2.1)
Z-Machine interpreter developer library
libfko-doc (2.6.10-12)
FireWall KNock OPerator - documentation
libfko-perl (2.6.10-12)
FireWall KNock OPerator - Perl module
libfko3 (2.6.10-12)
FireWall KNock OPerator - shared library
libfko3-dev (2.6.10-12)
FireWall KNock OPerator - development library
libfl-dev (2.6.4-8)
static library for flex (a fast lexical analyzer generator)
libfl2 (2.6.4-8)
SHARED library for flex (a fast lexical analyzer generator)
libflac++-dev (1.3.3-2+deb11u2)
Free Lossless Audio Codec - C++ development library
libflac++6v5 (1.3.3-2+deb11u2)
Free Lossless Audio Codec - C++ runtime library
libflac-dev (1.3.3-2+deb11u2)
Free Lossless Audio Codec - C development library
libflac-doc (1.3.3-2+deb11u2)
Free Lossless Audio Codec - library documentation
libflac-ocaml (0.2.0.1~really0.1.7-1)
OCaml interface to the flac library -- runtime files
libflac-ocaml-0mf56
本虛擬套件由這些套件填實: libflac-ocaml
libflac-ocaml-80w29
本虛擬套件由這些套件填實: libflac-ocaml
libflac-ocaml-dev (0.2.0.1~really0.1.7-1)
OCaml interface to the flac library -- development files
libflac-ocaml-dev-0mf56
本虛擬套件由這些套件填實: libflac-ocaml-dev
libflac-ocaml-dev-80w29
本虛擬套件由這些套件填實: libflac-ocaml-dev
libflac-ocaml-dev-qbjv7
本虛擬套件由這些套件填實: libflac-ocaml-dev
libflac-ocaml-dev-vk0g6
本虛擬套件由這些套件填實: libflac-ocaml-dev
libflac-ocaml-qbjv7
本虛擬套件由這些套件填實: libflac-ocaml
libflac-ocaml-vk0g6
本虛擬套件由這些套件填實: libflac-ocaml
libflac8 (1.3.3-2+deb11u2)
Free Lossless Audio Codec - runtime C library
libflake-dev (0.11-4)
Alternative encoder for the Free Lossless Audio Codec -- Development files
libflame-dev (5.2.0-3)
High-performance object-based library for DLA computations (dev)
libflame1 (5.2.0-3)
High-performance object-based library for DLA computations (shlib)
libflamingo-java (7.3+dfsg3-5)
Provides a swing ribbon container for Java applications
libflamingo-java-doc (7.3+dfsg3-5)
Provides a swing ribbon container for Java applications (documentation)
libflang-16-dev (1:16.0.6-15~deb11u2)
Flang library - Development package
libflann-dev (1.9.1+dfsg-9)
Fast Library for Approximate Nearest Neighbors - development
libflann1.9 (1.9.1+dfsg-9)
Fast Library for Approximate Nearest Neighbors - runtime
libflashrom-dev (1.2-5)
BIOS/ROM/flash chips - development files
libflashrom1 (1.2-5)
Identify, read, write, erase, and verify BIOS/ROM/flash chips - library
libflatbuffers-dev (1.12.1~git20200711.33e2d80+dfsg1-0.6)
efficient cross platform serialization library - development files
libflatbuffers1 (1.12.1~git20200711.33e2d80+dfsg1-0.6)
efficient cross platform serialization library - shared library
libflathashmap-dev (0.0+git20180715.2c46874-2)
very fast hashtable header only library
libflatpak-dev (1.10.8-0+deb11u2) [security]
Application deployment framework for desktop apps (development)
libflatpak-doc (1.10.8-0+deb11u2) [security]
Application deployment framework for desktop apps (documentation)
libflatpak0 (1.10.8-0+deb11u2) [security]
Application deployment framework for desktop apps (library)
libflatzebra-0.1-2v5 (0.1.7-1)
Generic Game Engine library
libflatzebra-dev (0.1.7-1)
Generic Game Engine library development files
libflexdock-java (1.2.4-1.1)
Swing Java docking framework
libflexdock-java-demo (1.2.4-1.1)
Swing Java docking framework - demos and examples
libflexdock-java-doc (1.2.4-1.1)
Swing Java docking framework - demos and examples
libflext-pd0 (0.6.1-2)
Flext C++ external layer for Pd
libflickcurl-dev (1.26-5)
C library for accessing the Flickr API - development files
libflickcurl0 (1.26-5)
C library for accessing the Flickr API
libflickcurl0-dbg (1.26-5)
C library for accessing the Flickr API - debugging symbols
libflickr-api-perl (1.28-2)
Perl interface to the Flickr API
libflickr-upload-perl (1.60-1.1)
module for uploading images to flickr.com
libflightcrew-dev (0.9.3+dfsg-1)
C++ library development filesfor epub validation
libflightcrew0v5 (0.9.3+dfsg-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.3+dfsg-1+b2 [armel, armhf])
C++ library for epub validation
libflint-2.6.3 (2.6.3-3)
C library for number theory, shared library
libflint-arb-dev (1:2.19.0-1)
C library for arbitrary-precision ball arithmetic, development files
libflint-arb-doc (1:2.19.0-1)
C library for arbitrary-precision ball arithmetic, documentation
libflint-arb2 (1:2.19.0-1)
C library for arbitrary-precision ball arithmetic, shared library
libflint-dev (2.6.3-3)
C library for number theory, development files
libflint-doc (2.6.3-3)
Documentation for the FLINT library
libflite1 (2.2-2)
Small run-time speech synthesis engine - shared libraries
libflorist2020 (2017-9)
POSIX.5 Ada interface to operating system services: shared library
libflorist2020-dev (2017-9)
POSIX.5 Ada interface to operating system services: development
libfltk-cairo1.3 (1.3.5-3)
Fast Light Toolkit - Cairo rendering layer support
libfltk-dev
本虛擬套件由這些套件填實: libfltk1.1-dev, libfltk1.3-dev
libfltk-forms1.3 (1.3.5-3)
Fast Light Toolkit - Forms compatibility layer support
libfltk-gl1.3 (1.3.5-3)
Fast Light Toolkit - OpenGL rendering support
libfltk-images1.3 (1.3.5-3)
Fast Light Toolkit - image loading support
libfltk1.1 (1.1.10-29)
Fast Light Toolkit - shared libraries
libfltk1.1-dev (1.1.10-29)
Fast Light Toolkit - development files
libfltk1.3 (1.3.5-3)
Fast Light Toolkit - main shared library
libfltk1.3-compat-headers (1.3.5-3)
Fast Light Toolkit - compatibility header symlinks
libfltk1.3-dev (1.3.5-3)
Fast Light Toolkit - development files
libfluidsynth-dev (2.1.7-1.1)
Real-time MIDI software synthesizer (development files)
libfluidsynth2 (2.1.7-1.1)
Real-time MIDI software synthesizer (runtime library)
libflute-java (1:1.1.6-4)
Java CSS parser using SAC (JFree version)
libflute-java-doc (1:1.1.6-4)
Java CSS parser using SAC (JFree version) -- documentation
libflxmlrpc-dev (0.1.4-5)
fldigi suite XmlRpc library - Development files
libflxmlrpc1 (0.1.4-5)
fldigi suite XmlRpc library
libfm-data (1.3.2-1)
file management support (common data)
libfm-dev (1.3.2-1)
file management support (core development files)
libfm-doc (1.3.2-1)
file management support (development documentation)
libfm-extra-dev (1.3.2-1)
file management support (libfm-extra development files)
libfm-extra4 (1.3.2-1)
file management support (extra library)
libfm-gtk-bin
本虛擬套件由這些套件填實: libfm-tools
libfm-gtk-data (1.3.2-1)
file management support (GTK+ library common data)
libfm-gtk-dev (1.3.2-1)
file management support (GTK+ GUI development files)
libfm-gtk4 (1.3.2-1)
file management support (GTK+ 2.0 GUI library)
libfm-modules (1.3.2-1)
file management support (loadable modules for library)
libfm-qt
本虛擬套件由這些套件填實: libfm-qt8
libfm-qt-dev (0.16.0-3)
file management support library for pcmanfm-qt (development files)
libfm-qt-l10n (0.16.0-3)
Language package for libfm-qt
libfm-qt8 (0.16.0-3)
file management support for pcmanfm-qt
libfm-tools (1.3.2-1)
file management support (utilities)
libfm4 (1.3.2-1)
file management support (core library)
libfml-dev (0.1+git20190320.b499514-1+b1)
development headers for libfml
libfml0 (0.1+git20190320.b499514-1+b1)
library for assembling Illumina short reads in small regions
libfmt-dev (7.1.3+ds1-5)
fast type-safe C++ formatting library -- development files
libfmt-doc (7.1.3+ds1-5)
fast type-safe C++ formatting library -- documentation
libfmt-ocaml (0.8.9-1)
OCaml Format pretty-printer combinators (runtime)
libfmt-ocaml-572e7
本虛擬套件由這些套件填實: libfmt-ocaml
libfmt-ocaml-dev (0.8.9-1)
OCaml Format pretty-printer combinators (development)
libfmt-ocaml-dev-572e7
本虛擬套件由這些套件填實: libfmt-ocaml-dev
libfmt-ocaml-dev-klxd5
本虛擬套件由這些套件填實: libfmt-ocaml-dev
libfmt-ocaml-dev-rqkp6
本虛擬套件由這些套件填實: libfmt-ocaml-dev
libfmt-ocaml-dev-zfy94
本虛擬套件由這些套件填實: libfmt-ocaml-dev
libfmt-ocaml-klxd5
本虛擬套件由這些套件填實: libfmt-ocaml
libfmt-ocaml-rqkp6
本虛擬套件由這些套件填實: libfmt-ocaml
libfmt-ocaml-zfy94
本虛擬套件由這些套件填實: libfmt-ocaml
libfmt7 (7.1.3+ds1-5)
fast type-safe C++ formatting library -- library
libfolia-dev (2.4-2+b1)
Implementation of the FoLiA document format (C++ headers)
libfolia14 (2.4-2+b1)
Implementation of the FoLiA document format
libfolks-dev (0.14.0-1+b1)
library to aggregates people into metacontact - development files
libfolks-doc (0.14.0-1)
library to aggregates people into metacontact - documentation
libfolks-dummy-dev (0.14.0-1+b1)
Dummy backend for libfolks - development files
libfolks-dummy-doc (0.14.0-1)
Dummy backend for libfolks - documentation
libfolks-dummy25 (0.14.0-1+b1)
Dummy backend for libfolks
libfolks-eds-dev (0.14.0-1+b1)
Evolution-data-server backend for libfolks - development files
libfolks-eds-doc (0.14.0-1)
Evolution-data-server backend for libfolks - documentation
libfolks-eds25 (0.14.0-1+b1)
Evolution-data-server backend for libfolks
libfolks-telepathy-dev (0.14.0-1+b1)
Telepathy backend for libfolks - development files
libfolks-telepathy-doc (0.14.0-1)
Telepathy backend for libfolks - documentation
libfolks-telepathy25 (0.14.0-1+b1)
Telepathy backend for libfolks
libfolks25 (0.14.0-1+b1)
library to aggregates people into metacontacts
libfoma-dev (1:0.9.18+r243-8)
Transitional package for libfoma0-dev
libfoma0 (1:0.9.18+r243-8)
Foma runtime libraries
libfoma0-dev (1:0.9.18+r243-8)
Foma development files
libfont-afm-perl (1.20-3)
Perl interface to Adobe Font Metrics files
libfont-freetype-perl (0.16-1+b1)
module to read font files and render glyphs from Perl using FreeType2
libfont-ttf-perl (1.06-1.1)
Perl module for TrueType font hacking
libfontawesomefx-java (9.1.2-2)
Font Awesome perfectly integrated into JavaFX
libfontbox-java (1:1.8.16-2)
Java font library
libfontbox-java-doc (1:1.8.16-2)
Java font library (Documentation)
libfontbox2-java (2.0.23-1)
Java font library
libfontbox2-java-doc (2.0.23-1)
Java font library (Documentation)
libfontchooser-java (1.0.0-3)
Java control to allow font selection
libfontconfig
本虛擬套件由這些套件填實: libfontconfig1
libfontconfig-dev (2.13.1-4.2)
generic font configuration library - development
libfontconfig-doc (2.13.1-4.2)
generic font configuration library - documentation
libfontconfig1 (2.13.1-4.2)
generic font configuration library - runtime
libfontconfig1-dev (2.13.1-4.2)
generic font configuration library - dummy package
libfontconfig1-dev (= 2.13.1-4.2)
本虛擬套件由這些套件填實: libfontconfig-dev
libfontembed-dev (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - Development files for font embed library
libfontembed1 (1.28.7-1+deb11u2)
OpenPrinting CUPS Filters - Font Embed Shared library
libfontenc-dev (1:1.1.4-1)
X11 font encoding library (development headers)
libfontenc1 (1:1.1.4-1)
X11 font encoding library
libfontenc1-udeb (1:1.1.4-1)
X11 font encoding library
libfontforge4 (1:20201107~dfsg-4+deb11u1) [security]
font editor - runtime library
libfonts-java (1.1.6.dfsg-3.1)
Java fonts layouting library
libfonts-java-doc (1.1.6.dfsg-3.1)
Java fonts layouting library -- documentation
libfoonathan-memory-dev (0.7-3)
STL compatible C++ memory allocator library - development headers
libfoonathan-memory-doc (0.7-3)
STL compatible C++ memory allocator library - documentation
libfoonathan-memory0d (0.7-3)
STL compatible C++ memory allocator library
libfop-java (1:2.5-3)
XML formatter driven by XSL Formatting Objects (XSL-FO.) - libs
libforest-perl (0.10-1.1)
collection of N-ary tree related modules
libforge-dev (1.0.1-3)
development files for forge
libforge1 (1.0.1-3)
high-performance OpenGL visualization
libforks-perl (0.36-2+b6)
Perl module to emulate threads with fork
libformat-human-bytes-perl (0.06-1.1)
Format a bytecount and make it human readable
libforms-bin (1.2.3-1.6)
Support binaries for the XForms widget library
libforms-dev (1.2.3-1.6)
Header files and static libraries for the XForms widget library
libforms-doc (1.2.3-1.6)
Documentation for the XForms graphical interface library
libforms2 (1.2.3-1.6)
The XForms graphical interface widget library
libformsgl-dev (1.2.3-1.6)
Header files and static libraries for the OpenGL XForms library
libformsgl2 (1.2.3-1.6)
The OpenGL XForms graphical interface widget library
libformula-java (1.1.7.dfsg-2.1)
Excel(tm) style formula expressions library
libformula-java-doc (1.1.7.dfsg-2.1)
Excel(tm) style formula expressions library
libformvalidator-simple-perl (0.29-2.1)
module for validating forms with simple chains of constraints
libfortran-format-perl (0.90-2.1)
Package to parse Fortran formats string descriptors in Perl
libfortune-perl (0.2+ds-1.1)
Perl module to read fortune (strfile) databases
libfosfat0 (0.4.0-13-ged091bb-3)
API for the Smaky file system
libfosgra0 (0.4.0-13-ged091bb-3)
library to decode Smaky .IMAGE files
libfox-1.6-0 (1.6.57-1)
FOX C++ GUI Toolkit - runtime library
libfox-1.6-dev (1.6.57-1)
FOX C++ GUI Toolkit - development headers
libfox-1.6-doc (1.6.57-1)
FOX C++ GUI Toolkit - documentation
libfp16-dev (0.0~git20200514.4dfe081-2)
Conversion to/from half-precision floating point formats
libfparser-dev (4.5.2-2)
Function Parser for C++ - development files
libfparser4 (4.5.2-2)
Function Parser for C++
libfpath-ocaml (0.7.3-2+b1)
OCaml library for handling file system paths (runtime)
libfpath-ocaml-6r9w0
本虛擬套件由這些套件填實: libfpath-ocaml
libfpath-ocaml-8fxz8
本虛擬套件由這些套件填實: libfpath-ocaml
libfpath-ocaml-dev (0.7.3-2+b1)
OCaml library for handling file system paths (development)
libfpath-ocaml-dev-6r9w0
本虛擬套件由這些套件填實: libfpath-ocaml-dev
libfpath-ocaml-dev-8fxz8
本虛擬套件由這些套件填實: libfpath-ocaml-dev
libfpath-ocaml-dev-gg6c9
本虛擬套件由這些套件填實: libfpath-ocaml-dev
libfpath-ocaml-dev-mcgu5
本虛擬套件由這些套件填實: libfpath-ocaml-dev
libfpath-ocaml-gg6c9
本虛擬套件由這些套件填實: libfpath-ocaml
libfpath-ocaml-mcgu5
本虛擬套件由這些套件填實: libfpath-ocaml
libfpga-dev (0.0+201212-1+b2)
development files for fpgatools
libfpga0 (0.0+201212-1+b2)
libraries of fpgatools
libfplll-dev (5.4.0-2)
Library for LLL-reduction of Euclidean lattices, development
libfplll7 (5.4.0-2)
Library for LLL-reduction of Euclidean lattices, runtime
libfplll7-data (5.4.0-2)
Library for LLL-reduction of Euclidean lattices, data
libfpm-helper0 (4.2-2.2)
ASP.NET backend for FastCGI Process Manager - helper lib
libfprint-2-2 (1:1.90.7-2)
async fingerprint library of fprint project, shared libraries
libfprint-2-dev (1:1.90.7-2)
async fingerprint library of fprint project, development headers
libfprint-2-doc (1:1.90.7-2)
async fingerprint library of fprint project, API documentation
libfpzip-dev (1.3.0-2)
Development files for the FP array compression library
libfpzip1 (1.3.0-2)
FP array compression library
libframe-dev (2.5.0-3+b2)
Touch Frame Library - dev files
libframe6 (2.5.0-3+b2)
Touch Frame Library
libfreecad-python3-0.19 (0.19.1+dfsg1-2+deb11u1)
Extensible Open Source CAx program - Python 3 library files
libfreecell-solver-dev (5.0.0-2+b1 [amd64], 5.0.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Library for solving Freecell games (Development files)
libfreecell-solver0 (5.0.0-2+b1 [amd64], 5.0.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Library for solving Freecell games
libfreecontact-dev (1.0.21-9)
fast protein contact predictor library - development files
libfreecontact-dev
本虛擬套件由這些套件填實: libfreecontact-dev
libfreecontact-doc (1.0.21-9)
documentation for libfreecontact
libfreecontact-perl (0.08-8)
fast protein contact predictor - binding for Perl
libfreecontact0v5 (1.0.21-9)
fast protein contact predictor library
libfreediameter-dev (1.2.1-8)
Library for the Diameter protocol (development files)
libfreefare-bin (0.4.0-2.1)
MIFARE card manipulations binaries
libfreefare-dev (0.4.0-2.1)
MIFARE card manipulations library (development files)
libfreefare-doc (0.4.0-2.1)
documentation for libfreefare
libfreefare0 (0.4.0-2.1)
MIFARE card manipulations library
libfreefem
本虛擬套件由這些套件填實: libfreefem0
libfreefem-dev (3.5.8-7)
Development library, header files and manpages
libfreefem-doc (3.5.8-7)
Documentation for FreeFEM development
libfreefem0 (3.5.8-7)
Shared libraries for FreeFEM
libfreehand-0.1-1 (0.1.2-3)
Library for parsing the FreeHand file format structure
libfreehand-dev (0.1.2-3)
Library for parsing the FreeHand file format structure -- development
libfreehep-chartableconverter-plugin-java (2.0-10)
FreeHEP Character Table Converter
libfreehep-export-java (2.1.1-4)
FreeHEP Export and Save As Library
libfreehep-graphics2d-java (2.4+dfsg-3)
FreeHEP 2D Graphics Library
libfreehep-graphicsbase-java (2.4+dfsg-3)
FreeHEP Graphics Base Library
libfreehep-graphicsio-emf-java (2.4+dfsg-3)
FreeHEP Enhanced Metafile Format Driver
libfreehep-graphicsio-java (2.4+dfsg-3)
FreeHEP GraphicsIO Base Library
libfreehep-graphicsio-java-java (2.4+dfsg-3)
FreeHEP Java Driver
libfreehep-graphicsio-pdf-java (2.4+dfsg-3)
FreeHEP Portable Document Format Driver
libfreehep-graphicsio-ps-java (2.4+dfsg-3)
FreeHEP (Encapsulated) PostScript Driver
libfreehep-graphicsio-svg-java (2.4+dfsg-3)
FreeHEP Scalable Vector Graphics Driver
libfreehep-graphicsio-swf-java (2.4+dfsg-3)
FreeHEP SWF (Flash) Driver
libfreehep-graphicsio-tests-java (2.4+dfsg-3)
FreeHEP GraphicsIO Test Library
libfreehep-io-java (2.0.2-6)
FreeHEP I/O library
libfreehep-swing-java (2.0.3-5)
FreeHEP swing extensions
libfreehep-util-java (2.0.2-7)
FreeHEP utility library
libfreehep-xml-java (2.1.2+dfsg1-5)
FreeHEP XML Library
libfreeimage-dev (3.18.0+ds2-6+deb11u1)
Support library for graphics image formats (development files)
libfreeimage3 (3.18.0+ds2-6+deb11u1)
Support library for graphics image formats (library)
libfreeimageplus-dev (3.18.0+ds2-6+deb11u1)
C++ wrappers for FreeImage (development files)
libfreeimageplus-doc (3.18.0+ds2-6+deb11u1)
C++ wrappers for FreeImage (documentation)
libfreeimageplus3 (3.18.0+ds2-6+deb11u1)
C++ wrappers for freeimage (library)
libfreeipmi-dev (1.6.6-4+deb11u1)
GNU IPMI - development package
libfreeipmi17 (1.6.6-4+deb11u1)
GNU IPMI - libraries
libfreemarker-java (2.3.23-11)
template engine written in Java
libfreemarker-java-doc (2.3.23-11)
template engine written in Java (documentation)
libfreenect-bin (1:0.5.3-2)
library for accessing Kinect device -- utilities and samples
libfreenect-demos (1:0.5.3-2)
library for accessing Kinect device -- dummy package
libfreenect-dev (1:0.5.3-2)
library for accessing Kinect device -- development files
libfreenect-doc (1:0.5.3-2)
library for accessing Kinect device -- documentation
libfreenect0.5 (1:0.5.3-2)
library for accessing Kinect device
libfreeradius-dev (3.0.21+dfsg-2.2+deb11u1)
FreeRADIUS shared library development files
libfreeradius3 (3.0.21+dfsg-2.2+deb11u1)
FreeRADIUS shared library
libfreerdp-client2-2 (2.3.0+dfsg1-2+deb11u1)
Free Remote Desktop Protocol library (client library)
libfreerdp-server2-2 (2.3.0+dfsg1-2+deb11u1)
Free Remote Desktop Protocol library (server library)
libfreerdp-shadow-subsystem2-2 (2.3.0+dfsg1-2+deb11u1)
FreeRDP Remote Desktop Protocol shadow subsystem libraries
libfreerdp-shadow2-2 (2.3.0+dfsg1-2+deb11u1)
FreeRDP Remote Desktop Protocol shadow libraries
libfreerdp2-2 (2.3.0+dfsg1-2+deb11u1)
Free Remote Desktop Protocol library (core library)
libfreesrp-dev (0.3.0-2)
Software defined radio support for FreeSRP hardware (development files)
libfreesrp0 (0.3.0-2)
Software defined radio support for FreeSRP hardware (library)
libfreetype-dev (2.10.4+dfsg-1+deb11u1)
FreeType 2 font engine, development files
libfreetype6 (2.10.4+dfsg-1+deb11u1)
FreeType 2 font engine, shared library files
libfreetype6-dev (2.10.4+dfsg-1+deb11u1)
FreeType 2 font engine, development files (transitional package)
libfreetype6-udeb (2.10.4+dfsg-1+deb11u1)
FreeType 2 font engine for the debian-installer
libfreexl-dev (1.0.6-1)
library for direct reading of Microsoft Excel spreadsheets - devel
libfreexl1 (1.0.6-1)
library for direct reading of Microsoft Excel spreadsheets
libfreezethaw-perl (0.5001-2.1)
module to serialize and deserialize Perl data structures
libfrei0r-ocaml (0.1.1-1+b1)
OCaml interface to the frei0r API -- runtime files
libfrei0r-ocaml-79qr2
本虛擬套件由這些套件填實: libfrei0r-ocaml
libfrei0r-ocaml-dev (0.1.1-1+b1)
OCaml interface to the frei0r API -- developpement files
libfrei0r-ocaml-dev-79qr2
本虛擬套件由這些套件填實: libfrei0r-ocaml-dev
libfrei0r-ocaml-dev-lo082
本虛擬套件由這些套件填實: libfrei0r-ocaml-dev
libfrei0r-ocaml-dev-rguf6
本虛擬套件由這些套件填實: libfrei0r-ocaml-dev
libfrei0r-ocaml-dev-x7ab9
本虛擬套件由這些套件填實: libfrei0r-ocaml-dev
libfrei0r-ocaml-lo082
本虛擬套件由這些套件填實: libfrei0r-ocaml
libfrei0r-ocaml-rguf6
本虛擬套件由這些套件填實: libfrei0r-ocaml
libfrei0r-ocaml-x7ab9
本虛擬套件由這些套件填實: libfrei0r-ocaml
libfribidi-bin (1.0.8-2+deb11u1)
Free Implementation of the Unicode BiDi algorithm (utility)
libfribidi-dev (1.0.8-2+deb11u1)
Development files for FreeBidi library
libfribidi0 (1.0.8-2+deb11u1)
Free Implementation of the Unicode BiDi algorithm
libfribidi0-udeb (1.0.8-2+deb11u1)
Free Implementation of the Unicode BiDi algorithm
libfrobby-dev (0.9.1-1)
Computations with monomial ideals (development tools)
libfrobby-doc (0.9.1-1)
Computations with monomial ideals (library documentation)
libfrobby0 (0.9.1-1)
Computations with monomial ideals (shared library)
libfrog-dev (0.20-2+b1)
tagger and parser for natural languages (headers)
libfrog2 (0.20-2+b1)
tagger and parser for Dutch language (library)
libfrontier-rpc-perl (0.07b4-7.1)
Perl module to implement RPC calls using XML requests
libfs-dev (2:1.0.8-1)
X11 Font Services library (development headers)
libfs6 (2:1.0.8-1)
X11 Font Services library
libfsapfs-dev (20201107-1)
APFS access library -- development files
libfsapfs-utils (20201107-1)
APFS access library -- Utilities
libfsapfs1 (20201107-1)
APFS access library
libfsext-dev (20201107-1+b2)
library to access the Extended File System -- development files
libfsext-utils (20201107-1+b2)
library to access the Extended File System -- Utilities
libfsext1 (20201107-1+b2)
library to access the Extended File System
libfshfs-dev (20201104-1+b2)
library to access the Mac OS Hierarchical File System -- development files
libfshfs-utils (20201104-1+b2)
library to access the Mac OS Hierarchical File System -- Utilities
libfshfs1 (20201104-1+b2)
library to access the Mac OS Hierarchical File System
libfsntfs-dev (20200921-2)
NTFS access library -- development files
libfsntfs-utils (20200921-2)
NTFS access library -- Utilities
libfsntfs1 (20200921-2)
NTFS access library
libfsplib-dev (0.14-5)
FSP v2 protocol stack library - development files
libfsplib0 (0.14-5)
FSP v2 protocol stack library - shared library
libfstrcmp-dev (0.7.D001-1.2)
fuzzy string compare library - development files
libfstrcmp0 (0.7.D001-1.2)
fuzzy string compare library - runtime library
libfstrcmp0-dbg (0.7.D001-1.2)
fuzzy string compare library - debugging symbols
libfstrm-dev (0.6.0-1+b1)
Frame Streams (fstrm) library (development files)
libfstrm0 (0.6.0-1+b1)
Frame Streams (fstrm) library
libfsverity-dev (1.3-1)
Development files for fs-verity
libfsverity0 (1.3-1)
Shared library for fs-verity
libfsxfs-dev (20201117-1+b2)
llibrary to access the SGI X File System -- development files
libfsxfs-utils (20201117-1+b2)
llibrary to access the SGI X File System -- Utilities
libfsxfs1 (20201117-1+b2)
llibrary to access the SGI X File System
libftdi-dev (0.20-4+b1)
Development files for libftdi
libftdi1 (0.20-4+b1)
Library to control and program the FTDI USB controller
libftdi1-2 (1.5-5+b1)
C Library to control and program the FTDI USB controllers
libftdi1-dbg (0.20-4+b1)
Debugging symbols for libftdi1
libftdi1-dev (1.5-5+b1)
Development files for libftdi1
libftdi1-doc (1.5-5)
Documentation for libftdi1
libftdipp1-3 (1.5-5+b1)
C++ Library to control and program the FTDI USB controllers
libftdipp1-dev (1.5-5+b1)
Development files for libftdipp1
libftgl-dev (2.4.0-2.1)
development files for libftgl
libftgl2 (2.4.0-2.1)
library to render text in OpenGL using FreeType
libftp-dev (4.0-1-4)
Library of callable ftp routines (development)
libftp4 (4.0-1-4)
Library of callable ftp routines
libfunction-fallback-coreorpp-perl (0.090-1)
set of functions using non-core XS modules with pure-Perl/core fallback
libfunction-parameters-perl (2.001003-2+b3)
module for subroutine definitions with parameter lists
libfuntools-dev (1.4.7-4)
Minimal buy-in FITS library (development files)
libfuntools1 (1.4.7-4)
Minimal buy-in FITS library
libfurl-perl (3.13-2)
lightning-fast URL fetcher
libfuse-dev (2.9.9-5)
Filesystem in Userspace (development)
libfuse-perl (0.16.1+20180422git6becd92d7fce3fc411d7c-4+b3)
Perl bindings for FUSE (Filesystems in USErland
libfuse2 (2.9.9-5)
Filesystem in Userspace (library)
libfuse2-udeb (2.9.9-5)
Filesystem in Userspace (library)
libfuse3-3 (3.10.3-2)
Filesystem in Userspace (library) (3.x version)
libfuse3-3-udeb (3.10.3-2)
Filesystem in Userspace (library) (3.x version)
libfuse3-dev (3.10.3-2)
Filesystem in Userspace (development) (3.x version)
libfuture-asyncawait-perl (0.49-1)
deferred subroutine syntax for futures
libfuture-perl (0.47-1)
module for operations awaiting completion
libfuzzer-11-dev (1:11.0.1-2)
Library for coverage-guided fuzz testing
libfuzzer-13-dev (1:13.0.1-6~deb11u1)
Library for coverage-guided fuzz testing
libfuzzer-16-dev (1:16.0.6-15~deb11u2)
Library for coverage-guided fuzz testing
libfuzzer-9-dev (1:9.0.1-16.1)
Library for coverage-guided fuzz testing
libfuzzy-dev (2.14.1+git20180629.57fcfff-2)
recursive piecewise hashing tool (development headers)
libfuzzy2 (2.14.1+git20180629.57fcfff-2)
recursive piecewise hashing tool (library)
libfuzzylite-dev (6.0+dfsg-3)
fuzzy logic control development headers
libfuzzylite6.0 (6.0+dfsg-3)
fuzzy logic control shared library
libfvde-dev (20190104-1.1+b2)
FileVault Drive Encryption access library -- development files
libfvde-utils (20190104-1.1+b2)
FileVault Drive Encryption access library -- Utilities
libfvde1 (20190104-1.1+b2)
FileVault Drive Encryption access library
libfwnt-dev (20181227-1.1+b2)
Windows NT data type library -- development files
libfwnt1 (20181227-1.1+b2)
Windows NT data type library
libfwsi-dev (20181227-1.1+b2)
Windows Shell Item format access library -- development files
libfwsi1 (20181227-1.1+b2)
Windows Shell Item format access library
libfwupd-dev (1.5.7-4)
development files for libfwupd
libfwupd2 (1.5.7-4)
Firmware update daemon library
libfwupdplugin-dev (1.5.7-4)
development files for libfwupdplugin
libfwupdplugin1 (1.5.7-4)
Firmware update daemon plugin library
libfxdiv-dev (0.0~git20200417.b408327-3)
division via fixed-point multiplication by inverse
libfxt-dev (0.3.13-1)
Multithreaded tracing library
libfxt2 (0.3.13-1)
Multithreaded tracing library
libfyba-dev (4.1.1-7)
Header files for FYBA library
libfyba0 (4.1.1-7)
FYBA library to read and write Norwegian geodata standard format SOSI
libg15-1 (1.3.0~svn324-2)
Library for interfacing with the Logitech G15 keyboards
libg15-dev (1.3.0~svn324-2)
Library for interfacing with the Logitech G15 keyboards
libg15daemon-client-dev (1.9.5.3-12)
Development files for libg15daemon-client
libg15daemon-client1 (1.9.5.3-12)
Client library for communicating with g15daemon
libg15render-dev (1.3.0~svn316-3)
Library for interfacing with the Logitech G15 keyboards
libg15render1 (1.3.0~svn316-3)
Library for interfacing with the Logitech G15 keyboards
libg2-dev (0.72-9+b1)
g2 2D graphics library (development files)
libg20 (0.72-9+b1)
g2 2D graphics library
libg20-perl (0.72-9+b1)
g2 2D graphics library (Perl module)
libg3d-dev (0.0.8-31)
LibG3D development package
libg3d-doc (0.0.8-31)
LibG3D API documentation in HTML format
libg3d-plugin-gdkpixbuf (0.0.8-31)
plugin for the LibG3D library
libg3d-plugins (0.0.8-31)
plugins for the LibG3D library
libg3d0 (0.0.8-31)
library for loading and manipulating 3D model files
libg810-led-dev (0.4.2-1+deb11u1)
Logitech keyboard LED configuration library (development)
libg810-led0 (0.4.2-1+deb11u1)
Logitech keyboard LED configuration library (runtime)
libga-dev (1:2.4.7-4)
C++ Library of Genetic Algorithm Components
libga2 (1:2.4.7-4)
C++ Library of Genetic Algorithm Components
libgadap-dev (2.0-12)
Development and static libraries for GADAP
libgadu-dev (1:1.12.2-5)
Gadu-Gadu protocol library - development files
libgadu-doc (1:1.12.2-5)
Gadu-Gadu protocol library - documentation
libgadu3 (1:1.12.2-5)
Gadu-Gadu protocol library - runtime files
libgail-3-0 (3.24.24-4+deb11u3)
GNOME Accessibility Implementation Library -- shared libraries
libgail-3-dev (3.24.24-4+deb11u3)
GNOME Accessibility Implementation Library -- development files
libgail-3-doc (3.24.24-4+deb11u3)
documentation files of the Gail library
libgail-common (2.24.33-2)
GNOME Accessibility Implementation Library -- common modules
libgail-dev (2.24.33-2)
GNOME Accessibility Implementation Library -- development files
libgail-doc (2.24.33-2)
documentation files of the Gail library
libgail18 (2.24.33-2)
GNOME Accessibility Implementation Library -- shared libraries
libgail18-udeb (2.24.33-2)
GNOME Accessibility Implementation Library -- shared libraries
libgambit4 (4.9.3-1.1)
Shared libraries for the Gambit interpreter and compiler
libgambit4-dev (4.9.3-1.1)
Header file and link for the Gambit shared library
libgamemode0 (1.6.1-1)
Optimise Linux system performance on demand (host library)
libgamemodeauto0 (1.6.1-1)
Optimise Linux system performance on demand (client library)
libgamin-dev (0.1.10-6)
Development files for the gamin client library
libgamin0 (0.1.10-6)
Client library for the gamin file and directory monitoring system
libgaminggear-common (0.15.1-11)
Functionalities for gaming input devices, common files
libgaminggear-dev (0.15.1-11)
Functionalities for gaming input devices (devel)
libgaminggear-doc (0.15.1-11)
Functionalities for gaming input devices (documentation)
libgaminggear0 (0.15.1-11)
Functionalities for gaming input devices
libganglia1 (3.7.2-4)
cluster monitoring toolkit - shared libraries
libganglia1-dev (3.7.2-4)
cluster monitoring toolkit - development libraries
libganv-1-1v5 (1.8.0-1)
canvas widget for graph-based interfaces
libganv-dev (1.8.0-1)
canvas widget for graph-based interfaces (development files)
libganymed-ssh2-java (250-3)
pure Java implementation of the SSH-2 protocol
libgap-dev (4.11.0-4)
GAP computer algebra system, compiler and development files
libgap7 (4.11.0-4)
GAP computer algebra system, compiler and development files
libgap7-dev
本虛擬套件由這些套件填實: libgap-dev
libgarcon-1-0 (4.16.1-1)
freedesktop.org compliant menu implementation for Xfce
libgarcon-1-0-dev (4.16.1-1)
transitional package for libgarcon-1-dev
libgarcon-1-0-dev
本虛擬套件由這些套件填實: libgarcon-1-dev
libgarcon-1-dev (4.16.1-1)
Development files for garcon-1
libgarcon-common (4.16.1-1)
common files for libgarcon menu implementation
libgarcon-gtk3-1-0 (4.16.1-1)
menu library for Xfce (GTK3 library)
libgarcon-gtk3-1-dev (4.16.1-1)
Development files for garcon-gtk3
libgarmin-dev (0~svn320-6)
Garmin image format library (development files)
libgarmin0 (0~svn320-6)
Garmin image format library (runtime version)
libgatbcore-dev (1.4.2+dfsg-6)
development library of the Genome Analysis Toolbox
libgatbcore3 (1.4.2+dfsg-6)
dynamic library of the Genome Analysis Toolbox
libgatk-native-bindings-java (1.0.0-2.1)
library for gatk and picard-tools
libgatos-dev (0.0.5-19.1)
The General ATI TV and Overlay Software(GATOS): Dev Lib and Headers
libgatos0 (0.0.5-19.1)
The General ATI TV and Overlay Software(GATOS): Runtime Libraries
libgauche-0.97-0 (0.9.10-3)
Gauche runtime shared library
libgav1-0 (0.16.0-5)
AV1 decoder developed by Google -- runtime library
libgav1-bin (0.16.0-5)
Av1 decoder developed by Google -- example application
libgav1-dev (0.16.0-5)
AV1 decoder developed by Google -- development kit
libgaviotatb-dev (0.4-2.1)
Gaviota chess endgame tablebase probing library
libgaviotatb1 (0.4-2.1)
Gaviota chess endgame tablebase probing library (development package)
libgavl-dev (1.4.0-5)
low level audio and video library - development files
libgavl-doc (1.4.0-5)
low level audio and video library - documentation files
libgavl-ocaml (0.1.6-1+b1)
OCaml interface to the gavl library
libgavl-ocaml-dev (0.1.6-1+b1)
OCaml interface to the gavl library
libgavl-ocaml-dev-hgiw8
本虛擬套件由這些套件填實: libgavl-ocaml-dev
libgavl-ocaml-dev-pepq2
本虛擬套件由這些套件填實: libgavl-ocaml-dev
libgavl-ocaml-dev-w0mx8
本虛擬套件由這些套件填實: libgavl-ocaml-dev
libgavl-ocaml-dev-yt5s3
本虛擬套件由這些套件填實: libgavl-ocaml-dev
libgavl-ocaml-hgiw8
本虛擬套件由這些套件填實: libgavl-ocaml
libgavl-ocaml-pepq2
本虛擬套件由這些套件填實: libgavl-ocaml
libgavl-ocaml-w0mx8
本虛擬套件由這些套件填實: libgavl-ocaml
libgavl-ocaml-yt5s3
本虛擬套件由這些套件填實: libgavl-ocaml
libgavl1 (1.4.0-5)
low level audio and video library - runtime files
libgazebo-dev (11.1.0+dfsg-6)
Open Source Robotics Simulator - Development Files
libgazebo11 (11.1.0+dfsg-6)
Open Source Robotics Simulator - shared library
libgazebo9-dev (11.1.0+dfsg-6)
transitional package
libgbm-dev (20.3.5-1)
generic buffer management API -- development files
libgbm1 (20.3.5-1)
generic buffer management API -- runtime
libgbtools-dev (4.44.1+dfsg-6+b1)
library for visualising sequence alignments (devel)
libgbtools0 (4.44.1+dfsg-6+b1)
library for visualising sequence alignments
libgc-dev (1:8.0.4-3)
conservative garbage collector for C (development)
libgc1 (1:8.0.4-3)
conservative garbage collector for C and C++
libgcab-1.0-0 (1.4-3)
Microsoft Cabinet file manipulation library
libgcab-dev (1.4-3)
Microsoft Cabinet file manipulation library - development files
libgcab-doc (1.4-3)
Microsoft Cabinet file manipulation library - documentation
libgcab-tests (1.4-3)
Microsoft Cabinet file manipulation library - as-installed tests
libgcc-10-dev (10.2.1-6)
GCC support library (development files)
libgcc-10-dev-alpha-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-amd64-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-arm64-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-armel-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-armhf-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-hppa-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-i386-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-m68k-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mips-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mips64-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mips64el-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mips64r6-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mips64r6el-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mipsel-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mipsr6-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-mipsr6el-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-powerpc-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-ppc64-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-ppc64el-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-riscv64-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-s390x-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-sh4-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-sparc64-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-10-dev-x32-cross (10.2.1-6cross1)
GCC support library (development files)
libgcc-9-dev (9.3.0-22)
GCC support library (development files)
libgcc-9-dev-alpha-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-amd64-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-arm64-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-armel-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-armhf-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-hppa-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-i386-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-m68k-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-powerpc-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-ppc64-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-ppc64el-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-riscv64-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-s390x-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-sh4-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-sparc64-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-9-dev-x32-cross (9.3.0-22cross1)
GCC support library (development files)
libgcc-s1 (10.2.1-6)
GCC 支援函式庫
libgcc-s1-alpha-cross (10.2.1-6cross1)
GCC support library (alpha)
libgcc-s1-alpha-dcv1
本虛擬套件由這些套件填實: libgcc-s1-alpha-cross
libgcc-s1-amd64-cross (10.2.1-6cross1)
GCC support library (amd64)
libgcc-s1-amd64-dcv1
本虛擬套件由這些套件填實: libgcc-s1-amd64-cross
libgcc-s1-arm64-cross (10.2.1-6cross1)
GCC support library (arm64)
libgcc-s1-arm64-dcv1
本虛擬套件由這些套件填實: libgcc-s1-arm64-cross
libgcc-s1-armel
本虛擬套件由這些套件填實: libgcc-s1
libgcc-s1-armel-cross (10.2.1-6cross1)
GCC support library (armel)
libgcc-s1-armel-dcv1
本虛擬套件由這些套件填實: libgcc-s1-armel-cross
libgcc-s1-armhf
本虛擬套件由這些套件填實: libgcc-s1
libgcc-s1-armhf-cross (10.2.1-6cross1)
GCC support library (armhf)
libgcc-s1-armhf-dcv1
本虛擬套件由這些套件填實: libgcc-s1-armhf-cross
libgcc-s1-i386-cross (10.2.1-6cross1)
GCC support library (i386)
libgcc-s1-i386-dcv1
本虛擬套件由這些套件填實: libgcc-s1-i386-cross
libgcc-s1-mips-cross (10.2.1-6cross1)
GCC support library (mips)
libgcc-s1-mips-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mips-cross
libgcc-s1-mips64-cross (10.2.1-6cross1)
GCC support library (mips64)
libgcc-s1-mips64-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mips64-cross
libgcc-s1-mips64el-cross (10.2.1-6cross1)
GCC support library (mips64el)
libgcc-s1-mips64el-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mips64el-cross
libgcc-s1-mips64r6-cross (10.2.1-6cross1)
GCC support library (mips64r6)
libgcc-s1-mips64r6-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mips64r6-cross
libgcc-s1-mips64r6el-cross (10.2.1-6cross1)
GCC support library (mips64r6el)
libgcc-s1-mips64r6el-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mips64r6el-cross
libgcc-s1-mipsel-cross (10.2.1-6cross1)
GCC support library (mipsel)
libgcc-s1-mipsel-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mipsel-cross
libgcc-s1-mipsr6-cross (10.2.1-6cross1)
GCC support library (mipsr6)
libgcc-s1-mipsr6-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mipsr6-cross
libgcc-s1-mipsr6el-cross (10.2.1-6cross1)
GCC support library (mipsr6el)
libgcc-s1-mipsr6el-dcv1
本虛擬套件由這些套件填實: libgcc-s1-mipsr6el-cross
libgcc-s1-powerpc-cross (10.2.1-6cross1)
GCC support library (powerpc)
libgcc-s1-powerpc-dcv1
本虛擬套件由這些套件填實: libgcc-s1-powerpc-cross
libgcc-s1-ppc64-cross (10.2.1-6cross1)
GCC support library (ppc64)
libgcc-s1-ppc64-dcv1
本虛擬套件由這些套件填實: libgcc-s1-ppc64-cross
libgcc-s1-ppc64el-cross (10.2.1-6cross1)
GCC support library (ppc64el)
libgcc-s1-ppc64el-dcv1
本虛擬套件由這些套件填實: libgcc-s1-ppc64el-cross
libgcc-s1-riscv64-cross (10.2.1-6cross1)
GCC support library (riscv64)
libgcc-s1-riscv64-dcv1
本虛擬套件由這些套件填實: libgcc-s1-riscv64-cross
libgcc-s1-s390x-cross (10.2.1-6cross1)
GCC support library (s390x)
libgcc-s1-s390x-dcv1
本虛擬套件由這些套件填實: libgcc-s1-s390x-cross
libgcc-s1-sh4-cross (10.2.1-6cross1)
GCC support library (sh4)
libgcc-s1-sh4-dcv1
本虛擬套件由這些套件填實: libgcc-s1-sh4-cross
libgcc-s1-sparc64-cross (10.2.1-6cross1)
GCC support library (sparc64)
libgcc-s1-sparc64-dcv1
本虛擬套件由這些套件填實: libgcc-s1-sparc64-cross
libgcc-s1-x32-cross (10.2.1-6cross1)
GCC support library (x32)
libgcc-s1-x32-dcv1
本虛擬套件由這些套件填實: libgcc-s1-x32-cross
libgcc-s2-m68k-cross (10.2.1-6cross1)
GCC support library (m68k)
libgcc-s2-m68k-dcv1
本虛擬套件由這些套件填實: libgcc-s2-m68k-cross
libgcc-s4-hppa-cross (10.2.1-6cross1)
GCC support library (hppa)
libgcc1 (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1
libgcc1-alpha-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-alpha-cross
libgcc1-amd64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-amd64-cross
libgcc1-arm64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-arm64-cross
libgcc1-armel-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-armel-cross
libgcc1-armhf-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-armhf-cross
libgcc1-i386-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-i386-cross
libgcc1-mips-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mips-cross
libgcc1-mips64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mips64-cross
libgcc1-mips64el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mips64el-cross
libgcc1-mips64r6-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mips64r6-cross
libgcc1-mips64r6el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mips64r6el-cross
libgcc1-mipsel-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mipsel-cross
libgcc1-mipsr6-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mipsr6-cross
libgcc1-mipsr6el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-mipsr6el-cross
libgcc1-powerpc-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-powerpc-cross
libgcc1-ppc64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-ppc64-cross
libgcc1-ppc64el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-ppc64el-cross
libgcc1-riscv64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-riscv64-cross
libgcc1-s390x-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-s390x-cross
libgcc1-sh4-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-sh4-cross
libgcc1-sparc64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-sparc64-cross
libgcc1-x32-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s1-x32-cross
libgcc2-m68k-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s2-m68k-cross
libgcc4-hppa-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libgcc-s4-hppa-cross
libgccjit-10-dev (10.2.1-6)
GCC just-in-time compilation (development files)
libgccjit-10-doc (10.2.1-6)
GCC just-in-time compilation (documentation)
libgccjit-9-dev (9.3.0-22)
GCC just-in-time compilation (development files)
libgccjit-9-doc (9.3.0-22)
GCC just-in-time compilation (documentation)
libgccjit0 (10.2.1-6)
GCC just-in-time compilation (shared library)
libgcin (2.9.0+dfsg1-2)
library for gcin
libgck-1-0 (3.38.1-2)
Glib wrapper library for PKCS#11 - runtime
libgck-1-dev (3.38.1-2)
GLib wrapper library for PKCS#11 - development
libgck-1-doc (3.38.1-2)
GLib wrapper library for PKCS#11 - documentation
libgclib-dev (0.11.10+ds-2)
header files for Genome Code Lib (GCLib)
libgclib2 (0.11.10+ds-2)
C++ library to handle biological short sequence data
libgconf-2-4 (3.2.6-7)
GNOME configuration database system (shared libraries)
libgconf2-dev (3.2.6-7)
GNOME configuration database system (development)
libgconf2-doc (3.2.6-7)
GNOME configuration database system (API reference)
libgcr-3-dev (3.38.1-2)
Library for Crypto UI related tasks - development
libgcr-3-doc (3.38.1-2)
Library for Crypto UI related tasks - documentation
libgcr-base-3-1 (3.38.1-2)
Library for Crypto related tasks
libgcr-ui-3-1 (3.38.1-2)
Library for Crypto UI related tasks
libgcr410 (2.4.0-9.2+b1)
PC/SC driver for GemPlus GCR410 serial SmartCard interface
libgcroots-dev (0.9.1-1)
Development GC library for sigscheme Scheme interpreter
libgcroots0 (0.9.1-1)
GC shared library for sigscheme Scheme interpreter
libgcrypt-dev
本虛擬套件由這些套件填實: libgcrypt20-dev
libgcrypt-mingw-w64-dev (1.8.7-6)
LGPL Crypto library - Windows development
libgcrypt20 (1.8.7-6)
LGPL Crypto library - runtime library
libgcrypt20-dev (1.8.7-6)
LGPL Crypto library - development files
libgcrypt20-doc (1.8.7-6)
LGPL Crypto library - documentation
libgcrypt20-udeb (1.8.7-6)
LGPL Crypto library - runtime library
libgctp-2.0.0 (2.0.0-6)
General Cartographic Transformation Package Library
libgctp-dev (2.0.0-6)
General Cartographic Transformation Package - development files
libgcu0v5 (0.14.17-6)
GNOME chemistry utils (library)
libgd-barcode-perl (1.15-7)
Perl module to create barcode images
libgd-dev (2.3.0-2)
GD Graphics Library (development version)
libgd-gd2-noxpm-ocaml (1.0~alpha5-9)
OCaml interface to the GD library -- transitional package
libgd-gd2-noxpm-ocaml-dev (1.0~alpha5-9)
OCaml interface to the GD library -- transitional package
libgd-gd2-noxpm-perl
本虛擬套件由這些套件填實: libgd-perl
libgd-gd2-perl
本虛擬套件由這些套件填實: libgd-perl
libgd-graph-perl (1.54~ds-2)
Graph Plotting Module for Perl 5
libgd-graph3d-perl (0.63-9.1)
Create 3D Graphs with GD and GD::Graph
libgd-ocaml (1.0~alpha5-9+b2)
OCaml interface to the GD library -- runtime files
libgd-ocaml-c0098
本虛擬套件由這些套件填實: libgd-ocaml
libgd-ocaml-dev (1.0~alpha5-9+b2)
OCaml interface to the GD library -- developpement files
libgd-ocaml-dev-c0098
本虛擬套件由這些套件填實: libgd-ocaml-dev
libgd-ocaml-dev-htd60
本虛擬套件由這些套件填實: libgd-ocaml-dev
libgd-ocaml-dev-imxe7
本虛擬套件由這些套件填實: libgd-ocaml-dev
libgd-ocaml-dev-w2rq7
本虛擬套件由這些套件填實: libgd-ocaml-dev
libgd-ocaml-htd60
本虛擬套件由這些套件填實: libgd-ocaml
libgd-ocaml-imxe7
本虛擬套件由這些套件填實: libgd-ocaml
libgd-ocaml-w2rq7
本虛擬套件由這些套件填實: libgd-ocaml
libgd-perl (2.73-1+b1)
Perl module wrapper for libgd
libgd-securityimage-perl (1.75-1)
security image (captcha) generator
libgd-svg-perl (0.33-3)
module to enable SVG output from scripts written using GD
libgd-text-perl (0.86-10)
Text utilities for use with GD
libgd-tools (2.3.0-2)
GD command line tools and example code
libgd3 (2.3.0-2)
GD Graphics Library
libgda-5.0-4 (5.2.9-2)
data abstraction library based on GLib
libgda-5.0-bin (5.2.9-2)
data abstraction library based on GLib -- binary files
libgda-5.0-common (5.2.9-2)
data abstraction library based on GLib -- common files
libgda-5.0-dev (5.2.9-2)
data abstraction library based on GLib -- development files
libgda-5.0-doc (5.2.9-2)
data abstraction library based on GLib -- documentation files
libgda-5.0-mysql (5.2.9-2)
MySQL provider for libgda database abstraction library
libgda-5.0-postgres (5.2.9-2)
PostgreSQL provider for libgda database abstraction library
libgdal-dev (3.2.2+dfsg-2+deb11u2)
Geospatial Data Abstraction Library - Development files
libgdal-grass (3.2.2-1+b1)
GRASS extension for the GDAL library
libgdal-perl (3.2.2+dfsg-2+deb11u2)
Perl bindings to the Geospatial Data Abstraction Library
libgdal-perl-doc (3.2.2+dfsg-2+deb11u2)
Documentation for the GDAL Perl bindings
libgdal28 (3.2.2+dfsg-2+deb11u2)
Geospatial Data Abstraction Library
libgdalcpp-dev
本虛擬套件由這些套件填實: libosmium2-dev
libgdamm-5.0-13 (4.99.11-3)
C++ wrappers for libgda5
libgdamm5.0-dev (4.99.11-3)
C++ wrappers for libgda5 (library headers)
libgdamm5.0-doc (4.99.11-3)
C++ wrappers for libgda5 (documentation)
libgdata-cil-dev (2.2.0.0+dfsg-1)
Google GData CLI client library
libgdata-common (0.17.13-3)
Library for accessing GData webservices - common data files
libgdata-dev (0.17.13-3)
Library for accessing GData webservices - development files
libgdata-doc (0.17.13-3)
Library for accessing GData webservices - documentation
libgdata-tests (0.17.13-3)
Library for accessing GData webservices - common data files
libgdata2.1-cil (2.2.0.0+dfsg-1)
Google GData CLI client library
libgdata22 (0.17.13-3)
Library for accessing GData webservices - shared libraries
libgdbm-compat-dev (1.19-2)
GNU dbm database routines (legacy support development files)
libgdbm-compat4 (1.19-2)
GNU dbm database routines (legacy support runtime version)
libgdbm-dev (1.19-2)
GNU dbm database routines (development files)
libgdbm-dietlibc-dev
本虛擬套件由這些套件填實: libgdbm-dev
libgdbm-gst (3.2.5-1.3+b2)
GDBM bindings for GNU Smalltalk
libgdbm6 (1.19-2)
GNU dbm database routines (runtime version)
libgdchart
本虛擬套件由這些套件填實: libgdchart-gd2-noxpm, libgdchart-gd2-xpm
libgdchart-gd2-dev
本虛擬套件由這些套件填實: libgdchart-gd2-xpm-dev, libgdchart-gd2-noxpm-dev
libgdchart-gd2-noxpm (0.11.5-10)
Generate graphs using the GD library
libgdchart-gd2-noxpm-dev (0.11.5-10)
Generate graphs using the GD library (development version)
libgdchart-gd2-xpm (0.11.5-10)
Generate graphs using the GD library
libgdchart-gd2-xpm-dev (0.11.5-10)
Generate graphs using the GD library (development version)
libgdcm-cil (3.0.8-2)
Grassroots DICOM CLI bindings
libgdcm-dev (3.0.8-2)
Grassroots DICOM development libraries and headers
libgdcm-java (3.0.8-2)
Grassroots DICOM Java bindings
libgdcm-tools (3.0.8-2)
Grassroots DICOM tools and utilities
libgdcm3.0 (3.0.8-2)
Grassroots DICOM runtime libraries
libgdf-dev (0.1.3-8)
IO library for the GDF -- development library
libgdf0 (0.1.3-8)
IO library for the GDF (general dataformat for biosignals)
libgdiplus (6.0.4+dfsg-2)
interface library for System.Drawing of Mono
libgdk-pixbuf-2.0-0 (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library
libgdk-pixbuf-2.0-0-udeb (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library - minimal runtime
libgdk-pixbuf-2.0-dev (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library (development files)
libgdk-pixbuf-xlib-2.0-0 (2.40.2-2)
GDK Pixbuf library (deprecated Xlib integration)
libgdk-pixbuf-xlib-2.0-dev (2.40.2-2)
GDK Pixbuf library (development files)
libgdk-pixbuf2.0-0 (2.40.2-2)
GDK Pixbuf library (transitional package)
libgdk-pixbuf2.0-0-udeb (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library - minimal runtime
libgdk-pixbuf2.0-bin (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library (thumbnailer)
libgdk-pixbuf2.0-common (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library - data files
libgdk-pixbuf2.0-dev (2.40.2-2)
GDK Pixbuf library (transitional development files)
libgdk-pixbuf2.0-doc (2.42.2+dfsg-1+deb11u1)
GDK Pixbuf library (documentation)
libgdk3.0-cil (2.99.3-4)
CLI binding for GDK 3
libgdk3.0-cil-dev (2.99.3-4)
CLI binding for GDK 3
libgdl-3-5 (3.34.0-1)
GNOME DevTool libraries
libgdl-3-common (3.34.0-1)
GNOME DevTool libraries - common files
libgdl-3-dev (3.34.0-1)
GNOME DevTool libraries - development files
libgdl-3-doc (3.34.0-1)
GNOME DevTool libraries - documentation
libgdm-dev (3.38.2.1-1)
GNOME Display Manager (development files)
libgdm1 (3.38.2.1-1)
GNOME Display Manager (shared library)
libgdome2-0 (0.8.1+debian-7)
DOM level2 library for accessing XML files
libgdome2-cpp-smart-dev (0.2.6-7+b4 [armel, armhf], 0.2.6-7+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
C++ bindings for GDome2 DOM implementation
libgdome2-cpp-smart0v5 (0.2.6-7+b4 [armel, armhf], 0.2.6-7+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
C++ bindings for GDome2 DOM implementation
libgdome2-dev (0.8.1+debian-7)
Development files for libgdome2
libgdome2-ocaml (0.2.6-7+b4 [armel, armhf], 0.2.6-7+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for GDome2 DOM implementation
libgdome2-ocaml-70z34
本虛擬套件由這些套件填實: libgdome2-ocaml
libgdome2-ocaml-dev (0.2.6-7+b4 [armel, armhf], 0.2.6-7+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for GDome2 DOM implementation
libgdome2-ocaml-dev-70z34
本虛擬套件由這些套件填實: libgdome2-ocaml-dev
libgdome2-ocaml-dev-h9ic0
本虛擬套件由這些套件填實: libgdome2-ocaml-dev
libgdome2-ocaml-dev-l5gc8
本虛擬套件由這些套件填實: libgdome2-ocaml-dev
libgdome2-ocaml-dev-wz301
本虛擬套件由這些套件填實: libgdome2-ocaml-dev
libgdome2-ocaml-h9ic0
本虛擬套件由這些套件填實: libgdome2-ocaml
libgdome2-ocaml-l5gc8
本虛擬套件由這些套件填實: libgdome2-ocaml
libgdome2-ocaml-wz301
本虛擬套件由這些套件填實: libgdome2-ocaml
libgdsii-dev (0.2+ds.1-1)
Library for GDSII handling (development files)
libgdsii0 (0.2+ds.1-1)
Library for GDSII handling
libgearman-client-perl (2.004.015-1)
client for the Gearman distributed job system
libgearman-dev (1.1.19.1+ds-2+b2)
Development files for the Gearman Library
libgearman-doc (1.1.19.1+ds-2)
API Documentation for the Gearman Library
libgearman8 (1.1.19.1+ds-2+b2)
Library providing Gearman client and worker functions
libgecode-dev (6.2.0-5)
generic constraint development environment
libgecode-doc (6.2.0-5)
generic constraint development environment
libgecode49 (6.2.0-5)
generic constraint development environment
libgecodeflatzinc49 (6.2.0-5)
Gecode support for FlatZinc modelling language
libgecodegist49 (6.2.0-5)
Gecode Interactive Search Tool library
libgedcom-perl (1.22-1)
interface to genealogy GEDCOM files
libgee-0.8-2 (0.20.4-1)
GObject based collection and utility library
libgee-0.8-dev (0.20.4-1)
GObject based collection and utility library (development files)
libgegl-0.0-dev
本虛擬套件由這些套件填實: libgegl-dev
libgegl-0.4-0 (1:0.4.26-2)
Generic Graphics Library
libgegl-common (1:0.4.26-2)
Generic Graphics Library - common files
libgegl-dev (1:0.4.26-2)
Generic Graphics Library (development files)
libgegl-doc (1:0.4.26-2)
Generic Graphics Library (documentation)
libgemmlowp-dev (0.0~git20200506.fda83bd-1)
small self-contained low-precision GEMM library
libgempc410 (1.0.8-6)
PC/SC driver for the GemPC 410, 412, 413 and 415 smart card readers
libgempc430 (1.0.8-6)
PC/SC driver for the GemPC 430, 432, 435 smart card readers
libgen-ocaml (0.5.3-1+b1)
simple, efficient iterators for OCaml (Runtime library)
libgen-ocaml-ab4p1
本虛擬套件由這些套件填實: libgen-ocaml
libgen-ocaml-ddsb4
本虛擬套件由這些套件填實: libgen-ocaml
libgen-ocaml-dev (0.5.3-1+b1)
simple, efficient iterators for OCaml (Development package)
libgen-ocaml-dev-ab4p1
本虛擬套件由這些套件填實: libgen-ocaml-dev
libgen-ocaml-dev-ddsb4
本虛擬套件由這些套件填實: libgen-ocaml-dev
libgen-ocaml-dev-hzmm9
本虛擬套件由這些套件填實: libgen-ocaml-dev
libgen-ocaml-dev-neak1
本虛擬套件由這些套件填實: libgen-ocaml-dev
libgen-ocaml-hzmm9
本虛擬套件由這些套件填實: libgen-ocaml
libgen-ocaml-neak1
本虛擬套件由這些套件填實: libgen-ocaml
libgen-test-rinci-funcresult-perl (0.05-1.1)
Perl module that generates a test function for a function
libgenders-dev
本虛擬套件由這些套件填實: libgenders0-dev
libgenders-perl (1.22-1+b3)
perl interface to the LLNL genders cluster management utilities
libgenders0 (1.22-1+b3)
C library for parsing and querying a genders database
libgenders0-dev (1.22-1+b3)
development files for parsing and querying a genders database
libgenht1 (1.0.1-1+b1 [amd64], 1.0.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Generic hash table lib for C
libgenht1-dev (1.0.1-1+b1 [amd64], 1.0.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Generic hash table lib for C
libgenome-1.3-0v5
本虛擬套件由這些套件填實: libgenome0
libgenome-1.3-dev
本虛擬套件由這些套件填實: libgenome-dev
libgenome-dev (1.3.11+svn20110227.4616-3)
toolkit for developing bioinformatic related software (devel)
libgenome-model-tools-music-perl (0.04-5)
module for finding mutations of significance in cancer
libgenome-perl (0.06-6)
pipelines, tools, and data management for genomics
libgenome0 (1.3.11+svn20110227.4616-3)
toolkit for developing bioinformatic related software
libgenometools0 (1.6.1+ds-3)
versatile genome analysis library
libgenometools0-dev (1.6.1+ds-3)
development files for GenomeTools
libgensio-dev (2.2.4-1)
abstract stream I/O (development files)
libgensio0 (2.2.4-1)
abstract stream I/O (runtime files)
libgentlyweb-utils-java (1.5-2)
java utility library used by josql
libgentlyweb-utils-java-doc (1.5-2)
Documentation - java utility library used by josql
libgeo-coder-googlev3-perl (0.17-1)
Perl module providing access to Google Maps v3 Geocoding API
libgeo-coder-osm-perl (0.03-3)
Geocode addresses with the OpenStreetMap Nominatim API
libgeo-constants-perl (0.06-1.1)
standard constants used by Geo perl packages
libgeo-coordinates-itm-perl (0.02-2.1)
conversion module between lat/lon and Irish Transverse Mercator
libgeo-coordinates-osgb-perl (2.20-1.1)
converting module between Lat/Lon and the British National Grid
libgeo-coordinates-utm-perl (0.11-2)
Perl extension for Latitiude Longitude conversions
libgeo-distance-perl (0.20-4.1)
calculate distances and closest locations
libgeo-distance-xs-perl (0.13-2+b7)
calculate distances and closest locations (XS version)
libgeo-ellipsoids-perl (0.16-1.1)
standard Geo:: ellipsoid a, b, f and 1/f values
libgeo-functions-perl (0.07-1.1)
standard functions for Geo perl modules
libgeo-google-mapobject-perl (0.06-3)
module managing the server side of the Google Maps API
libgeo-googleearth-pluggable-perl (0.15-2.1)
module to generate GoogleEarth Documents
libgeo-gpx-perl (0.26-5)
Perl module for creating and parsing GPX files
libgeo-helmerttransform-perl (1.14-2)
Transformations between coordinates in different datums
libgeo-inverse-perl (0.05-1.1)
module to calculate geographic distance from a lat & lon pair
libgeo-ip-perl (1.51-2+b1)
Perl interface to GeoIP library
libgeo-ipfree-perl (1.151940-1)
module to look up the country of an IPv4 address
libgeo-metar-perl (1.15-2.1)
accessing Aviation Weather Information with Perl
libgeo-osm-tiles-perl (0.04-5)
module for calculating tile numbers for OpenStreetMap
libgeo-postcode-perl (0.17+dfsg1-1.1)
UK Postcode validation and location
libgeo-shapelib-perl (0.22-5)
Perl extension for reading and writing shapefiles as defined by ESRI
libgeoclue-2-0 (2.5.7-3)
convenience library to interact with geoinformation service
libgeoclue-2-dev (2.5.7-3)
convenience library to interact with geoinformation service (devel files)
libgeoclue-doc (2.5.7-3)
convenience library to interact with geoinformation service (API documentation)
libgeocode-glib-dev (3.26.2-2)
development files for geocode-glib library
libgeocode-glib-doc (3.26.2-2)
gtk-doc documentation for geocode-glib library
libgeocode-glib0 (3.26.2-2)
geocoding and reverse geocoding GLib library using Nominatim
libgeocoding8 (8.12.16-4)
geocoding phone numbers
libgeographic-dev (1.51-1)
C++ library to solve some geodesic problems -- development files
libgeographic19 (1.51-1)
C++ library to solve some geodesic problems -- library
libgeography-countries-perl (2009041301-2.1)
2-letter, 3-letter, and numerical codes for countries.
libgeoip-dev (1.6.12-7)
Development files for the GeoIP library
libgeoip1 (1.6.12-7)
non-DNS IP-to-country resolver library
libgeoip2-perl (2.006002-1)
Perl API for MaxMind's GeoIP2 web services and databases
libgeometric-shapes-dev (0.7.0-4)
Robot OS geometric_shapes package - development files
libgeometric-shapes4d (0.7.0-4)
Robot OS geometric_shapes package
libgeometry-msgs-dev (1.13.0-2)
Messages relating to Robot OS geometry, C/C++ interface
libgeometry-primitive-perl (0.24-2)
module to represent geometric entities
libgeomview-1.9.5 (1.9.5-3)
geomview library runtime
libgeomview-dev (1.9.5-3)
geomview library development package
libgeoregression-java (0.20+ds-2)
Geometric Regression Library
libgeos++-dev (3.9.0-1)
Geometry engine for GIS - C++ development files
libgeos-3.9.0 (3.9.0-1)
Geometry engine for Geographic Information Systems - C++ Library
libgeos-c1v5 (3.9.0-1)
Geometry engine for Geographic Information Systems - C Library
libgeos-dev (3.9.0-1)
Geometry engine for GIS - Development files
libgeos-doc (3.9.0-1)
Documentation for the GEOS GIS geometry engine library
libgeotiff-dev (1.6.0-1)
GeoTIFF (geografic enabled TIFF) library -- development files
libgeotiff5 (1.6.0-1)
GeoTIFF (geografic enabled TIFF) library -- run-time files
libgeotranz-dev
本虛擬套件由這些套件填實: libgeotranz3-dev
libgeotranz3-dev (3.7-1.1)
GEOgraphic coordinates TRANslator (development files)
libgeotranz3.7 (3.7-1.1)
GEOgraphic coordinates TRANslator (runtime library)
libgepub-0.6-0 (0.6.0-2)
library to read epub files
libgepub-0.6-dev (0.6.0-2)
library to read epub files (development files)
libgeronimo-annotation-1.3-spec-java (1.3-1)
Apache Geronimo Common Annotations 1.3 API
libgeronimo-commonj-spec-java (1.1.1-4)
Java API for concurrent programming of EJBs and Servlets
libgeronimo-concurrent-1.0-spec-java (1.0~alpha-1-1.1)
Apache Geronimo Concurrency Utilities for Java EE API
libgeronimo-ejb-3.2-spec-java (1.0~alpha-1-3)
Apache Geronimo EJB 3.2 API
libgeronimo-interceptor-3.0-spec-java (1.0.1-4)
Geronimo API implementation of the Interceptor 3.0 spec
libgeronimo-j2ee-connector-1.5-spec-java (2.0.0-2)
Geronimo API implementation of the J2EE connector 1.5 spec
libgeronimo-j2ee-management-1.1-spec-java (1.0.1-1.1)
Apache Geronimo J2EE Management 1.1 API
libgeronimo-jacc-1.1-spec-java (1.0.3-1)
Geronimo API implementation of the JACC 1.1 spec
libgeronimo-jcache-1.0-spec-java (1.0~alpha-1-2)
Apache Geronimo JCache 1.0 API
libgeronimo-jms-1.1-spec-java (1.1.1-1)
Geronimo API implementation of the JMS 1.1 spec
libgeronimo-jpa-2.0-spec-java (1.1-4)
Geronimo JSR-317 Java Persistence (JPA) 2.0 Spec API
libgeronimo-jta-1.2-spec-java (1.0~alpha-1-2)
Apache Geronimo JTA 1.2 API
libgeronimo-osgi-support-java (1.1-2)
Java libraries providing OSGi lookup support for Geronimo projects
libgeronimo-validation-1.0-spec-java (1.1-3)
Geronimo JSR-303 Bean Validation Spec API
libgeronimo-validation-1.0-spec-java-doc (1.1-3)
Documentation for libgeronimo-validation-1.0-spec-java
libgeronimo-validation-1.1-spec-java (1.0-2)
Geronimo JSR-349 Bean Validation Spec API
libgeronimo-validation-1.1-spec-java-doc (1.0-2)
Documentation for libgeronimo-validation-1.1-spec-java
libges-1.0-0 (1.18.4-2)
GStreamer editing services (shared library)
libges-1.0-dev (1.18.4-2)
GStreamer editing services (development files)
libgetargs-long-perl (1.1012-3)
Perl module to parse long function arguments
libgetdata++7 (0.10.0-10)
library to read/write dirfile data - C++ bindings
libgetdata-dev (0.10.0-10)
library to read/write dirfile data - devel files (C, C++, F77, F95)
libgetdata-doc (0.10.0-10)
library to read/write dirfile data - API and standards documents
libgetdata-perl (0.10.0-10)
library to read/write dirfile data - perl bindings
libgetdata-tools (0.10.0-10)
library to read/write dirfile data - extra tools
libgetdata8 (0.10.0-10)
library to read/write dirfile data
libgetdns-dev (1.6.0-2)
modern asynchronous DNS API (development)
libgetdns10 (1.6.0-2)
modern asynchronous DNS API (shared library)
libgetfem++-dev (5.3+dfsg1-3+b9)
Development files for the GETFEM++ generic finite element library
libgetfem5++ (5.3+dfsg1-3+b9)
GETFEM++ generic finite element library
libgetopt-argparse-perl (1.0.6-1.1)
module to parse @ARGV with a richer and more user-friendly API
libgetopt-argvfile-perl (1.11-3)
Perl module for reading script options and parameters from files
libgetopt-complete-perl (0.26-2.1)
programmable shell completion for Perl apps
libgetopt-declare-perl (1.14-3)
module for parsing command line arguments
libgetopt-euclid-perl (0.4.5-3)
command line interface dynamically built from the documentation
libgetopt-java (1.0.14+dfsg-6)
GNU getopt - Java port
libgetopt-long-descriptive-perl (0.105-1)
module that handles command-line arguments with usage text
libgetopt-lucid-perl (1.10-1)
module for parsing command line arguments
libgetopt-ocaml-dev (0.0.20040811-10+b9 [armel], 0.0.20040811-10+b8 [amd64, i386, mipsel], 0.0.20040811-10+b6 [armhf], 0.0.20040811-10+b5 [s390x], 0.0.20040811-10+b4 [arm64, mips64el, ppc64el])
command line parsing library for OCaml
libgetopt-ocaml-dev-d5bw5
本虛擬套件由這些套件填實: libgetopt-ocaml-dev
libgetopt-ocaml-dev-fs6r7
本虛擬套件由這些套件填實: libgetopt-ocaml-dev
libgetopt-ocaml-dev-h0lc2
本虛擬套件由這些套件填實: libgetopt-ocaml-dev
libgetopt-ocaml-dev-tcz29
本虛擬套件由這些套件填實: libgetopt-ocaml-dev
libgetopt-simple-perl (1.52-5.1)
provide a simple wrapper around Getopt::Long
libgetopt-tabular-perl (0.3-2.1)
table-driven argument parsing for Perl 5
libgetopt-usaginator-perl (0.0012-2.1)
syntactic sugar for creating a command line usage function
libgetoptions-dev (3.1.0+dfsg-3)
Command line argument processing library for C (development files)
libgetoptions0 (3.1.0+dfsg-3)
Command line argument processing library for C
libgettext-ant-tasks-java (0.9.7+svn206-1.1)
Java classes for internationalization (i18n) - Ant tasks
libgettext-commons-java (0.9.6-6)
Java classes for internationalization (i18n)
libgettext-maven-plugin-java (1.2.9-3)
plugin to integrate gettext tools into a Maven build
libgettext-ocaml (0.4.2-1+b2)
OCaml internationalization shared library
libgettext-ocaml-dev (0.4.2-1+b2)
OCaml internationalization library
libgettext-ocaml-dev-ftae6
本虛擬套件由這些套件填實: libgettext-ocaml-dev
libgettext-ocaml-dev-k07q9
本虛擬套件由這些套件填實: libgettext-ocaml-dev
libgettext-ocaml-dev-vmwy7
本虛擬套件由這些套件填實: libgettext-ocaml-dev
libgettext-ocaml-dev-zj8t9
本虛擬套件由這些套件填實: libgettext-ocaml-dev
libgettext-ocaml-ftae6
本虛擬套件由這些套件填實: libgettext-ocaml
libgettext-ocaml-k07q9
本虛擬套件由這些套件填實: libgettext-ocaml
libgettext-ocaml-vmwy7
本虛擬套件由這些套件填實: libgettext-ocaml
libgettext-ocaml-zj8t9
本虛擬套件由這些套件填實: libgettext-ocaml
libgettextpo-dev (0.21-4)
process PO files - static libraries and headers
libgettextpo0 (0.21-4)
process PO files - shared library
libgexiv2-2 (0.12.1-1)
GObject-based wrapper around the Exiv2 library
libgexiv2-dev (0.12.1-1)
GObject-based wrapper around the Exiv2 library - development files
libgexiv2-doc (0.12.1-1)
GObject-based wrapper around the Exiv2 library - documentation
libgf-complete-dev (1.0.2+2017.04.10.git.ea75cdf-3+b1)
Galois Field Arithmetic - development files
libgf-complete1 (1.0.2+2017.04.10.git.ea75cdf-3+b1)
Galois Field Arithmetic - shared library
libgf2x-dev (1.3.0-1+b1)
Routines for fast arithmetic in GF(2)[x] (development files)
libgf2x3 (1.3.0-1+b1)
Routines for fast arithmetic in GF(2)[x]
libgfal-srm-ifce1 (1.24.5-1)
SRM client side library
libgfal-transfer2 (2.19.0-3)
File Transfer logic of gfal2
libgfal2-2 (2.19.0-3)
Core of the grid file access library 2.0
libgfal2-dev (2.19.0-3)
Development files of gfal2
libgfapi0 (9.2-1)
GlusterFS gfapi shared library
libgfarm-dev (2.7.17+dfsg-1)
Gfarm file system development files
libgfarm1 (2.7.17+dfsg-1)
Gfarm file system runtime library
libgfbgraph-0.2-0 (0.2.4-1)
GObject library for Facebook Graph API
libgfbgraph-0.2-dev (0.2.4-1)
GObject library for Facebook Graph API - development files
libgfbgraph-doc (0.2.4-1)
GObject library for Facebook Graph API - documentation
libgfchangelog0 (9.2-1)
GlusterFS gfchangelog shared library
libgff-dev (2.0.0-1)
GFF/GTF parsing from cufflinks as a library
libgflags-dev (2.2.2-2)
commandline flags module for C++ (development files)
libgflags-doc (2.2.2-2)
documentation of gflags
libgflags2.2 (2.2.2-2)
commandline flags module for C++ (shared library)
libgfortran-10-dev (10.2.1-6)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-alpha-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-arm64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-armel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-armhf-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-hppa-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-m68k-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-ppc64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-riscv64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-sh4-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev (9.3.0-22)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-alpha-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-arm64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-armel-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-armhf-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-hppa-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-m68k-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-ppc64el-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-riscv64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-sh4-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (development files)
libgfortran5 (10.2.1-6)
Runtime library for GNU Fortran applications
libgfortran5-alpha-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-arm64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-armel
本虛擬套件由這些套件填實: libgfortran5
libgfortran5-armel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-armhf
本虛擬套件由這些套件填實: libgfortran5
libgfortran5-armhf-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-hppa-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-i386-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-m68k-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mips-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-ppc64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-riscv64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-sh4-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfortran5-x32-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications
libgfrpc0 (9.2-1)
GlusterFS libgfrpc shared library
libgfs-1.3-2 (20131206+dfsg-19)
Fluid Flow Solver -- shared libraries
libgfs-dev (20131206+dfsg-19)
Fluid Flow Solver -- development libraries and headers
libgfsgl-dev (20121130+dfsg-7)
graphical viewer for Gerris simulation files. Development files
libgfsgl0 (20121130+dfsg-7)
graphical viewer for Gerris simulation files. Shared library
libgfshare-bin (2.0.0-5)
utilities for multi-way secret-sharing
libgfshare-dev (2.0.0-5)
library for multi-way secret-sharing (headers)
libgfshare2 (2.0.0-5)
library for multi-way secret-sharing
libgfxdr0 (9.2-1)
GlusterFS libgfxdr shared library
libgg-dev (2.0.7-1)
Computing gaussians on a grid (development files)
libgg2 (2.0.7-1)
Computing gaussians on a grid
libghc-abstract-deque-dev (0.3-10+b2 [s390x], 0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstract, parameterized interface to mutable Deques
libghc-abstract-deque-dev-0.3-40d50
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-4ba06
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-560d0
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-58446
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-7121f
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-90331
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-b0cbc
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-dev-0.3-bdd39
本虛擬套件由這些套件填實: libghc-abstract-deque-dev
libghc-abstract-deque-doc (0.3-10)
abstract, parameterized interface to mutable Deques; documentation
libghc-abstract-deque-prof (0.3-10+b2 [s390x], 0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstract, parameterized interface to mutable Deques; profiling libraries
libghc-abstract-deque-prof-0.3-40d50
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-4ba06
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-560d0
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-58446
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-7121f
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-90331
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-b0cbc
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-deque-prof-0.3-bdd39
本虛擬套件由這些套件填實: libghc-abstract-deque-prof
libghc-abstract-par-dev (0.3.3-10+b2 [s390x], 0.3.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstract parallelization interface
libghc-abstract-par-dev-0.3.3-08190
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-0f913
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-22f12
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-77151
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-b00e1
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-c1e38
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-d114f
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-dev-0.3.3-e1731
本虛擬套件由這些套件填實: libghc-abstract-par-dev
libghc-abstract-par-doc (0.3.3-10)
abstract parallelization interface; documentation
libghc-abstract-par-prof (0.3.3-10+b2 [s390x], 0.3.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstract parallelization interface; profiling libraries
libghc-abstract-par-prof-0.3.3-08190
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-0f913
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-22f12
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-77151
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-b00e1
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-c1e38
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-d114f
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-abstract-par-prof-0.3.3-e1731
本虛擬套件由這些套件填實: libghc-abstract-par-prof
libghc-acid-state-dev (0.16.0.1-1+b4 [s390x], 0.16.0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.16.0.1-1+b1 [mips64el])
Haskell database library with ACID guarantees - GHC libraries
libghc-acid-state-dev-0.16.0.1-0a63c
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-0d3f1
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-34c98
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-4e84e
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-511ed
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-68a4a
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-9594e
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-dev-0.16.0.1-d8604
本虛擬套件由這些套件填實: libghc-acid-state-dev
libghc-acid-state-doc (0.16.0.1-1)
Haskell database library with ACID guarantees - documentation; documentation
libghc-acid-state-prof (0.16.0.1-1+b4 [s390x], 0.16.0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.16.0.1-1+b1 [mips64el])
Haskell database library with ACID guarantees - GHC profiling libraries; profiling libraries
libghc-acid-state-prof-0.16.0.1-0a63c
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-0d3f1
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-34c98
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-4e84e
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-511ed
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-68a4a
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-9594e
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-acid-state-prof-0.16.0.1-d8604
本虛擬套件由這些套件填實: libghc-acid-state-prof
libghc-active-dev (0.2.0.14-2)
Abstractions for animation
libghc-active-dev-0.2.0.14-13c50
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-1f2b9
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-3a2c2
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-5046b
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-94e88
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-95406
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-99d07
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-aa31b
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-dev-0.2.0.14-feaf4
本虛擬套件由這些套件填實: libghc-active-dev
libghc-active-doc (0.2.0.14-2)
Abstractions for animation; documentation
libghc-active-prof (0.2.0.14-2)
Abstractions for animation; profiling libraries
libghc-active-prof-0.2.0.14-13c50
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-1f2b9
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-3a2c2
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-5046b
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-94e88
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-95406
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-99d07
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-aa31b
本虛擬套件由這些套件填實: libghc-active-prof
libghc-active-prof-0.2.0.14-feaf4
本虛擬套件由這些套件填實: libghc-active-prof
libghc-adjunctions-dev (4.4-6+b2 [s390x], 4.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
adjunctions and representable functors for Haskell
libghc-adjunctions-dev-4.4-23d30
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-2fa29
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-393f8
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-565d8
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-60354
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-835d7
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-bb996
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-c440e
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-dev-4.4-d8c8e
本虛擬套件由這些套件填實: libghc-adjunctions-dev
libghc-adjunctions-doc (4.4-6)
adjunctions and representable functors for Haskell; documentation
libghc-adjunctions-prof (4.4-6+b2 [s390x], 4.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
adjunctions and representable functors for Haskell; profiling libraries
libghc-adjunctions-prof-4.4-23d30
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-2fa29
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-393f8
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-565d8
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-60354
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-835d7
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-bb996
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-c440e
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-adjunctions-prof-4.4-d8c8e
本虛擬套件由這些套件填實: libghc-adjunctions-prof
libghc-aeson-compat-dev (0.3.9-3+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.3.9-3+b1 [armel, armhf, i386])
compatibility layer for aeson
libghc-aeson-compat-dev-0.3.9-240f2
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-343b2
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-a4a5e
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-ad568
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-b2e63
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-bd361
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-d8967
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-dev-0.3.9-f1fcf
本虛擬套件由這些套件填實: libghc-aeson-compat-dev
libghc-aeson-compat-doc (0.3.9-3)
compatibility layer for aeson; documentation
libghc-aeson-compat-prof (0.3.9-3+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.3.9-3+b1 [armel, armhf, i386])
compatibility layer for aeson; profiling libraries
libghc-aeson-compat-prof-0.3.9-240f2
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-343b2
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-a4a5e
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-ad568
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-b2e63
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-bd361
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-d8967
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-compat-prof-0.3.9-f1fcf
本虛擬套件由這些套件填實: libghc-aeson-compat-prof
libghc-aeson-dev (1.4.7.1-2+b2 [s390x], 1.4.7.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast JSON parsing and encoding library
libghc-aeson-dev-1.4.7.1-257b0
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-79a1a
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-89c9f
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-8d369
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-95a4a
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-9d36e
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-b336b
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-dev-1.4.7.1-e9a35
本虛擬套件由這些套件填實: libghc-aeson-dev
libghc-aeson-diff-dev (1.1.0.9-1)
extract and apply patches to JSON documents
libghc-aeson-diff-dev-1.1.0.9-0ec55
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-10991
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-386e8
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-38e3f
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-61610
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-8cc47
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-9d116
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-dev-1.1.0.9-f6956
本虛擬套件由這些套件填實: libghc-aeson-diff-dev
libghc-aeson-diff-doc (1.1.0.9-1)
extract and apply patches to JSON documents; documentation
libghc-aeson-diff-prof (1.1.0.9-1)
extract and apply patches to JSON documents; profiling libraries
libghc-aeson-diff-prof-1.1.0.9-0ec55
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-10991
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-386e8
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-38e3f
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-61610
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-8cc47
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-9d116
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-diff-prof-1.1.0.9-f6956
本虛擬套件由這些套件填實: libghc-aeson-diff-prof
libghc-aeson-doc (1.4.7.1-2)
Fast JSON parsing and encoding library; documentation
libghc-aeson-extra-dev (0.4.1.3-2)
Extra goodies for aeson
libghc-aeson-extra-dev-0.4.1.3-201f8
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-4d5ac
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-4f2d6
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-72403
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-82311
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-9d043
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-9f24f
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-e15d8
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-dev-0.4.1.3-eadc9
本虛擬套件由這些套件填實: libghc-aeson-extra-dev
libghc-aeson-extra-doc (0.4.1.3-2)
Extra goodies for aeson; documentation
libghc-aeson-extra-prof (0.4.1.3-2)
Extra goodies for aeson; profiling libraries
libghc-aeson-extra-prof-0.4.1.3-201f8
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-4d5ac
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-4f2d6
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-72403
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-82311
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-9d043
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-9f24f
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-e15d8
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-extra-prof-0.4.1.3-eadc9
本虛擬套件由這些套件填實: libghc-aeson-extra-prof
libghc-aeson-pretty-dev (0.8.8-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.8.8-1+b1 [armel, armhf, i386])
JSON pretty-printing library
libghc-aeson-pretty-dev-0.8.8-1d65d
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-4d151
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-7d0e4
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-98978
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-aee27
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-ea1f1
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-f2975
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-dev-0.8.8-fcdc9
本虛擬套件由這些套件填實: libghc-aeson-pretty-dev
libghc-aeson-pretty-doc (0.8.8-1)
JSON pretty-printing library; documentation
libghc-aeson-pretty-prof (0.8.8-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.8.8-1+b1 [armel, armhf, i386])
JSON pretty-printing library; profiling libraries
libghc-aeson-pretty-prof-0.8.8-1d65d
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-4d151
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-7d0e4
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-98978
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-aee27
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-ea1f1
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-f2975
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-pretty-prof-0.8.8-fcdc9
本虛擬套件由這些套件填實: libghc-aeson-pretty-prof
libghc-aeson-prof (1.4.7.1-2+b2 [s390x], 1.4.7.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast JSON parsing and encoding library; profiling libraries
libghc-aeson-prof-1.4.7.1-257b0
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-79a1a
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-89c9f
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-8d369
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-95a4a
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-9d36e
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-b336b
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-prof-1.4.7.1-e9a35
本虛擬套件由這些套件填實: libghc-aeson-prof
libghc-aeson-qq-dev (0.8.3-1+b3 [amd64, arm64, armhf, ppc64el, s390x], 0.8.3-1+b2 [armel, i386, mips64el, mipsel])
JSON quasiquoter for Haskell
libghc-aeson-qq-dev-0.8.3-05148
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-1d851
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-31a6e
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-6791a
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-c186a
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-cd80e
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-d1187
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-dbb2a
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-dev-0.8.3-e3c69
本虛擬套件由這些套件填實: libghc-aeson-qq-dev
libghc-aeson-qq-doc (0.8.3-1)
JSON quasiquoter for Haskell; documentation
libghc-aeson-qq-prof (0.8.3-1+b3 [amd64, arm64, armhf, ppc64el, s390x], 0.8.3-1+b2 [armel, i386, mips64el, mipsel])
JSON quasiquoter for Haskell; profiling libraries
libghc-aeson-qq-prof-0.8.3-05148
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-1d851
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-31a6e
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-6791a
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-c186a
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-cd80e
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-d1187
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-dbb2a
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-aeson-qq-prof-0.8.3-e3c69
本虛擬套件由這些套件填實: libghc-aeson-qq-prof
libghc-agda-dev (2.6.1-1+b3 [s390x], 2.6.1-1+b2 [amd64, arm64, i386, ppc64el])
dependently typed functional programming language
libghc-agda-dev-2.6.1-0b8cc
本虛擬套件由這些套件填實: libghc-agda-dev
libghc-agda-dev-2.6.1-39306
本虛擬套件由這些套件填實: libghc-agda-dev
libghc-agda-dev-2.6.1-3cb0e
本虛擬套件由這些套件填實: libghc-agda-dev
libghc-agda-dev-2.6.1-9d521
本虛擬套件由這些套件填實: libghc-agda-dev
libghc-agda-dev-2.6.1-a0b1e
本虛擬套件由這些套件填實: libghc-agda-dev
libghc-agda-doc (2.6.1-1)
dependently typed functional programming language; documentation
libghc-alsa-core-dev (0.5.0.1-6+b2 [s390x], 0.5.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
binding to the ALSA Library API (Exceptions)
libghc-alsa-core-dev-0.5.0.1-142de
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-dev-0.5.0.1-1ffb1
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-dev-0.5.0.1-34371
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-dev-0.5.0.1-3c6b7
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-dev-0.5.0.1-90951
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-dev-0.5.0.1-ed9cb
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-dev-0.5.0.1-ffadd
本虛擬套件由這些套件填實: libghc-alsa-core-dev
libghc-alsa-core-doc (0.5.0.1-6)
binding to the ALSA Library API (Exceptions); documentation
libghc-alsa-core-prof (0.5.0.1-6+b2 [s390x], 0.5.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
binding to the ALSA Library API (Exceptions); profiling libraries
libghc-alsa-core-prof-0.5.0.1-142de
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-core-prof-0.5.0.1-1ffb1
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-core-prof-0.5.0.1-34371
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-core-prof-0.5.0.1-3c6b7
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-core-prof-0.5.0.1-90951
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-core-prof-0.5.0.1-ed9cb
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-core-prof-0.5.0.1-ffadd
本虛擬套件由這些套件填實: libghc-alsa-core-prof
libghc-alsa-mixer-dev (0.3.0-2+b2 [s390x], 0.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to the ALSA simple mixer API
libghc-alsa-mixer-dev-0.3.0-40795
本虛擬套件由這些套件填實: libghc-alsa-mixer-dev
libghc-alsa-mixer-dev-0.3.0-49be8
本虛擬套件由這些套件填實: libghc-alsa-mixer-dev
libghc-alsa-mixer-dev-0.3.0-74392
本虛擬套件由這些套件填實: libghc-alsa-mixer-dev
libghc-alsa-mixer-dev-0.3.0-8c350
本虛擬套件由這些套件填實: libghc-alsa-mixer-dev
libghc-alsa-mixer-dev-0.3.0-adf47
本虛擬套件由這些套件填實: libghc-alsa-mixer-dev
libghc-alsa-mixer-doc (0.3.0-2)
bindings to the ALSA simple mixer API; documentation
libghc-alsa-mixer-prof (0.3.0-2+b2 [s390x], 0.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to the ALSA simple mixer API; profiling libraries
libghc-alsa-mixer-prof-0.3.0-40795
本虛擬套件由這些套件填實: libghc-alsa-mixer-prof
libghc-alsa-mixer-prof-0.3.0-49be8
本虛擬套件由這些套件填實: libghc-alsa-mixer-prof
libghc-alsa-mixer-prof-0.3.0-74392
本虛擬套件由這些套件填實: libghc-alsa-mixer-prof
libghc-alsa-mixer-prof-0.3.0-8c350
本虛擬套件由這些套件填實: libghc-alsa-mixer-prof
libghc-alsa-mixer-prof-0.3.0-adf47
本虛擬套件由這些套件填實: libghc-alsa-mixer-prof
libghc-annotated-wl-pprint-dev (0.7.0-4+b2 [s390x], 0.7.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen Pretty Printer, with annotation support
libghc-annotated-wl-pprint-dev-0.7.0-13dd7
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-dev
libghc-annotated-wl-pprint-dev-0.7.0-354c8
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-dev
libghc-annotated-wl-pprint-dev-0.7.0-4a8fe
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-dev
libghc-annotated-wl-pprint-dev-0.7.0-51779
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-dev
libghc-annotated-wl-pprint-dev-0.7.0-b00e5
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-dev
libghc-annotated-wl-pprint-doc (0.7.0-4)
Wadler/Leijen Pretty Printer, with annotation support; documentation
libghc-annotated-wl-pprint-prof (0.7.0-4+b2 [s390x], 0.7.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen Pretty Printer, with annotation support; profiling libraries
libghc-annotated-wl-pprint-prof-0.7.0-13dd7
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-prof
libghc-annotated-wl-pprint-prof-0.7.0-354c8
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-prof
libghc-annotated-wl-pprint-prof-0.7.0-4a8fe
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-prof
libghc-annotated-wl-pprint-prof-0.7.0-51779
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-prof
libghc-annotated-wl-pprint-prof-0.7.0-b00e5
本虛擬套件由這些套件填實: libghc-annotated-wl-pprint-prof
libghc-ansi-terminal-dev (0.10.3-1+b2 [s390x], 0.10.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Simple ANSI terminal support, with Windows compatibility
libghc-ansi-terminal-dev-0.10.3-1c365
本虛擬套件由這些套件填實: libghc-ansi-terminal-dev
libghc-ansi-terminal-dev-0.10.3-6638c
本虛擬套件由這些套件填實: libghc-ansi-terminal-dev
libghc-ansi-terminal-dev-0.10.3-9d82e
本虛擬套件由這些套件填實: libghc-ansi-terminal-dev
libghc-ansi-terminal-dev-0.10.3-bee2a
本虛擬套件由這些套件填實: libghc-ansi-terminal-dev
libghc-ansi-terminal-doc (0.10.3-1)
Simple ANSI terminal support, with Windows compatibility; documentation
libghc-ansi-terminal-prof (0.10.3-1+b2 [s390x], 0.10.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Simple ANSI terminal support, with Windows compatibility; profiling libraries
libghc-ansi-terminal-prof-0.10.3-1c365
本虛擬套件由這些套件填實: libghc-ansi-terminal-prof
libghc-ansi-terminal-prof-0.10.3-6638c
本虛擬套件由這些套件填實: libghc-ansi-terminal-prof
libghc-ansi-terminal-prof-0.10.3-9d82e
本虛擬套件由這些套件填實: libghc-ansi-terminal-prof
libghc-ansi-terminal-prof-0.10.3-bee2a
本虛擬套件由這些套件填實: libghc-ansi-terminal-prof
libghc-ansi-wl-pprint-dev (0.6.9-2+b2 [s390x], 0.6.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen Pretty Printer for colored ANSI terminal output
libghc-ansi-wl-pprint-dev-0.6.9-2468c
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-dev
libghc-ansi-wl-pprint-dev-0.6.9-7e36d
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-dev
libghc-ansi-wl-pprint-dev-0.6.9-a53ee
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-dev
libghc-ansi-wl-pprint-dev-0.6.9-aebe7
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-dev
libghc-ansi-wl-pprint-dev-0.6.9-d9392
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-dev
libghc-ansi-wl-pprint-doc (0.6.9-2)
Wadler/Leijen Pretty Printer for colored ANSI terminal output; documentation
libghc-ansi-wl-pprint-prof (0.6.9-2+b2 [s390x], 0.6.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen Pretty Printer for colored ANSI terminal output; profiling lib
libghc-ansi-wl-pprint-prof-0.6.9-2468c
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-prof
libghc-ansi-wl-pprint-prof-0.6.9-7e36d
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-prof
libghc-ansi-wl-pprint-prof-0.6.9-a53ee
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-prof
libghc-ansi-wl-pprint-prof-0.6.9-aebe7
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-prof
libghc-ansi-wl-pprint-prof-0.6.9-d9392
本虛擬套件由這些套件填實: libghc-ansi-wl-pprint-prof
libghc-appar-dev (0.1.8-2+b2 [s390x], 0.1.8-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A simple applicative parser in Parsec style
libghc-appar-dev-0.1.8-30158
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-39ccf
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-4cd50
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-9da39
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-a050e
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-bccc6
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-db880
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-dev-0.1.8-e75fc
本虛擬套件由這些套件填實: libghc-appar-dev
libghc-appar-doc (0.1.8-2)
A simple applicative parser in Parsec style; documentation
libghc-appar-prof (0.1.8-2+b2 [s390x], 0.1.8-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A simple applicative parser in Parsec style; profiling libraries
libghc-appar-prof-0.1.8-30158
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-39ccf
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-4cd50
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-9da39
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-a050e
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-bccc6
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-db880
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-appar-prof-0.1.8-e75fc
本虛擬套件由這些套件填實: libghc-appar-prof
libghc-argon2-dev (1.3.0.1-7+b2 [s390x], 1.3.0.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to the Argon2 password-hashing function
libghc-argon2-dev-1.3.0.1-03614
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-21423
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-39ebc
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-581c0
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-bcfd9
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-d8a17
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-f0f8a
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-dev-1.3.0.1-f9593
本虛擬套件由這些套件填實: libghc-argon2-dev
libghc-argon2-doc (1.3.0.1-7)
Haskell bindings to the Argon2 password-hashing function; documentation
libghc-argon2-prof (1.3.0.1-7+b2 [s390x], 1.3.0.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to the Argon2 password-hashing function; profiling libraries
libghc-argon2-prof-1.3.0.1-03614
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-21423
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-39ebc
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-581c0
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-bcfd9
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-d8a17
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-f0f8a
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-argon2-prof-1.3.0.1-f9593
本虛擬套件由這些套件填實: libghc-argon2-prof
libghc-array-dev (= 0.5.4.0)
本虛擬套件由這些套件填實: ghc
libghc-array-dev-0.5.4.0-0281a
本虛擬套件由這些套件填實: ghc
libghc-array-dev-0.5.4.0-53f6a
本虛擬套件由這些套件填實: ghc
libghc-array-dev-0.5.4.0-88c34
本虛擬套件由這些套件填實: ghc
libghc-array-dev-0.5.4.0-b24dc
本虛擬套件由這些套件填實: ghc
libghc-array-dev-0.5.4.0-ddbb2
本虛擬套件由這些套件填實: ghc
libghc-array-doc (= 0.5.4.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-array-prof (= 0.5.4.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-array-prof-0.5.4.0-0281a
本虛擬套件由這些套件填實: ghc-prof
libghc-array-prof-0.5.4.0-53f6a
本虛擬套件由這些套件填實: ghc-prof
libghc-array-prof-0.5.4.0-88c34
本虛擬套件由這些套件填實: ghc-prof
libghc-array-prof-0.5.4.0-b24dc
本虛擬套件由這些套件填實: ghc-prof
libghc-array-prof-0.5.4.0-ddbb2
本虛擬套件由這些套件填實: ghc-prof
libghc-arrows-dev (0.4.4.2-3+b3 [s390x], 0.4.4.2-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.4.2-3+b1 [mips64el, mipsel])
Haskell arrow classes and transformers for GHC
libghc-arrows-dev-0.4.4.2-30fc6
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-46d09
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-6696b
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-73f7e
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-85b81
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-8d9b6
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-9554d
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-dev-0.4.4.2-fac8f
本虛擬套件由這些套件填實: libghc-arrows-dev
libghc-arrows-doc (0.4.4.2-3)
Haskell arrow classes and transformers for GHC; documentation
libghc-arrows-prof (0.4.4.2-3+b3 [s390x], 0.4.4.2-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.4.2-3+b1 [mips64el, mipsel])
Haskell arrow classes and transformers for GHC; profiling libraries
libghc-arrows-prof-0.4.4.2-30fc6
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-46d09
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-6696b
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-73f7e
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-85b81
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-8d9b6
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-9554d
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-arrows-prof-0.4.4.2-fac8f
本虛擬套件由這些套件填實: libghc-arrows-prof
libghc-asn1-encoding-dev (0.9.6-1+b2 [s390x], 0.9.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ASN1 data reader/writer in RAW, BER, and DER forms
libghc-asn1-encoding-dev-0.9.6-0a64e
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-2016c
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-264e1
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-68f89
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-6d0bd
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-75ae6
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-922f4
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-dev-0.9.6-a8c6e
本虛擬套件由這些套件填實: libghc-asn1-encoding-dev
libghc-asn1-encoding-doc (0.9.6-1)
ASN1 data reader/writer in RAW, BER, and DER forms; documentation
libghc-asn1-encoding-prof (0.9.6-1+b2 [s390x], 0.9.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ASN1 data reader/writer in RAW, BER, and DER forms; profiling libraries
libghc-asn1-encoding-prof-0.9.6-0a64e
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-2016c
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-264e1
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-68f89
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-6d0bd
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-75ae6
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-922f4
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-encoding-prof-0.9.6-a8c6e
本虛擬套件由這些套件填實: libghc-asn1-encoding-prof
libghc-asn1-parse-dev (0.9.5-2+b2 [s390x], 0.9.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple monadic parser for ASN1 stream types
libghc-asn1-parse-dev-0.9.5-1a2b9
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-2f169
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-42f77
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-77176
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-83a70
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-8d068
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-91edb
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-dev-0.9.5-dd2f9
本虛擬套件由這些套件填實: libghc-asn1-parse-dev
libghc-asn1-parse-doc (0.9.5-2)
simple monadic parser for ASN1 stream types; documentation
libghc-asn1-parse-prof (0.9.5-2+b2 [s390x], 0.9.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple monadic parser for ASN1 stream types; profiling libraries
libghc-asn1-parse-prof-0.9.5-1a2b9
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-2f169
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-42f77
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-77176
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-83a70
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-8d068
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-91edb
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-parse-prof-0.9.5-dd2f9
本虛擬套件由這些套件填實: libghc-asn1-parse-prof
libghc-asn1-types-dev (0.3.4-1+b2 [s390x], 0.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ASN.1 types
libghc-asn1-types-dev-0.3.4-1bf24
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-527ff
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-5dd4d
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-5fdf0
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-8fd82
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-a5eb9
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-baeb6
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-dev-0.3.4-da598
本虛擬套件由這些套件填實: libghc-asn1-types-dev
libghc-asn1-types-doc (0.3.4-1)
ASN.1 types; documentation
libghc-asn1-types-prof (0.3.4-1+b2 [s390x], 0.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ASN.1 types; profiling libraries
libghc-asn1-types-prof-0.3.4-1bf24
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-527ff
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-5dd4d
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-5fdf0
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-8fd82
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-a5eb9
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-baeb6
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-asn1-types-prof-0.3.4-da598
本虛擬套件由這些套件填實: libghc-asn1-types-prof
libghc-assert-failure-dev (0.1.2.3-1)
syntactic sugar improving 'assert' and 'error'
libghc-assert-failure-dev-0.1.2.3-0603e
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-1c12f
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-3403e
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-3aa93
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-514b9
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-8f787
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-ac0a1
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-dev-0.1.2.3-fa1d5
本虛擬套件由這些套件填實: libghc-assert-failure-dev
libghc-assert-failure-doc (0.1.2.3-1)
syntactic sugar improving 'assert' and 'error'; documentation
libghc-assert-failure-prof (0.1.2.3-1)
syntactic sugar improving 'assert' and 'error'; profiling libraries
libghc-assert-failure-prof-0.1.2.3-0603e
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-1c12f
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-3403e
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-3aa93
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-514b9
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-8f787
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-ac0a1
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assert-failure-prof-0.1.2.3-fa1d5
本虛擬套件由這些套件填實: libghc-assert-failure-prof
libghc-assoc-dev (1.0.2-1)
swap and assoc: Symmetric and Semigroupy Bifunctors
libghc-assoc-dev-1.0.2-1c724
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-22395
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-2da9a
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-586d0
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-80435
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-8deca
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-c80b7
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-dev-1.0.2-d9a63
本虛擬套件由這些套件填實: libghc-assoc-dev
libghc-assoc-doc (1.0.2-1)
swap and assoc: Symmetric and Semigroupy Bifunctors; documentation
libghc-assoc-prof (1.0.2-1)
swap and assoc: Symmetric and Semigroupy Bifunctors; profiling libraries
libghc-assoc-prof-1.0.2-1c724
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-22395
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-2da9a
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-586d0
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-80435
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-8deca
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-c80b7
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-assoc-prof-1.0.2-d9a63
本虛擬套件由這些套件填實: libghc-assoc-prof
libghc-async-dev (2.2.2-2+b2 [s390x], 2.2.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
run IO operations asynchronously and wait for their results
libghc-async-dev-2.2.2-29e18
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-6f5a8
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-97ad7
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-9d4f5
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-aa2cd
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-b2ac8
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-c9738
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-dev-2.2.2-ca2f8
本虛擬套件由這些套件填實: libghc-async-dev
libghc-async-doc (2.2.2-2)
run IO operations asynchronously and wait for their results; documentation
libghc-async-prof (2.2.2-2+b2 [s390x], 2.2.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
run IO operations asynchronously and wait for their results; profiling libs
libghc-async-prof-2.2.2-29e18
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-6f5a8
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-97ad7
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-9d4f5
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-aa2cd
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-b2ac8
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-c9738
本虛擬套件由這些套件填實: libghc-async-prof
libghc-async-prof-2.2.2-ca2f8
本虛擬套件由這些套件填實: libghc-async-prof
libghc-atomic-write-dev (0.2.0.7-2+b1)
Atomically write to a file
libghc-atomic-write-dev-0.2.0.7-258dd
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-31bae
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-41604
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-6f6f8
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-b9c76
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-e1c74
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-f871c
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-dev-0.2.0.7-f9585
本虛擬套件由這些套件填實: libghc-atomic-write-dev
libghc-atomic-write-doc (0.2.0.7-2)
Atomically write to a file; documentation
libghc-atomic-write-prof (0.2.0.7-2+b1)
Atomically write to a file; profiling libraries
libghc-atomic-write-prof-0.2.0.7-258dd
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-31bae
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-41604
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-6f6f8
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-b9c76
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-e1c74
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-f871c
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-atomic-write-prof-0.2.0.7-f9585
本虛擬套件由這些套件填實: libghc-atomic-write-prof
libghc-attoparsec-dev (0.13.2.4-2+b2 [s390x], 0.13.2.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast combinator parsing for bytestrings
libghc-attoparsec-dev-0.13.2.4-20110
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-6a994
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-8c069
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-b0216
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-c1829
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-ca903
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-e929f
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-dev-0.13.2.4-f9e1e
本虛擬套件由這些套件填實: libghc-attoparsec-dev
libghc-attoparsec-doc (0.13.2.4-2)
Fast combinator parsing for bytestrings; documentation
libghc-attoparsec-iso8601-dev (1.0.1.0-3+b2 [s390x], 1.0.1.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing of ISO 8601 dates
libghc-attoparsec-iso8601-dev-1.0.1.0-1815e
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-87792
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-88ecf
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-b40ff
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-c2dbb
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-d9aa9
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-ecbd4
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-dev-1.0.1.0-ef691
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-dev
libghc-attoparsec-iso8601-doc (1.0.1.0-3)
parsing of ISO 8601 dates; documentation
libghc-attoparsec-iso8601-prof (1.0.1.0-3+b2 [s390x], 1.0.1.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing of ISO 8601 dates; profiling libraries
libghc-attoparsec-iso8601-prof-1.0.1.0-1815e
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-87792
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-88ecf
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-b40ff
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-c2dbb
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-d9aa9
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-ecbd4
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-iso8601-prof-1.0.1.0-ef691
本虛擬套件由這些套件填實: libghc-attoparsec-iso8601-prof
libghc-attoparsec-prof (0.13.2.4-2+b2 [s390x], 0.13.2.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast combinator parsing for bytestrings; profiling libraries
libghc-attoparsec-prof-0.13.2.4-20110
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-6a994
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-8c069
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-b0216
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-c1829
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-ca903
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-e929f
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-attoparsec-prof-0.13.2.4-f9e1e
本虛擬套件由這些套件填實: libghc-attoparsec-prof
libghc-authenticate-dev (1.3.5-1+b3 [arm64, mipsel, ppc64el, s390x], 1.3.5-1+b2 [armel, mips64el], 1.3.5-1+b1 [amd64, armhf, i386])
authentication methods for Haskell web applications
libghc-authenticate-dev-1.3.5-04537
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-067ed
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-440c0
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-5e9c1
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-797b3
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-7a95d
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-84d30
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-945b2
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-dev-1.3.5-fa14c
本虛擬套件由這些套件填實: libghc-authenticate-dev
libghc-authenticate-doc (1.3.5-1)
authentication methods for Haskell web applications; documentation
libghc-authenticate-oauth-dev (1.6.0.1-2+b3 [mipsel, s390x], 1.6.0.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.6.0.1-2+b1 [mips64el])
authenticate with OAuth for Haskell web applications
libghc-authenticate-oauth-dev-1.6.0.1-18924
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-37442
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-3b3d8
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-44a4b
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-6a38b
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-780e4
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-97cb2
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-ec2fa
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-dev-1.6.0.1-f8bee
本虛擬套件由這些套件填實: libghc-authenticate-oauth-dev
libghc-authenticate-oauth-doc (1.6.0.1-2)
authenticate with OAuth for Haskell web applications; documentation
libghc-authenticate-oauth-prof (1.6.0.1-2+b3 [mipsel, s390x], 1.6.0.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.6.0.1-2+b1 [mips64el])
authenticate with OAuth for Haskell web applications; profiling libraries
libghc-authenticate-oauth-prof-1.6.0.1-18924
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-37442
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-3b3d8
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-44a4b
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-6a38b
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-780e4
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-97cb2
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-ec2fa
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-oauth-prof-1.6.0.1-f8bee
本虛擬套件由這些套件填實: libghc-authenticate-oauth-prof
libghc-authenticate-prof (1.3.5-1+b3 [arm64, mipsel, ppc64el, s390x], 1.3.5-1+b2 [armel, mips64el], 1.3.5-1+b1 [amd64, armhf, i386])
authentication methods for Haskell web applications; profiling libraries
libghc-authenticate-prof-1.3.5-04537
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-067ed
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-440c0
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-5e9c1
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-797b3
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-7a95d
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-84d30
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-945b2
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-authenticate-prof-1.3.5-fa14c
本虛擬套件由這些套件填實: libghc-authenticate-prof
libghc-auto-update-dev (0.1.6-1+b2 [s390x], 0.1.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficiently run periodic, on-demand actions
libghc-auto-update-dev-0.1.6-48f5f
本虛擬套件由這些套件填實: libghc-auto-update-dev
libghc-auto-update-dev-0.1.6-4c50d
本虛擬套件由這些套件填實: libghc-auto-update-dev
libghc-auto-update-dev-0.1.6-5b989
本虛擬套件由這些套件填實: libghc-auto-update-dev
libghc-auto-update-dev-0.1.6-70f3a
本虛擬套件由這些套件填實: libghc-auto-update-dev
libghc-auto-update-dev-0.1.6-a2734
本虛擬套件由這些套件填實: libghc-auto-update-dev
libghc-auto-update-doc (0.1.6-1)
efficiently run periodic, on-demand actions; documentation
libghc-auto-update-prof (0.1.6-1+b2 [s390x], 0.1.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficiently run periodic, on-demand actions; profiling libraries
libghc-auto-update-prof-0.1.6-48f5f
本虛擬套件由這些套件填實: libghc-auto-update-prof
libghc-auto-update-prof-0.1.6-4c50d
本虛擬套件由這些套件填實: libghc-auto-update-prof
libghc-auto-update-prof-0.1.6-5b989
本虛擬套件由這些套件填實: libghc-auto-update-prof
libghc-auto-update-prof-0.1.6-70f3a
本虛擬套件由這些套件填實: libghc-auto-update-prof
libghc-auto-update-prof-0.1.6-a2734
本虛擬套件由這些套件填實: libghc-auto-update-prof
libghc-aws-dev (0.22-1+b3 [mipsel, s390x], 0.22-1+b2 [arm64, armel, ppc64el], 0.22-1+b1 [amd64, armhf, i386, mips64el])
Amazon Web Services for Haskell
libghc-aws-dev-0.22-1faa0
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-2e141
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-32d9b
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-42d5c
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-7b2dd
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-82a73
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-88972
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-9e0d2
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-dev-0.22-c5951
本虛擬套件由這些套件填實: libghc-aws-dev
libghc-aws-doc (0.22-1)
Amazon Web Services for Haskell; documentation
libghc-aws-prof (0.22-1+b3 [mipsel, s390x], 0.22-1+b2 [arm64, armel, ppc64el], 0.22-1+b1 [amd64, armhf, i386, mips64el])
Amazon Web Services for Haskell; profiling libraries
libghc-aws-prof-0.22-1faa0
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-2e141
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-32d9b
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-42d5c
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-7b2dd
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-82a73
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-88972
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-9e0d2
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-aws-prof-0.22-c5951
本虛擬套件由這些套件填實: libghc-aws-prof
libghc-base-compat-batteries-dev (0.11.1-3+b2 [s390x], 0.11.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
base-compat with extra batteries
libghc-base-compat-batteries-dev-0.11.1-29544
本虛擬套件由這些套件填實: libghc-base-compat-batteries-dev
libghc-base-compat-batteries-dev-0.11.1-c97d8
本虛擬套件由這些套件填實: libghc-base-compat-batteries-dev
libghc-base-compat-batteries-dev-0.11.1-d911c
本虛擬套件由這些套件填實: libghc-base-compat-batteries-dev
libghc-base-compat-batteries-dev-0.11.1-e5555
本虛擬套件由這些套件填實: libghc-base-compat-batteries-dev
libghc-base-compat-batteries-doc (0.11.1-3)
base-compat with extra batteries; documentation
libghc-base-compat-batteries-prof (0.11.1-3+b2 [s390x], 0.11.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
base-compat with extra batteries; profiling libraries
libghc-base-compat-batteries-prof-0.11.1-29544
本虛擬套件由這些套件填實: libghc-base-compat-batteries-prof
libghc-base-compat-batteries-prof-0.11.1-c97d8
本虛擬套件由這些套件填實: libghc-base-compat-batteries-prof
libghc-base-compat-batteries-prof-0.11.1-d911c
本虛擬套件由這些套件填實: libghc-base-compat-batteries-prof
libghc-base-compat-batteries-prof-0.11.1-e5555
本虛擬套件由這些套件填實: libghc-base-compat-batteries-prof
libghc-base-compat-dev (0.11.1-1+b2 [s390x], 0.11.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility layer for base
libghc-base-compat-dev-0.11.1-10d6a
本虛擬套件由這些套件填實: libghc-base-compat-dev
libghc-base-compat-dev-0.11.1-400e7
本虛擬套件由這些套件填實: libghc-base-compat-dev
libghc-base-compat-dev-0.11.1-673c4
本虛擬套件由這些套件填實: libghc-base-compat-dev
libghc-base-compat-dev-0.11.1-9816b
本虛擬套件由這些套件填實: libghc-base-compat-dev
libghc-base-compat-dev-0.11.1-cd75a
本虛擬套件由這些套件填實: libghc-base-compat-dev
libghc-base-compat-doc (0.11.1-1)
compatibility layer for base; documentation
libghc-base-compat-prof (0.11.1-1+b2 [s390x], 0.11.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility layer for base; profiling libraries
libghc-base-compat-prof-0.11.1-10d6a
本虛擬套件由這些套件填實: libghc-base-compat-prof
libghc-base-compat-prof-0.11.1-400e7
本虛擬套件由這些套件填實: libghc-base-compat-prof
libghc-base-compat-prof-0.11.1-673c4
本虛擬套件由這些套件填實: libghc-base-compat-prof
libghc-base-compat-prof-0.11.1-9816b
本虛擬套件由這些套件填實: libghc-base-compat-prof
libghc-base-compat-prof-0.11.1-cd75a
本虛擬套件由這些套件填實: libghc-base-compat-prof
libghc-base-dev (= 4.13.0.0)
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-2f220
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-3cd45
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-5b8ba
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-7d708
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-8a208
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-95196
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-bfdb4
本虛擬套件由這些套件填實: ghc
libghc-base-dev-4.13.0.0-d4e5b
本虛擬套件由這些套件填實: ghc
libghc-base-doc (= 4.13.0.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-base-orphans-dev (0.8.2-1+b2 [s390x], 0.8.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Backwards-compatible orphan instances for base
libghc-base-orphans-dev-0.8.2-24a82
本虛擬套件由這些套件填實: libghc-base-orphans-dev
libghc-base-orphans-dev-0.8.2-337cb
本虛擬套件由這些套件填實: libghc-base-orphans-dev
libghc-base-orphans-dev-0.8.2-3f63d
本虛擬套件由這些套件填實: libghc-base-orphans-dev
libghc-base-orphans-dev-0.8.2-50da5
本虛擬套件由這些套件填實: libghc-base-orphans-dev
libghc-base-orphans-dev-0.8.2-9914a
本虛擬套件由這些套件填實: libghc-base-orphans-dev
libghc-base-orphans-doc (0.8.2-1)
Backwards-compatible orphan instances for base; documentation
libghc-base-orphans-prof (0.8.2-1+b2 [s390x], 0.8.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Backwards-compatible orphan instances for base; profiling libraries
libghc-base-orphans-prof-0.8.2-24a82
本虛擬套件由這些套件填實: libghc-base-orphans-prof
libghc-base-orphans-prof-0.8.2-337cb
本虛擬套件由這些套件填實: libghc-base-orphans-prof
libghc-base-orphans-prof-0.8.2-3f63d
本虛擬套件由這些套件填實: libghc-base-orphans-prof
libghc-base-orphans-prof-0.8.2-50da5
本虛擬套件由這些套件填實: libghc-base-orphans-prof
libghc-base-orphans-prof-0.8.2-9914a
本虛擬套件由這些套件填實: libghc-base-orphans-prof
libghc-base-prelude-dev (1.3-2+b2 [s390x], 1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
most complete prelude formed solely from the "base" package
libghc-base-prelude-dev-1.3-5d6a3
本虛擬套件由這些套件填實: libghc-base-prelude-dev
libghc-base-prelude-dev-1.3-a1464
本虛擬套件由這些套件填實: libghc-base-prelude-dev
libghc-base-prelude-dev-1.3-bfc9d
本虛擬套件由這些套件填實: libghc-base-prelude-dev
libghc-base-prelude-dev-1.3-c69d2
本虛擬套件由這些套件填實: libghc-base-prelude-dev
libghc-base-prelude-doc (1.3-2)
most complete prelude formed solely from the "base" package; documentation
libghc-base-prelude-prof (1.3-2+b2 [s390x], 1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
most complete prelude formed solely from the "base" package; profiling libraries
libghc-base-prelude-prof-1.3-5d6a3
本虛擬套件由這些套件填實: libghc-base-prelude-prof
libghc-base-prelude-prof-1.3-a1464
本虛擬套件由這些套件填實: libghc-base-prelude-prof
libghc-base-prelude-prof-1.3-bfc9d
本虛擬套件由這些套件填實: libghc-base-prelude-prof
libghc-base-prelude-prof-1.3-c69d2
本虛擬套件由這些套件填實: libghc-base-prelude-prof
libghc-base-prof (= 4.13.0.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-2f220
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-3cd45
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-5b8ba
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-7d708
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-8a208
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-95196
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-bfdb4
本虛擬套件由這些套件填實: ghc-prof
libghc-base-prof-4.13.0.0-d4e5b
本虛擬套件由這些套件填實: ghc-prof
libghc-base-unicode-symbols-dev (0.2.4.2-1+b2 [s390x], 0.2.4.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unicode alternatives for common functions and operators
libghc-base-unicode-symbols-dev-0.2.4.2-6004f
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-dev
libghc-base-unicode-symbols-dev-0.2.4.2-be6a2
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-dev
libghc-base-unicode-symbols-dev-0.2.4.2-cc0f2
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-dev
libghc-base-unicode-symbols-dev-0.2.4.2-f3669
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-dev
libghc-base-unicode-symbols-doc (0.2.4.2-1)
Unicode alternatives for common functions and operators; documentation
libghc-base-unicode-symbols-prof (0.2.4.2-1+b2 [s390x], 0.2.4.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unicode alternatives for common functions and operators; profiling libraries
libghc-base-unicode-symbols-prof-0.2.4.2-6004f
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-prof
libghc-base-unicode-symbols-prof-0.2.4.2-be6a2
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-prof
libghc-base-unicode-symbols-prof-0.2.4.2-cc0f2
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-prof
libghc-base-unicode-symbols-prof-0.2.4.2-f3669
本虛擬套件由這些套件填實: libghc-base-unicode-symbols-prof
libghc-base16-bytestring-dev (0.1.1.7-1)
Fast base16 (hex) encoding and decoding for ByteStrings
libghc-base16-bytestring-dev-0.1.1.7-1a406
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-2cc4f
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-63fb5
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-6b1f2
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-8741b
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-a237e
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-df9d7
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-dev-0.1.1.7-ef80e
本虛擬套件由這些套件填實: libghc-base16-bytestring-dev
libghc-base16-bytestring-doc (0.1.1.7-1)
Fast base16 (hex) encoding and decoding for ByteStrings; documentation
libghc-base16-bytestring-prof (0.1.1.7-1)
Fast base16 (hex) encoding and decoding for ByteStrings; profiling libraries
libghc-base16-bytestring-prof-0.1.1.7-1a406
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-2cc4f
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-63fb5
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-6b1f2
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-8741b
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-a237e
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-df9d7
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base16-bytestring-prof-0.1.1.7-ef80e
本虛擬套件由這些套件填實: libghc-base16-bytestring-prof
libghc-base64-bytestring-dev (1.0.0.3-1+b2 [s390x], 1.0.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast base64 encoding/deconding for ByteStrings
libghc-base64-bytestring-dev-1.0.0.3-0b60c
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-15ef4
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-22a49
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-39c3c
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-59e84
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-679b8
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-a8d1d
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-b1c79
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-dev-1.0.0.3-c8132
本虛擬套件由這些套件填實: libghc-base64-bytestring-dev
libghc-base64-bytestring-doc (1.0.0.3-1)
fast base64 encoding/deconding for ByteStrings; documentation
libghc-base64-bytestring-prof (1.0.0.3-1+b2 [s390x], 1.0.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast base64 encoding/deconding for ByteStrings; profiling libraries
libghc-base64-bytestring-prof-1.0.0.3-0b60c
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-15ef4
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-22a49
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-39c3c
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-59e84
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-679b8
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-a8d1d
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-b1c79
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-base64-bytestring-prof-1.0.0.3-c8132
本虛擬套件由這些套件填實: libghc-base64-bytestring-prof
libghc-basement-dev (0.0.11-1.1)
Foundation scrap box of array & string
libghc-basement-dev-0.0.11-0e976
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-21943
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-2779d
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-38c5b
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-6d1bf
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-7f9ae
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-d6e35
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-dev-0.0.11-da2d4
本虛擬套件由這些套件填實: libghc-basement-dev
libghc-basement-doc (0.0.11-1.1)
Foundation scrap box of array & string; documentation
libghc-basement-prof (0.0.11-1.1)
Foundation scrap box of array & string; profiling libraries
libghc-basement-prof-0.0.11-0e976
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-21943
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-2779d
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-38c5b
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-6d1bf
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-7f9ae
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-d6e35
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basement-prof-0.0.11-da2d4
本虛擬套件由這些套件填實: libghc-basement-prof
libghc-basic-prelude-dev (0.7.0-4+b2 [s390x], 0.7.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
enhanced core prelude
libghc-basic-prelude-dev-0.7.0-17080
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-57751
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-7bf57
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-81b94
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-865a7
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-a119f
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-b135d
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-dev-0.7.0-e3044
本虛擬套件由這些套件填實: libghc-basic-prelude-dev
libghc-basic-prelude-doc (0.7.0-4)
enhanced core prelude; documentation
libghc-basic-prelude-prof (0.7.0-4+b2 [s390x], 0.7.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
enhanced core prelude; profiling libraries
libghc-basic-prelude-prof-0.7.0-17080
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-57751
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-7bf57
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-81b94
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-865a7
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-a119f
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-b135d
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-basic-prelude-prof-0.7.0-e3044
本虛擬套件由這些套件填實: libghc-basic-prelude-prof
libghc-bencode-dev (0.6.1.1-1+b2 [s390x], 0.6.1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser and printer for bencoded data
libghc-bencode-dev-0.6.1.1-105a0
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-108b5
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-36aef
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-6005d
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-6714d
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-7264e
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-a8301
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-dev-0.6.1.1-d8083
本虛擬套件由這些套件填實: libghc-bencode-dev
libghc-bencode-doc (0.6.1.1-1)
Parser and printer for bencoded data; documentation
libghc-bencode-prof (0.6.1.1-1+b2 [s390x], 0.6.1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser and printer for bencoded data; profiling libraries
libghc-bencode-prof-0.6.1.1-105a0
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-108b5
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-36aef
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-6005d
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-6714d
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-7264e
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-a8301
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bencode-prof-0.6.1.1-d8083
本虛擬套件由這些套件填實: libghc-bencode-prof
libghc-bifunctors-dev (5.5.7-1+b2 [s390x], 5.5.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 bifunctors
libghc-bifunctors-dev-5.5.7-38ac1
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-54bb2
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-a016f
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-b9f98
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-d01ec
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-d459d
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-e220f
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-dev-5.5.7-f5298
本虛擬套件由這些套件填實: libghc-bifunctors-dev
libghc-bifunctors-doc (5.5.7-1)
Haskell 98 bifunctors; documentation
libghc-bifunctors-prof (5.5.7-1+b2 [s390x], 5.5.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 bifunctors; profiling libraries
libghc-bifunctors-prof-5.5.7-38ac1
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-54bb2
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-a016f
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-b9f98
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-d01ec
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-d459d
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-e220f
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bifunctors-prof-5.5.7-f5298
本虛擬套件由這些套件填實: libghc-bifunctors-prof
libghc-bimap-dev (0.4.0-1+b1)
bidirectional mapping between two key types
libghc-bimap-dev-0.4.0-22ae7
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-26b48
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-34ac4
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-c3388
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-d3a82
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-d8b0a
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-ec803
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-dev-0.4.0-ecb2a
本虛擬套件由這些套件填實: libghc-bimap-dev
libghc-bimap-doc (0.4.0-1)
bidirectional mapping between two key types; documentation
libghc-bimap-prof (0.4.0-1+b1)
bidirectional mapping between two key types; profiling libraries
libghc-bimap-prof-0.4.0-22ae7
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-26b48
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-34ac4
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-c3388
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-d3a82
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-d8b0a
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-ec803
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-bimap-prof-0.4.0-ecb2a
本虛擬套件由這些套件填實: libghc-bimap-prof
libghc-binary-conduit-dev (1.3.1-2+b3 [s390x], 1.3.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.1-2+b1 [mips64el, mipsel])
data serialization/deserialization conduit library
libghc-binary-conduit-dev-1.3.1-389c0
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-3b25f
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-5d4a7
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-7ac90
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-ac44d
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-c006d
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-c69ba
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-d6e07
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-dev-1.3.1-ef668
本虛擬套件由這些套件填實: libghc-binary-conduit-dev
libghc-binary-conduit-doc (1.3.1-2)
data serialization/deserialization conduit library; documentation
libghc-binary-conduit-prof (1.3.1-2+b3 [s390x], 1.3.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.1-2+b1 [mips64el, mipsel])
data serialization/deserialization conduit library; profiling libraries
libghc-binary-conduit-prof-1.3.1-389c0
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-3b25f
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-5d4a7
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-7ac90
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-ac44d
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-c006d
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-c69ba
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-d6e07
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-conduit-prof-1.3.1-ef668
本虛擬套件由這些套件填實: libghc-binary-conduit-prof
libghc-binary-dev (= 0.8.7.0)
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-108d7
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-1c7de
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-39b80
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-3c206
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-49e02
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-943d8
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-ab5e4
本虛擬套件由這些套件填實: ghc
libghc-binary-dev-0.8.7.0-d9f84
本虛擬套件由這些套件填實: ghc
libghc-binary-doc (= 0.8.7.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-binary-instances-dev (1.0.0.1-3)
orphan instances for binary
libghc-binary-instances-dev-1.0.0.1-1a7df
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-1f257
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-44949
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-4909a
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-5c1cb
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-64eed
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-67475
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-dev-1.0.0.1-b5c7b
本虛擬套件由這些套件填實: libghc-binary-instances-dev
libghc-binary-instances-doc (1.0.0.1-3)
orphan instances for binary; documentation
libghc-binary-instances-prof (1.0.0.1-3)
orphan instances for binary; profiling libraries
libghc-binary-instances-prof-1.0.0.1-1a7df
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-1f257
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-44949
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-4909a
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-5c1cb
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-64eed
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-67475
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-instances-prof-1.0.0.1-b5c7b
本虛擬套件由這些套件填實: libghc-binary-instances-prof
libghc-binary-orphans-dev (1.0.1-3+b2 [s390x], 1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
orphan instances for binary
libghc-binary-orphans-dev-1.0.1-24ba3
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-26317
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-3316a
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-6b7d9
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-6bbbf
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-71313
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-714cc
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-dev-1.0.1-c7927
本虛擬套件由這些套件填實: libghc-binary-orphans-dev
libghc-binary-orphans-doc (1.0.1-3)
orphan instances for binary; documentation
libghc-binary-orphans-prof (1.0.1-3+b2 [s390x], 1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
orphan instances for binary; profiling libraries
libghc-binary-orphans-prof-1.0.1-24ba3
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-26317
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-3316a
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-6b7d9
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-6bbbf
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-71313
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-714cc
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-orphans-prof-1.0.1-c7927
本虛擬套件由這些套件填實: libghc-binary-orphans-prof
libghc-binary-parsers-dev (0.2.4.0-3+b2 [s390x], 0.2.4.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsec-/attoparsec-style parsing combinators
libghc-binary-parsers-dev-0.2.4.0-085ca
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-15efa
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-3913b
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-42fcf
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-710bd
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-c0495
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-e6141
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-dev-0.2.4.0-ea36c
本虛擬套件由這些套件填實: libghc-binary-parsers-dev
libghc-binary-parsers-doc (0.2.4.0-3)
parsec-/attoparsec-style parsing combinators; documentation
libghc-binary-parsers-prof (0.2.4.0-3+b2 [s390x], 0.2.4.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsec-/attoparsec-style parsing combinators; profiling libraries
libghc-binary-parsers-prof-0.2.4.0-085ca
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-15efa
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-3913b
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-42fcf
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-710bd
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-c0495
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-e6141
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-parsers-prof-0.2.4.0-ea36c
本虛擬套件由這些套件填實: libghc-binary-parsers-prof
libghc-binary-prof (= 0.8.7.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-108d7
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-1c7de
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-39b80
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-3c206
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-49e02
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-943d8
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-ab5e4
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-prof-0.8.7.0-d9f84
本虛擬套件由這些套件填實: ghc-prof
libghc-binary-tagged-dev (0.2-1+b3 [s390x], 0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2-1+b1 [mips64el, mipsel])
tagged binary serialisation
libghc-binary-tagged-dev-0.2-4304f
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-4fea0
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-5b7b2
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-6f5b3
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-bcf45
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-c3518
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-e2f99
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-dev-0.2-f9542
本虛擬套件由這些套件填實: libghc-binary-tagged-dev
libghc-binary-tagged-doc (0.2-1)
tagged binary serialisation; documentation
libghc-binary-tagged-prof (0.2-1+b3 [s390x], 0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2-1+b1 [mips64el, mipsel])
tagged binary serialisation; profiling libraries
libghc-binary-tagged-prof-0.2-4304f
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-4fea0
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-5b7b2
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-6f5b3
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-bcf45
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-c3518
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-e2f99
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-binary-tagged-prof-0.2-f9542
本虛擬套件由這些套件填實: libghc-binary-tagged-prof
libghc-bindings-dsl-dev (1.0.25-3+b2 [s390x], 1.0.25-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
FFI domain specific language, on top of hsc2hs
libghc-bindings-dsl-dev-1.0.25-30064
本虛擬套件由這些套件填實: libghc-bindings-dsl-dev
libghc-bindings-dsl-dev-1.0.25-3e8ee
本虛擬套件由這些套件填實: libghc-bindings-dsl-dev
libghc-bindings-dsl-dev-1.0.25-4d453
本虛擬套件由這些套件填實: libghc-bindings-dsl-dev
libghc-bindings-dsl-dev-1.0.25-6c715
本虛擬套件由這些套件填實: libghc-bindings-dsl-dev
libghc-bindings-dsl-dev-1.0.25-985f6
本虛擬套件由這些套件填實: libghc-bindings-dsl-dev
libghc-bindings-dsl-doc (1.0.25-3)
FFI domain specific language, on top of hsc2hs; documentation
libghc-bindings-dsl-prof (1.0.25-3+b2 [s390x], 1.0.25-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
FFI domain specific language, on top of hsc2hs; profiling libraries
libghc-bindings-dsl-prof-1.0.25-30064
本虛擬套件由這些套件填實: libghc-bindings-dsl-prof
libghc-bindings-dsl-prof-1.0.25-3e8ee
本虛擬套件由這些套件填實: libghc-bindings-dsl-prof
libghc-bindings-dsl-prof-1.0.25-4d453
本虛擬套件由這些套件填實: libghc-bindings-dsl-prof
libghc-bindings-dsl-prof-1.0.25-6c715
本虛擬套件由這些套件填實: libghc-bindings-dsl-prof
libghc-bindings-dsl-prof-1.0.25-985f6
本虛擬套件由這些套件填實: libghc-bindings-dsl-prof
libghc-bindings-nettle-dev (0.4-3+b3 [s390x], 0.4-3+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to nettle crypto library
libghc-bindings-nettle-dev-0.4-3441e
本虛擬套件由這些套件填實: libghc-bindings-nettle-dev
libghc-bindings-nettle-dev-0.4-860b0
本虛擬套件由這些套件填實: libghc-bindings-nettle-dev
libghc-bindings-nettle-dev-0.4-b1f84
本虛擬套件由這些套件填實: libghc-bindings-nettle-dev
libghc-bindings-nettle-dev-0.4-ca2aa
本虛擬套件由這些套件填實: libghc-bindings-nettle-dev
libghc-bindings-nettle-dev-0.4-d4c95
本虛擬套件由這些套件填實: libghc-bindings-nettle-dev
libghc-bindings-nettle-dev-0.4-e978e
本虛擬套件由這些套件填實: libghc-bindings-nettle-dev
libghc-bindings-nettle-doc (0.4-3)
Haskell bindings to nettle crypto library; documentation
libghc-bindings-nettle-prof (0.4-3+b3 [s390x], 0.4-3+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to nettle crypto library; profiling libraries
libghc-bindings-nettle-prof-0.4-3441e
本虛擬套件由這些套件填實: libghc-bindings-nettle-prof
libghc-bindings-nettle-prof-0.4-860b0
本虛擬套件由這些套件填實: libghc-bindings-nettle-prof
libghc-bindings-nettle-prof-0.4-b1f84
本虛擬套件由這些套件填實: libghc-bindings-nettle-prof
libghc-bindings-nettle-prof-0.4-ca2aa
本虛擬套件由這些套件填實: libghc-bindings-nettle-prof
libghc-bindings-nettle-prof-0.4-d4c95
本虛擬套件由這些套件填實: libghc-bindings-nettle-prof
libghc-bindings-nettle-prof-0.4-e978e
本虛擬套件由這些套件填實: libghc-bindings-nettle-prof
libghc-bindings-sane-dev (0.0.1-13+b2 [s390x], 0.0.1-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
FFI bindings to libsane
libghc-bindings-sane-dev-0.0.1-1c8e2
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-dev-0.0.1-22c23
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-dev-0.0.1-729cd
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-dev-0.0.1-7a859
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-dev-0.0.1-97d0c
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-dev-0.0.1-aad9c
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-dev-0.0.1-bd294
本虛擬套件由這些套件填實: libghc-bindings-sane-dev
libghc-bindings-sane-doc (0.0.1-13)
FFI bindings to libsane
libghc-bindings-sane-prof (0.0.1-13+b2 [s390x], 0.0.1-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
FFI bindings to libsane
libghc-bindings-sane-prof-0.0.1-1c8e2
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-sane-prof-0.0.1-22c23
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-sane-prof-0.0.1-729cd
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-sane-prof-0.0.1-7a859
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-sane-prof-0.0.1-97d0c
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-sane-prof-0.0.1-aad9c
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-sane-prof-0.0.1-bd294
本虛擬套件由這些套件填實: libghc-bindings-sane-prof
libghc-bindings-uname-dev (0.1-4+b2 [s390x], 0.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Low-level binding to POSIX uname(3)
libghc-bindings-uname-dev-0.1-10241
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-dev-0.1-2f343
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-dev-0.1-63716
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-dev-0.1-7153b
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-dev-0.1-b0bfe
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-dev-0.1-eefd7
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-dev-0.1-f7ea8
本虛擬套件由這些套件填實: libghc-bindings-uname-dev
libghc-bindings-uname-doc (0.1-4)
Low-level binding to POSIX uname(3); documentation
libghc-bindings-uname-prof (0.1-4+b2 [s390x], 0.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Low-level binding to POSIX uname(3); profiling libraries
libghc-bindings-uname-prof-0.1-10241
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bindings-uname-prof-0.1-2f343
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bindings-uname-prof-0.1-63716
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bindings-uname-prof-0.1-7153b
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bindings-uname-prof-0.1-b0bfe
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bindings-uname-prof-0.1-eefd7
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bindings-uname-prof-0.1-f7ea8
本虛擬套件由這些套件填實: libghc-bindings-uname-prof
libghc-bitarray-dev (0.0.1.1-6+b2 [s390x], 0.0.1.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Mutable and immutable bit arrays
libghc-bitarray-dev-0.0.1.1-7070a
本虛擬套件由這些套件填實: libghc-bitarray-dev
libghc-bitarray-dev-0.0.1.1-89a83
本虛擬套件由這些套件填實: libghc-bitarray-dev
libghc-bitarray-dev-0.0.1.1-c021f
本虛擬套件由這些套件填實: libghc-bitarray-dev
libghc-bitarray-dev-0.0.1.1-e3a4a
本虛擬套件由這些套件填實: libghc-bitarray-dev
libghc-bitarray-doc (0.0.1.1-6)
Mutable and immutable bit arrays; documentation
libghc-bitarray-prof (0.0.1.1-6+b2 [s390x], 0.0.1.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Mutable and immutable bit arrays; profiling libraries
libghc-bitarray-prof-0.0.1.1-7070a
本虛擬套件由這些套件填實: libghc-bitarray-prof
libghc-bitarray-prof-0.0.1.1-89a83
本虛擬套件由這些套件填實: libghc-bitarray-prof
libghc-bitarray-prof-0.0.1.1-c021f
本虛擬套件由這些套件填實: libghc-bitarray-prof
libghc-bitarray-prof-0.0.1.1-e3a4a
本虛擬套件由這些套件填實: libghc-bitarray-prof
libghc-blaze-builder-dev (0.4.1.0-4+b2 [s390x], 0.4.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstraction of buffered output of byte streams
libghc-blaze-builder-dev-0.4.1.0-1625c
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-2643b
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-2a86d
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-2afd8
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-3b159
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-97812
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-cf781
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-dev-0.4.1.0-f64f0
本虛擬套件由這些套件填實: libghc-blaze-builder-dev
libghc-blaze-builder-doc (0.4.1.0-4)
abstraction of buffered output of byte streams; documentation
libghc-blaze-builder-prof (0.4.1.0-4+b2 [s390x], 0.4.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstraction of buffered output of byte streams; profiling libraries
libghc-blaze-builder-prof-0.4.1.0-1625c
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-2643b
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-2a86d
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-2afd8
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-3b159
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-97812
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-cf781
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-builder-prof-0.4.1.0-f64f0
本虛擬套件由這些套件填實: libghc-blaze-builder-prof
libghc-blaze-html-dev (0.9.1.2-1+b3 [s390x], 0.9.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.1.2-1+b1 [mips64el, mipsel])
HTML combinator library for Haskell
libghc-blaze-html-dev-0.9.1.2-0ac60
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-323a3
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-60c39
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-722fa
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-8f591
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-adc45
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-baff0
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-dev-0.9.1.2-efb7b
本虛擬套件由這些套件填實: libghc-blaze-html-dev
libghc-blaze-html-doc (0.9.1.2-1)
HTML combinator library for Haskell; documentation
libghc-blaze-html-prof (0.9.1.2-1+b3 [s390x], 0.9.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.1.2-1+b1 [mips64el, mipsel])
HTML combinator library for Haskell; profiling libraries
libghc-blaze-html-prof-0.9.1.2-0ac60
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-323a3
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-60c39
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-722fa
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-8f591
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-adc45
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-baff0
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-html-prof-0.9.1.2-efb7b
本虛擬套件由這些套件填實: libghc-blaze-html-prof
libghc-blaze-markup-dev (0.8.2.7-1)
blazingly-fast markup combinator library
libghc-blaze-markup-dev-0.8.2.7-3460d
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-3ea05
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-5dbce
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-67aad
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-83382
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-900fb
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-a67b4
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-dev-0.8.2.7-d8074
本虛擬套件由這些套件填實: libghc-blaze-markup-dev
libghc-blaze-markup-doc (0.8.2.7-1)
blazingly-fast markup combinator library; documentation
libghc-blaze-markup-prof (0.8.2.7-1)
blazingly-fast markup combinator library; profiling libraries
libghc-blaze-markup-prof-0.8.2.7-3460d
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-3ea05
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-5dbce
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-67aad
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-83382
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-900fb
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-a67b4
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-markup-prof-0.8.2.7-d8074
本虛擬套件由這些套件填實: libghc-blaze-markup-prof
libghc-blaze-svg-dev (0.3.6.1-5+b3 [s390x], 0.3.6.1-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.6.1-5+b1 [mips64el, mipsel])
SVG combinator library
libghc-blaze-svg-dev-0.3.6.1-14949
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-4747a
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-840bb
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-a3032
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-b12c1
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-c837f
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-cc5c2
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-dev-0.3.6.1-da161
本虛擬套件由這些套件填實: libghc-blaze-svg-dev
libghc-blaze-svg-doc (0.3.6.1-5)
SVG combinator library; documentation
libghc-blaze-svg-prof (0.3.6.1-5+b3 [s390x], 0.3.6.1-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.6.1-5+b1 [mips64el, mipsel])
SVG combinator library; profiling libraries
libghc-blaze-svg-prof-0.3.6.1-14949
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-4747a
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-840bb
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-a3032
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-b12c1
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-c837f
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-cc5c2
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-svg-prof-0.3.6.1-da161
本虛擬套件由這些套件填實: libghc-blaze-svg-prof
libghc-blaze-textual-dev (0.2.1.0-9+b2 [s390x], 0.2.1.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast rendering of common datatypes
libghc-blaze-textual-dev-0.2.1.0-20c5a
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-7d80a
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-93d1f
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-a1825
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-bd769
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-ea70a
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-eb465
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-dev-0.2.1.0-eb57a
本虛擬套件由這些套件填實: libghc-blaze-textual-dev
libghc-blaze-textual-doc (0.2.1.0-9)
Fast rendering of common datatypes; documentation
libghc-blaze-textual-prof (0.2.1.0-9+b2 [s390x], 0.2.1.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast rendering of common datatypes; profiling libraries
libghc-blaze-textual-prof-0.2.1.0-20c5a
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-7d80a
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-93d1f
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-a1825
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-bd769
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-ea70a
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-eb465
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-blaze-textual-prof-0.2.1.0-eb57a
本虛擬套件由這些套件填實: libghc-blaze-textual-prof
libghc-bloomfilter-dev (2.0.1.0-7+b2 [s390x], 2.0.1.0-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bloom filter library
libghc-bloomfilter-dev-2.0.1.0-2932a
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-54225
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-7b153
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-7de68
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-862e4
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-b84c5
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-c1394
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-dev-2.0.1.0-d9667
本虛擬套件由這些套件填實: libghc-bloomfilter-dev
libghc-bloomfilter-doc (2.0.1.0-7)
Haskell bloom filter library; documentation
libghc-bloomfilter-prof (2.0.1.0-7+b2 [s390x], 2.0.1.0-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bloom filter library; profiling libraries
libghc-bloomfilter-prof-2.0.1.0-2932a
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-54225
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-7b153
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-7de68
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-862e4
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-b84c5
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-c1394
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bloomfilter-prof-2.0.1.0-d9667
本虛擬套件由這些套件填實: libghc-bloomfilter-prof
libghc-bmp-dev (1.2.6.3-5+b2 [s390x], 1.2.6.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Read and write BMP image files
libghc-bmp-dev-1.2.6.3-05f96
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-22b0e
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-3107c
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-86289
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-89126
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-b1fd2
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-e791e
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-dev-1.2.6.3-f4370
本虛擬套件由這些套件填實: libghc-bmp-dev
libghc-bmp-doc (1.2.6.3-5)
Read and write BMP image files; documentation
libghc-bmp-prof (1.2.6.3-5+b2 [s390x], 1.2.6.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Read and write BMP image files; profiling libraries
libghc-bmp-prof-1.2.6.3-05f96
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-22b0e
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-3107c
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-86289
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-89126
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-b1fd2
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-e791e
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bmp-prof-1.2.6.3-f4370
本虛擬套件由這些套件填實: libghc-bmp-prof
libghc-bool-extras-dev (0.4.0-9+b2 [s390x], 0.4.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fold function for Bool type
libghc-bool-extras-dev-0.4.0-054fb
本虛擬套件由這些套件填實: libghc-bool-extras-dev
libghc-bool-extras-dev-0.4.0-410df
本虛擬套件由這些套件填實: libghc-bool-extras-dev
libghc-bool-extras-dev-0.4.0-ad043
本虛擬套件由這些套件填實: libghc-bool-extras-dev
libghc-bool-extras-dev-0.4.0-d137f
本虛擬套件由這些套件填實: libghc-bool-extras-dev
libghc-bool-extras-doc (0.4.0-9)
fold function for Bool type; documentation
libghc-bool-extras-prof (0.4.0-9+b2 [s390x], 0.4.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fold function for Bool type; profiling libraries
libghc-bool-extras-prof-0.4.0-054fb
本虛擬套件由這些套件填實: libghc-bool-extras-prof
libghc-bool-extras-prof-0.4.0-410df
本虛擬套件由這些套件填實: libghc-bool-extras-prof
libghc-bool-extras-prof-0.4.0-ad043
本虛擬套件由這些套件填實: libghc-bool-extras-prof
libghc-bool-extras-prof-0.4.0-d137f
本虛擬套件由這些套件填實: libghc-bool-extras-prof
libghc-boolean-dev (0.2.4-4+b2 [s390x], 0.2.4-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generalized booleans
libghc-boolean-dev-0.2.4-19c69
本虛擬套件由這些套件填實: libghc-boolean-dev
libghc-boolean-dev-0.2.4-bd7b8
本虛擬套件由這些套件填實: libghc-boolean-dev
libghc-boolean-dev-0.2.4-cd8a5
本虛擬套件由這些套件填實: libghc-boolean-dev
libghc-boolean-dev-0.2.4-d34dc
本虛擬套件由這些套件填實: libghc-boolean-dev
libghc-boolean-doc (0.2.4-4)
generalized booleans; documentation
libghc-boolean-prof (0.2.4-4+b2 [s390x], 0.2.4-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generalized booleans; profiling libraries
libghc-boolean-prof-0.2.4-19c69
本虛擬套件由這些套件填實: libghc-boolean-prof
libghc-boolean-prof-0.2.4-bd7b8
本虛擬套件由這些套件填實: libghc-boolean-prof
libghc-boolean-prof-0.2.4-cd8a5
本虛擬套件由這些套件填實: libghc-boolean-prof
libghc-boolean-prof-0.2.4-d34dc
本虛擬套件由這些套件填實: libghc-boolean-prof
libghc-boomerang-dev (1.4.6-2+b2 [s390x], 1.4.6-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
invertible parsing and printing
libghc-boomerang-dev-1.4.6-05fce
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-0a4c4
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-22d29
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-2e7b3
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-30cad
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-392c8
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-447ad
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-dev-1.4.6-fd0cc
本虛擬套件由這些套件填實: libghc-boomerang-dev
libghc-boomerang-doc (1.4.6-2)
invertible parsing and printing; documentation
libghc-boomerang-prof (1.4.6-2+b2 [s390x], 1.4.6-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
invertible parsing and printing; profiling libraries
libghc-boomerang-prof-1.4.6-05fce
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-0a4c4
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-22d29
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-2e7b3
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-30cad
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-392c8
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-447ad
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boomerang-prof-1.4.6-fd0cc
本虛擬套件由這些套件填實: libghc-boomerang-prof
libghc-boundedchan-dev (1.0.3.0-10+b2 [s390x], 1.0.3.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bounded (maximum-limited) channels
libghc-boundedchan-dev-1.0.3.0-188f1
本虛擬套件由這些套件填實: libghc-boundedchan-dev
libghc-boundedchan-dev-1.0.3.0-52212
本虛擬套件由這些套件填實: libghc-boundedchan-dev
libghc-boundedchan-dev-1.0.3.0-6e075
本虛擬套件由這些套件填實: libghc-boundedchan-dev
libghc-boundedchan-dev-1.0.3.0-a7250
本虛擬套件由這些套件填實: libghc-boundedchan-dev
libghc-boundedchan-doc (1.0.3.0-10)
bounded (maximum-limited) channels; documentation
libghc-boundedchan-prof (1.0.3.0-10+b2 [s390x], 1.0.3.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bounded (maximum-limited) channels; profiling libraries
libghc-boundedchan-prof-1.0.3.0-188f1
本虛擬套件由這些套件填實: libghc-boundedchan-prof
libghc-boundedchan-prof-1.0.3.0-52212
本虛擬套件由這些套件填實: libghc-boundedchan-prof
libghc-boundedchan-prof-1.0.3.0-6e075
本虛擬套件由這些套件填實: libghc-boundedchan-prof
libghc-boundedchan-prof-1.0.3.0-a7250
本虛擬套件由這些套件填實: libghc-boundedchan-prof
libghc-boxes-dev (0.1.5-3+b2 [s390x], 0.1.5-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
2D text pretty-printing library
libghc-boxes-dev-0.1.5-132f5
本虛擬套件由這些套件填實: libghc-boxes-dev
libghc-boxes-dev-0.1.5-399ed
本虛擬套件由這些套件填實: libghc-boxes-dev
libghc-boxes-dev-0.1.5-504df
本虛擬套件由這些套件填實: libghc-boxes-dev
libghc-boxes-dev-0.1.5-70997
本虛擬套件由這些套件填實: libghc-boxes-dev
libghc-boxes-dev-0.1.5-eec1c
本虛擬套件由這些套件填實: libghc-boxes-dev
libghc-boxes-doc (0.1.5-3)
2D text pretty-printing library; documentation
libghc-boxes-prof (0.1.5-3+b2 [s390x], 0.1.5-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
2D text pretty-printing library; profiling libraries
libghc-boxes-prof-0.1.5-132f5
本虛擬套件由這些套件填實: libghc-boxes-prof
libghc-boxes-prof-0.1.5-399ed
本虛擬套件由這些套件填實: libghc-boxes-prof
libghc-boxes-prof-0.1.5-504df
本虛擬套件由這些套件填實: libghc-boxes-prof
libghc-boxes-prof-0.1.5-70997
本虛擬套件由這些套件填實: libghc-boxes-prof
libghc-boxes-prof-0.1.5-eec1c
本虛擬套件由這些套件填實: libghc-boxes-prof
libghc-brainfuck-dev (0.1.0.3-7+b2 [s390x], 0.1.0.3-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Brainfuck interpreter library
libghc-brainfuck-dev-0.1.0.3-1b025
本虛擬套件由這些套件填實: libghc-brainfuck-dev
libghc-brainfuck-dev-0.1.0.3-2aeb2
本虛擬套件由這些套件填實: libghc-brainfuck-dev
libghc-brainfuck-dev-0.1.0.3-33771
本虛擬套件由這些套件填實: libghc-brainfuck-dev
libghc-brainfuck-dev-0.1.0.3-9caf0
本虛擬套件由這些套件填實: libghc-brainfuck-dev
libghc-brainfuck-dev-0.1.0.3-a0cdd
本虛擬套件由這些套件填實: libghc-brainfuck-dev
libghc-brainfuck-doc (0.1.0.3-7)
Brainfuck interpreter library; documentation
libghc-brainfuck-prof (0.1.0.3-7+b2 [s390x], 0.1.0.3-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Brainfuck interpreter library; profiling libraries
libghc-brainfuck-prof-0.1.0.3-1b025
本虛擬套件由這些套件填實: libghc-brainfuck-prof
libghc-brainfuck-prof-0.1.0.3-2aeb2
本虛擬套件由這些套件填實: libghc-brainfuck-prof
libghc-brainfuck-prof-0.1.0.3-33771
本虛擬套件由這些套件填實: libghc-brainfuck-prof
libghc-brainfuck-prof-0.1.0.3-9caf0
本虛擬套件由這些套件填實: libghc-brainfuck-prof
libghc-brainfuck-prof-0.1.0.3-a0cdd
本虛擬套件由這些套件填實: libghc-brainfuck-prof
libghc-brick-dev (0.52.1-1+b3 [s390x], 0.52.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.52.1-1+b1 [mips64el, mipsel])
declarative terminal user interface library
libghc-brick-dev-0.52.1-0dad7
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-371e0
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-547e8
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-7279b
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-a2c74
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-c96e4
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-cb088
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-dev-0.52.1-d4492
本虛擬套件由這些套件填實: libghc-brick-dev
libghc-brick-doc (0.52.1-1)
declarative terminal user interface library; documentation
libghc-brick-prof (0.52.1-1+b3 [s390x], 0.52.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.52.1-1+b1 [mips64el, mipsel])
declarative terminal user interface library; profiling libraries
libghc-brick-prof-0.52.1-0dad7
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-371e0
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-547e8
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-7279b
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-a2c74
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-c96e4
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-cb088
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-brick-prof-0.52.1-d4492
本虛擬套件由這些套件填實: libghc-brick-prof
libghc-broadcast-chan-dev (0.2.1.1-1)
closable, fair, leak-avoidant, single-wakeup channel
libghc-broadcast-chan-dev-0.2.1.1-2ce48
本虛擬套件由這些套件填實: libghc-broadcast-chan-dev
libghc-broadcast-chan-dev-0.2.1.1-7a8f3
本虛擬套件由這些套件填實: libghc-broadcast-chan-dev
libghc-broadcast-chan-dev-0.2.1.1-e04c7
本虛擬套件由這些套件填實: libghc-broadcast-chan-dev
libghc-broadcast-chan-dev-0.2.1.1-e1e40
本虛擬套件由這些套件填實: libghc-broadcast-chan-dev
libghc-broadcast-chan-dev-0.2.1.1-eee3d
本虛擬套件由這些套件填實: libghc-broadcast-chan-dev
libghc-broadcast-chan-doc (0.2.1.1-1)
closable, fair, leak-avoidant, single-wakeup channel; documentation
libghc-broadcast-chan-prof (0.2.1.1-1)
closable, fair, leak-avoidant, single-wakeup channel; profiling libraries
libghc-broadcast-chan-prof-0.2.1.1-2ce48
本虛擬套件由這些套件填實: libghc-broadcast-chan-prof
libghc-broadcast-chan-prof-0.2.1.1-7a8f3
本虛擬套件由這些套件填實: libghc-broadcast-chan-prof
libghc-broadcast-chan-prof-0.2.1.1-e04c7
本虛擬套件由這些套件填實: libghc-broadcast-chan-prof
libghc-broadcast-chan-prof-0.2.1.1-e1e40
本虛擬套件由這些套件填實: libghc-broadcast-chan-prof
libghc-broadcast-chan-prof-0.2.1.1-eee3d
本虛擬套件由這些套件填實: libghc-broadcast-chan-prof
libghc-bsb-http-chunked-dev (0.0.0.4-3+b2 [s390x], 0.0.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
chunked HTTP transfer encoding for bytestring builders
libghc-bsb-http-chunked-dev-0.0.0.4-0d47c
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-23adc
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-4099e
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-4b3b9
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-8d07c
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-a0204
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-e1e77
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-dev-0.0.0.4-ed63f
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-dev
libghc-bsb-http-chunked-doc (0.0.0.4-3)
chunked HTTP transfer encoding for bytestring builders; documentation
libghc-bsb-http-chunked-prof (0.0.0.4-3+b2 [s390x], 0.0.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
chunked HTTP transfer encoding for bytestring builders; profiling libraries
libghc-bsb-http-chunked-prof-0.0.0.4-0d47c
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-23adc
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-4099e
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-4b3b9
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-8d07c
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-a0204
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-e1e77
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-bsb-http-chunked-prof-0.0.0.4-ed63f
本虛擬套件由這些套件填實: libghc-bsb-http-chunked-prof
libghc-butcher-dev (1.3.3.2-1)
chop a command/program invocation into pieces
libghc-butcher-dev-1.3.3.2-0d62f
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-49a1d
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-95116
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-9dabe
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-a438c
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-b0b8e
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-b5f41
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-ccff2
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-dev-1.3.3.2-f8e0f
本虛擬套件由這些套件填實: libghc-butcher-dev
libghc-butcher-doc (1.3.3.2-1)
chop a command/program invocation into pieces; documentation
libghc-butcher-prof (1.3.3.2-1)
chop a command/program invocation into pieces; profiling libraries
libghc-butcher-prof-1.3.3.2-0d62f
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-49a1d
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-95116
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-9dabe
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-a438c
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-b0b8e
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-b5f41
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-ccff2
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-butcher-prof-1.3.3.2-f8e0f
本虛擬套件由這些套件填實: libghc-butcher-prof
libghc-byte-order-dev (0.1.2.0-2+b1)
portable big-endian and little-endian conversions
libghc-byte-order-dev-0.1.2.0-39c79
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-5932f
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-6109d
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-6c283
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-957a5
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-964cb
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-a8adc
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-dev-0.1.2.0-edcb0
本虛擬套件由這些套件填實: libghc-byte-order-dev
libghc-byte-order-doc (0.1.2.0-2)
portable big-endian and little-endian conversions; documentation
libghc-byte-order-prof (0.1.2.0-2+b1)
portable big-endian and little-endian conversions; profiling libraries
libghc-byte-order-prof-0.1.2.0-39c79
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-5932f
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-6109d
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-6c283
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-957a5
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-964cb
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-a8adc
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byte-order-prof-0.1.2.0-edcb0
本虛擬套件由這些套件填實: libghc-byte-order-prof
libghc-byteable-dev (0.1.1-10+b2 [s390x], 0.1.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typeclass for byte sequences
libghc-byteable-dev-0.1.1-25e60
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-3ae62
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-4bcb7
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-8a9a3
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-b48bd
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-c4f90
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-cb709
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-dev-0.1.1-e5b87
本虛擬套件由這些套件填實: libghc-byteable-dev
libghc-byteable-doc (0.1.1-10)
typeclass for byte sequences; documentation
libghc-byteable-prof (0.1.1-10+b2 [s390x], 0.1.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typeclass for byte sequences; profiling libraries
libghc-byteable-prof-0.1.1-25e60
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-3ae62
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-4bcb7
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-8a9a3
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-b48bd
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-c4f90
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-cb709
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-byteable-prof-0.1.1-e5b87
本虛擬套件由這些套件填實: libghc-byteable-prof
libghc-bytedump-dev (1.0-10+b2 [s390x], 1.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
flexible byte dump helpers for human readers
libghc-bytedump-dev-1.0-228a8
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-48fb6
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-6728c
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-6a3e7
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-7475f
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-adad8
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-f4ffa
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-dev-1.0-fc8f9
本虛擬套件由這些套件填實: libghc-bytedump-dev
libghc-bytedump-doc (1.0-10)
flexible byte dump helpers for human readers; documentation
libghc-bytedump-prof (1.0-10+b2 [s390x], 1.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
flexible byte dump helpers for human readers; profiling libraries
libghc-bytedump-prof-1.0-228a8
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-48fb6
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-6728c
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-6a3e7
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-7475f
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-adad8
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-f4ffa
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-bytedump-prof-1.0-fc8f9
本虛擬套件由這些套件填實: libghc-bytedump-prof
libghc-byteorder-dev (1.0.4-10+b2 [s390x], 1.0.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
exposes the native endianness or byte ordering of the system
libghc-byteorder-dev-1.0.4-2d0d3
本虛擬套件由這些套件填實: libghc-byteorder-dev
libghc-byteorder-dev-1.0.4-3b3c3
本虛擬套件由這些套件填實: libghc-byteorder-dev
libghc-byteorder-dev-1.0.4-4c1d4
本虛擬套件由這些套件填實: libghc-byteorder-dev
libghc-byteorder-dev-1.0.4-b0a2d
本虛擬套件由這些套件填實: libghc-byteorder-dev
libghc-byteorder-doc (1.0.4-10)
exposes the native endianness or byte ordering of the system; documentation
libghc-byteorder-prof (1.0.4-10+b2 [s390x], 1.0.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
exposes the native endianness of the system; profiling libraries
libghc-byteorder-prof-1.0.4-2d0d3
本虛擬套件由這些套件填實: libghc-byteorder-prof
libghc-byteorder-prof-1.0.4-3b3c3
本虛擬套件由這些套件填實: libghc-byteorder-prof
libghc-byteorder-prof-1.0.4-4c1d4
本虛擬套件由這些套件填實: libghc-byteorder-prof
libghc-byteorder-prof-1.0.4-b0a2d
本虛擬套件由這些套件填實: libghc-byteorder-prof
libghc-bytes-dev (0.17-1+b2 [s390x], 0.17-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Sharing code for serialization between binary and cereal
libghc-bytes-dev-0.17-15804
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-1eeef
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-27fbb
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-39115
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-4e24c
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-76d3f
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-7ffa8
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-dev-0.17-f6f38
本虛擬套件由這些套件填實: libghc-bytes-dev
libghc-bytes-doc (0.17-1)
Sharing code for serialization between binary and cereal; documentation
libghc-bytes-prof (0.17-1+b2 [s390x], 0.17-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Sharing code for serialization between binary and cereal; profiling libraries
libghc-bytes-prof-0.17-15804
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-1eeef
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-27fbb
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-39115
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-4e24c
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-76d3f
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-7ffa8
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytes-prof-0.17-f6f38
本虛擬套件由這些套件填實: libghc-bytes-prof
libghc-bytestring-conversion-dev (0.3.1-7+b2 [s390x], 0.3.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Type-classes to convert values to and from ByteString.
libghc-bytestring-conversion-dev-0.3.1-28f85
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-2c2bb
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-5cfdf
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-897c2
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-8f1c4
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-97788
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-d9d54
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-dev-0.3.1-eabfb
本虛擬套件由這些套件填實: libghc-bytestring-conversion-dev
libghc-bytestring-conversion-doc (0.3.1-7)
Type-classes to convert values to and from ByteString.; documentation
libghc-bytestring-conversion-prof (0.3.1-7+b2 [s390x], 0.3.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Type-classes to convert values to and from ByteString.; profiling libraries
libghc-bytestring-conversion-prof-0.3.1-28f85
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-2c2bb
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-5cfdf
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-897c2
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-8f1c4
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-97788
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-d9d54
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-conversion-prof-0.3.1-eabfb
本虛擬套件由這些套件填實: libghc-bytestring-conversion-prof
libghc-bytestring-dev (= 0.10.10.1)
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-2e27a
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-2f09b
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-92515
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-b6ec8
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-c40ee
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-c6497
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-cf25b
本虛擬套件由這些套件填實: ghc
libghc-bytestring-dev-0.10.10.1-f96ce
本虛擬套件由這些套件填實: ghc
libghc-bytestring-doc (= 0.10.10.1)
本虛擬套件由這些套件填實: ghc-doc
libghc-bytestring-handle-dev (0.1.0.6-5+b2 [s390x], 0.1.0.6-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ByteString-backed Handles
libghc-bytestring-handle-dev-0.1.0.6-619e6
本虛擬套件由這些套件填實: libghc-bytestring-handle-dev
libghc-bytestring-handle-dev-0.1.0.6-7405d
本虛擬套件由這些套件填實: libghc-bytestring-handle-dev
libghc-bytestring-handle-dev-0.1.0.6-78409
本虛擬套件由這些套件填實: libghc-bytestring-handle-dev
libghc-bytestring-handle-dev-0.1.0.6-9acb9
本虛擬套件由這些套件填實: libghc-bytestring-handle-dev
libghc-bytestring-handle-dev-0.1.0.6-f8b85
本虛擬套件由這些套件填實: libghc-bytestring-handle-dev
libghc-bytestring-handle-doc (0.1.0.6-5)
ByteString-backed Handles; documentation
libghc-bytestring-handle-prof (0.1.0.6-5+b2 [s390x], 0.1.0.6-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ByteString-backed Handles; profiling libraries
libghc-bytestring-handle-prof-0.1.0.6-619e6
本虛擬套件由這些套件填實: libghc-bytestring-handle-prof
libghc-bytestring-handle-prof-0.1.0.6-7405d
本虛擬套件由這些套件填實: libghc-bytestring-handle-prof
libghc-bytestring-handle-prof-0.1.0.6-78409
本虛擬套件由這些套件填實: libghc-bytestring-handle-prof
libghc-bytestring-handle-prof-0.1.0.6-9acb9
本虛擬套件由這些套件填實: libghc-bytestring-handle-prof
libghc-bytestring-handle-prof-0.1.0.6-f8b85
本虛擬套件由這些套件填實: libghc-bytestring-handle-prof
libghc-bytestring-lexing-dev (0.5.0.2-7+b2 [s390x], 0.5.0.2-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing and printing numbers to bytestrings.
libghc-bytestring-lexing-dev-0.5.0.2-4ab9b
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-530fc
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-6f614
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-784de
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-7e059
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-8c948
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-d63dd
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-dev-0.5.0.2-e65ab
本虛擬套件由這些套件填實: libghc-bytestring-lexing-dev
libghc-bytestring-lexing-doc (0.5.0.2-7)
parsing and printing numbers to bytestrings.; documentation
libghc-bytestring-lexing-prof (0.5.0.2-7+b2 [s390x], 0.5.0.2-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing and printing numbers to bytestrings.; profiling libraries
libghc-bytestring-lexing-prof-0.5.0.2-4ab9b
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-530fc
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-6f614
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-784de
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-7e059
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-8c948
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-d63dd
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-lexing-prof-0.5.0.2-e65ab
本虛擬套件由這些套件填實: libghc-bytestring-lexing-prof
libghc-bytestring-mmap-dev (0.2.2-13+b2 [s390x], 0.2.2-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mmap support for strict ByteStrings
libghc-bytestring-mmap-dev-0.2.2-0268b
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-05762
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-06fe8
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-3946f
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-4a26b
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-4c69e
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-8d4f7
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-dev-0.2.2-94bbb
本虛擬套件由這些套件填實: libghc-bytestring-mmap-dev
libghc-bytestring-mmap-doc (0.2.2-13)
mmap support for strict ByteStrings; documentation
libghc-bytestring-mmap-prof (0.2.2-13+b2 [s390x], 0.2.2-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mmap support for strict ByteStrings; profiling libraries
libghc-bytestring-mmap-prof-0.2.2-0268b
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-05762
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-06fe8
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-3946f
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-4a26b
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-4c69e
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-8d4f7
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-mmap-prof-0.2.2-94bbb
本虛擬套件由這些套件填實: libghc-bytestring-mmap-prof
libghc-bytestring-prof (= 0.10.10.1)
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-2e27a
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-2f09b
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-92515
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-b6ec8
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-c40ee
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-c6497
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-cf25b
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-prof-0.10.10.1-f96ce
本虛擬套件由這些套件填實: ghc-prof
libghc-bytestring-progress-dev (1.4-2+b2 [s390x], 1.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A library for tracking the consumption of a lazy ByteString
libghc-bytestring-progress-dev-1.4-20484
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-5105d
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-65dc8
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-9a611
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-d7335
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-d8acc
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-da2f8
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-dev-1.4-db136
本虛擬套件由這些套件填實: libghc-bytestring-progress-dev
libghc-bytestring-progress-doc (1.4-2)
A library for tracking the consumption of a lazy ByteString; documentation
libghc-bytestring-progress-prof (1.4-2+b2 [s390x], 1.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A library for tracking the consumption of a lazy ByteString; profiling libraries
libghc-bytestring-progress-prof-1.4-20484
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-5105d
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-65dc8
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-9a611
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-d7335
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-d8acc
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-da2f8
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-progress-prof-1.4-db136
本虛擬套件由這些套件填實: libghc-bytestring-progress-prof
libghc-bytestring-to-vector-dev (0.3.0.1-3+b2 [s390x], 0.3.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convert ByteString<->Vector.Storable without copying
libghc-bytestring-to-vector-dev-0.3.0.1-21900
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-2a093
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-456d1
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-7b887
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-c01cc
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-d4d94
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-d5144
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-dev-0.3.0.1-db8f1
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-dev
libghc-bytestring-to-vector-doc (0.3.0.1-3)
convert ByteString<->Vector.Storable without copying; documentation
libghc-bytestring-to-vector-prof (0.3.0.1-3+b2 [s390x], 0.3.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convert ByteString<->Vector.Storable without copying; profiling libraries
libghc-bytestring-to-vector-prof-0.3.0.1-21900
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-2a093
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-456d1
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-7b887
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-c01cc
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-d4d94
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-d5144
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bytestring-to-vector-prof-0.3.0.1-db8f1
本虛擬套件由這些套件填實: libghc-bytestring-to-vector-prof
libghc-bz2-dev (1.0.0.1-2+b2 [s390x], 1.0.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to libbz2
libghc-bz2-dev-1.0.0.1-3c446
本虛擬套件由這些套件填實: libghc-bz2-dev
libghc-bz2-dev-1.0.0.1-4c591
本虛擬套件由這些套件填實: libghc-bz2-dev
libghc-bz2-dev-1.0.0.1-6358c
本虛擬套件由這些套件填實: libghc-bz2-dev
libghc-bz2-dev-1.0.0.1-67892
本虛擬套件由這些套件填實: libghc-bz2-dev
libghc-bz2-dev-1.0.0.1-a7cc7
本虛擬套件由這些套件填實: libghc-bz2-dev
libghc-bz2-doc (1.0.0.1-2)
bindings to libbz2; documentation
libghc-bz2-prof (1.0.0.1-2+b2 [s390x], 1.0.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to libbz2; profiling libraries
libghc-bz2-prof-1.0.0.1-3c446
本虛擬套件由這些套件填實: libghc-bz2-prof
libghc-bz2-prof-1.0.0.1-4c591
本虛擬套件由這些套件填實: libghc-bz2-prof
libghc-bz2-prof-1.0.0.1-6358c
本虛擬套件由這些套件填實: libghc-bz2-prof
libghc-bz2-prof-1.0.0.1-67892
本虛擬套件由這些套件填實: libghc-bz2-prof
libghc-bz2-prof-1.0.0.1-a7cc7
本虛擬套件由這些套件填實: libghc-bz2-prof
libghc-bzlib-dev (0.5.1.0-1+b2 [s390x], 0.5.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to the bzip2 library
libghc-bzlib-dev-0.5.1.0-041f3
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-1dbeb
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-89b28
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-9c54f
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-a5d8c
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-c3705
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-e3515
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-dev-0.5.1.0-f4e6b
本虛擬套件由這些套件填實: libghc-bzlib-dev
libghc-bzlib-doc (0.5.1.0-1)
Haskell bindings to the bzip2 library; documentation
libghc-bzlib-prof (0.5.1.0-1+b2 [s390x], 0.5.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to the bzip2 library; profiling libraries
libghc-bzlib-prof-0.5.1.0-041f3
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-1dbeb
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-89b28
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-9c54f
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-a5d8c
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-c3705
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-e3515
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-bzlib-prof-0.5.1.0-f4e6b
本虛擬套件由這些套件填實: libghc-bzlib-prof
libghc-cabal-dev (= 3.0.1.0)
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-025b0
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-5dd18
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-724b1
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-7e312
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-a903f
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-e87b8
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-e8dfc
本虛擬套件由這些套件填實: ghc
libghc-cabal-dev-3.0.1.0-f2e79
本虛擬套件由這些套件填實: ghc
libghc-cabal-doc (= 3.0.1.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-cabal-doctest-dev (1.0.8-1+b2 [s390x], 1.0.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Setup.hs helper for doctests running
libghc-cabal-doctest-dev-1.0.8-53f0e
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-7b373
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-8e3a3
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-a8c75
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-c1c48
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-d3519
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-d81b2
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-dev-1.0.8-d9cf6
本虛擬套件由這些套件填實: libghc-cabal-doctest-dev
libghc-cabal-doctest-doc (1.0.8-1)
Setup.hs helper for doctests running; documentation
libghc-cabal-doctest-prof (1.0.8-1+b2 [s390x], 1.0.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Setup.hs helper for doctests running; profiling libraries
libghc-cabal-doctest-prof-1.0.8-53f0e
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-7b373
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-8e3a3
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-a8c75
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-c1c48
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-d3519
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-d81b2
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-doctest-prof-1.0.8-d9cf6
本虛擬套件由這些套件填實: libghc-cabal-doctest-prof
libghc-cabal-prof (= 3.0.1.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-025b0
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-5dd18
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-724b1
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-7e312
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-a903f
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-e87b8
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-e8dfc
本虛擬套件由這些套件填實: ghc-prof
libghc-cabal-prof-3.0.1.0-f2e79
本虛擬套件由這些套件填實: ghc-prof
libghc-cairo-dev (0.13.8.1-1)
binding to the Cairo library
libghc-cairo-dev-0.13.8.1-1ff49
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-40600
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-4489a
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-5c01e
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-5fb96
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-839f9
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-8fb93
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-dev-0.13.8.1-b853a
本虛擬套件由這些套件填實: libghc-cairo-dev
libghc-cairo-doc (0.13.8.1-1)
Binding to the Cairo library; documentation
libghc-cairo-prof (0.13.8.1-1)
Binding to the Cairo library; profiling libraries
libghc-cairo-prof-0.13.8.1-1ff49
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-40600
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-4489a
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-5c01e
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-5fb96
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-839f9
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-8fb93
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-cairo-prof-0.13.8.1-b853a
本虛擬套件由這些套件填實: libghc-cairo-prof
libghc-call-stack-dev (0.2.0-1+b2 [s390x], 0.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
use GHC call-stacks in a backward compatible way
libghc-call-stack-dev-0.2.0-0ac09
本虛擬套件由這些套件填實: libghc-call-stack-dev
libghc-call-stack-dev-0.2.0-3dc96
本虛擬套件由這些套件填實: libghc-call-stack-dev
libghc-call-stack-dev-0.2.0-ad9e8
本虛擬套件由這些套件填實: libghc-call-stack-dev
libghc-call-stack-dev-0.2.0-b4d59
本虛擬套件由這些套件填實: libghc-call-stack-dev
libghc-call-stack-doc (0.2.0-1)
use GHC call-stacks in a backward compatible way; documentation
libghc-call-stack-prof (0.2.0-1+b2 [s390x], 0.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
use GHC call-stacks in a backward compatible way; profiling libraries
libghc-call-stack-prof-0.2.0-0ac09
本虛擬套件由這些套件填實: libghc-call-stack-prof
libghc-call-stack-prof-0.2.0-3dc96
本虛擬套件由這些套件填實: libghc-call-stack-prof
libghc-call-stack-prof-0.2.0-ad9e8
本虛擬套件由這些套件填實: libghc-call-stack-prof
libghc-call-stack-prof-0.2.0-b4d59
本虛擬套件由這些套件填實: libghc-call-stack-prof
libghc-casa-client-dev (0.0.1-2+b3 [mipsel, s390x], 0.0.1-2+b2 [arm64, armel, ppc64el], 0.0.1-2+b1 [amd64, armhf, i386, mips64el])
Client for Casa
libghc-casa-client-dev-0.0.1-038d3
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-05d17
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-2cddf
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-34655
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-4fda0
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-74dc5
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-a2e21
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-caa70
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-dev-0.0.1-e9e60
本虛擬套件由這些套件填實: libghc-casa-client-dev
libghc-casa-client-doc (0.0.1-2)
Client for Casa; documentation
libghc-casa-client-prof (0.0.1-2+b3 [mipsel, s390x], 0.0.1-2+b2 [arm64, armel, ppc64el], 0.0.1-2+b1 [amd64, armhf, i386, mips64el])
Client for Casa; profiling libraries
libghc-casa-client-prof-0.0.1-038d3
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-05d17
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-2cddf
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-34655
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-4fda0
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-74dc5
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-a2e21
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-caa70
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-client-prof-0.0.1-e9e60
本虛擬套件由這些套件填實: libghc-casa-client-prof
libghc-casa-types-dev (0.0.1-2+b4 [s390x], 0.0.1-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.0.1-2+b2 [mipsel], 0.0.1-2+b1 [mips64el])
types for Casa
libghc-casa-types-dev-0.0.1-1dd26
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-2cb74
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-3b647
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-58835
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-5b8d5
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-a5a0c
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-b694e
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-b93c2
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-dev-0.0.1-e8ba2
本虛擬套件由這些套件填實: libghc-casa-types-dev
libghc-casa-types-doc (0.0.1-2)
types for Casa; documentation
libghc-casa-types-prof (0.0.1-2+b4 [s390x], 0.0.1-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.0.1-2+b2 [mipsel], 0.0.1-2+b1 [mips64el])
types for Casa; profiling libraries
libghc-casa-types-prof-0.0.1-1dd26
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-2cb74
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-3b647
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-58835
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-5b8d5
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-a5a0c
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-b694e
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-b93c2
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-casa-types-prof-0.0.1-e8ba2
本虛擬套件由這些套件填實: libghc-casa-types-prof
libghc-case-insensitive-dev (1.2.1.0-2+b2 [s390x], 1.2.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
case-insensitive string comparison
libghc-case-insensitive-dev-1.2.1.0-4c9b0
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-677af
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-6ac1f
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-94b25
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-b2450
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-c836c
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-d3618
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-dev-1.2.1.0-f8a6e
本虛擬套件由這些套件填實: libghc-case-insensitive-dev
libghc-case-insensitive-doc (1.2.1.0-2)
case-insensitive string comparison; documentation
libghc-case-insensitive-prof (1.2.1.0-2+b2 [s390x], 1.2.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
case-insensitive string comparison; profiling libraries
libghc-case-insensitive-prof-1.2.1.0-4c9b0
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-677af
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-6ac1f
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-94b25
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-b2450
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-c836c
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-d3618
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-case-insensitive-prof-1.2.1.0-f8a6e
本虛擬套件由這些套件填實: libghc-case-insensitive-prof
libghc-cassava-dev (0.5.2.0-1+b2 [s390x], 0.5.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CSV parsing and encoding library
libghc-cassava-dev-0.5.2.0-1799f
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-25a91
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-3ce8f
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-605ed
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-677d2
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-68d72
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-a221b
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-dev-0.5.2.0-a5eff
本虛擬套件由這些套件填實: libghc-cassava-dev
libghc-cassava-doc (0.5.2.0-1)
CSV parsing and encoding library; documentation
libghc-cassava-megaparsec-dev (2.0.1-1+b2 [s390x], 2.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Megaparsec parser of CSV files that plays nicely with Cassava
libghc-cassava-megaparsec-dev-2.0.1-1818d
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-20611
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-61678
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-7b05b
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-8ab4a
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-8f7ac
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-99d60
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-dev-2.0.1-9fe7f
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-dev
libghc-cassava-megaparsec-doc (2.0.1-1)
Megaparsec parser of CSV files that plays nicely with Cassava; documentation
libghc-cassava-megaparsec-prof (2.0.1-1+b2 [s390x], 2.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Megaparsec parser of CSV files that plays nicely with Cassava; profiling libraries
libghc-cassava-megaparsec-prof-2.0.1-1818d
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-20611
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-61678
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-7b05b
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-8ab4a
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-8f7ac
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-99d60
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-megaparsec-prof-2.0.1-9fe7f
本虛擬套件由這些套件填實: libghc-cassava-megaparsec-prof
libghc-cassava-prof (0.5.2.0-1+b2 [s390x], 0.5.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CSV parsing and encoding library; profiling libraries
libghc-cassava-prof-0.5.2.0-1799f
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-25a91
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-3ce8f
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-605ed
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-677d2
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-68d72
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-a221b
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-cassava-prof-0.5.2.0-a5eff
本虛擬套件由這些套件填實: libghc-cassava-prof
libghc-categories-dev (1.0.7-9+b2 [s390x], 1.0.7-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
categories from category-extras
libghc-categories-dev-1.0.7-12b34
本虛擬套件由這些套件填實: libghc-categories-dev
libghc-categories-dev-1.0.7-274c4
本虛擬套件由這些套件填實: libghc-categories-dev
libghc-categories-dev-1.0.7-2feff
本虛擬套件由這些套件填實: libghc-categories-dev
libghc-categories-dev-1.0.7-d4aad
本虛擬套件由這些套件填實: libghc-categories-dev
libghc-categories-dev-1.0.7-e24d3
本虛擬套件由這些套件填實: libghc-categories-dev
libghc-categories-doc (1.0.7-9)
categories from category-extras; documentation
libghc-categories-prof (1.0.7-9+b2 [s390x], 1.0.7-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
categories from category-extras; profiling libraries
libghc-categories-prof-1.0.7-12b34
本虛擬套件由這些套件填實: libghc-categories-prof
libghc-categories-prof-1.0.7-274c4
本虛擬套件由這些套件填實: libghc-categories-prof
libghc-categories-prof-1.0.7-2feff
本虛擬套件由這些套件填實: libghc-categories-prof
libghc-categories-prof-1.0.7-d4aad
本虛擬套件由這些套件填實: libghc-categories-prof
libghc-categories-prof-1.0.7-e24d3
本虛擬套件由這些套件填實: libghc-categories-prof
libghc-cborg-dev (0.2.4.0-1)
Concise Binary Object Representation
libghc-cborg-dev-0.2.4.0-3c4cc
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-40920
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-63815
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-86767
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-8bf96
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-91df6
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-ba662
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-dev-0.2.4.0-dad60
本虛擬套件由這些套件填實: libghc-cborg-dev
libghc-cborg-doc (0.2.4.0-1)
Concise Binary Object Representation; documentation
libghc-cborg-json-dev (0.2.2.0-1+b3 [mips64el, mipsel, s390x], 0.2.2.0-1+b2 [amd64, arm64, armel, ppc64el], 0.2.2.0-1+b1 [i386])
encoding JSON as CBOR
libghc-cborg-json-dev-0.2.2.0-0589c
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-1ab19
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-53d11
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-68062
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-7386e
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-b5074
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-cf039
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-dev-0.2.2.0-e628f
本虛擬套件由這些套件填實: libghc-cborg-json-dev
libghc-cborg-json-doc (0.2.2.0-1)
encoding JSON as CBOR; documentation
libghc-cborg-json-prof (0.2.2.0-1+b3 [mips64el, mipsel, s390x], 0.2.2.0-1+b2 [amd64, arm64, armel, ppc64el], 0.2.2.0-1+b1 [i386])
encoding JSON as CBOR; profiling libraries
libghc-cborg-json-prof-0.2.2.0-0589c
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-1ab19
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-53d11
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-68062
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-7386e
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-b5074
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-cf039
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-json-prof-0.2.2.0-e628f
本虛擬套件由這些套件填實: libghc-cborg-json-prof
libghc-cborg-prof (0.2.4.0-1)
Concise Binary Object Representation; profiling libraries
libghc-cborg-prof-0.2.4.0-3c4cc
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-40920
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-63815
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-86767
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-8bf96
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-91df6
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-ba662
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cborg-prof-0.2.4.0-dad60
本虛擬套件由這些套件填實: libghc-cborg-prof
libghc-cereal-conduit-dev (0.8.0-4+b3 [s390x], 0.8.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.8.0-4+b1 [mips64el, mipsel])
turn Data.Serialize Gets and Puts into Sources and Sinks
libghc-cereal-conduit-dev-0.8.0-14a01
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-174d0
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-32433
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-4454e
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-59923
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-80709
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-c1eef
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-d4e3a
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-dev-0.8.0-d956c
本虛擬套件由這些套件填實: libghc-cereal-conduit-dev
libghc-cereal-conduit-doc (0.8.0-4)
turn Data.Serialize Gets and Puts into Sources and Sinks; documentation
libghc-cereal-conduit-prof (0.8.0-4+b3 [s390x], 0.8.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.8.0-4+b1 [mips64el, mipsel])
turn Data.Serialize Gets and Puts into Sources and Sinks; profiling libraries
libghc-cereal-conduit-prof-0.8.0-14a01
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-174d0
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-32433
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-4454e
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-59923
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-80709
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-c1eef
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-d4e3a
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-conduit-prof-0.8.0-d956c
本虛擬套件由這些套件填實: libghc-cereal-conduit-prof
libghc-cereal-dev (0.5.8.1-2+b2 [s390x], 0.5.8.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
binary serialization library
libghc-cereal-dev-0.5.8.1-557b3
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-61932
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-87bdc
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-8ede8
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-9799a
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-c1f0c
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-d67ac
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-dev-0.5.8.1-e9433
本虛擬套件由這些套件填實: libghc-cereal-dev
libghc-cereal-doc (0.5.8.1-2)
binary serialization library; documentation
libghc-cereal-prof (0.5.8.1-2+b2 [s390x], 0.5.8.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
binary serialization library; profiling libraries
libghc-cereal-prof-0.5.8.1-557b3
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-61932
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-87bdc
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-8ede8
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-9799a
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-c1f0c
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-d67ac
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-prof-0.5.8.1-e9433
本虛擬套件由這些套件填實: libghc-cereal-prof
libghc-cereal-vector-dev (0.2.0.1-4+b2 [s390x], 0.2.0.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Serialize instances for Data.Vector types
libghc-cereal-vector-dev-0.2.0.1-15d93
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-4ea28
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-7b807
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-98473
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-9a0ad
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-d4a6d
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-db5c9
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-dev-0.2.0.1-f4a9d
本虛擬套件由這些套件填實: libghc-cereal-vector-dev
libghc-cereal-vector-doc (0.2.0.1-4)
Serialize instances for Data.Vector types; documentation
libghc-cereal-vector-prof (0.2.0.1-4+b2 [s390x], 0.2.0.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Serialize instances for Data.Vector types; profiling libraries
libghc-cereal-vector-prof-0.2.0.1-15d93
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-4ea28
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-7b807
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-98473
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-9a0ad
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-d4a6d
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-db5c9
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cereal-vector-prof-0.2.0.1-f4a9d
本虛擬套件由這些套件填實: libghc-cereal-vector-prof
libghc-cgi-dev (3001.5.0.0-1+b2 [s390x], 3001.5.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell CGI library for GHC
libghc-cgi-dev-3001.5.0.0-38037
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-462b6
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-836c1
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-aa6eb
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-c16b5
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-da166
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-e5af8
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-dev-3001.5.0.0-f7307
本虛擬套件由這些套件填實: libghc-cgi-dev
libghc-cgi-doc (3001.5.0.0-1)
Haskell CGI library for GHC; documentation
libghc-cgi-prof (3001.5.0.0-1+b2 [s390x], 3001.5.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell CGI library for GHC; profiling libraries
libghc-cgi-prof-3001.5.0.0-38037
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-462b6
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-836c1
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-aa6eb
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-c16b5
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-da166
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-e5af8
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-cgi-prof-3001.5.0.0-f7307
本虛擬套件由這些套件填實: libghc-cgi-prof
libghc-charset-dev (0.3.7.1-10+b2 [s390x], 0.3.7.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast Unicode character sets
libghc-charset-dev-0.3.7.1-19f3d
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-21914
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-3d311
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-4d59c
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-a3d7d
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-db11d
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-e5da1
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-dev-0.3.7.1-fe0d5
本虛擬套件由這些套件填實: libghc-charset-dev
libghc-charset-doc (0.3.7.1-10)
fast Unicode character sets; documentation
libghc-charset-prof (0.3.7.1-10+b2 [s390x], 0.3.7.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast Unicode character sets; profiling libraries
libghc-charset-prof-0.3.7.1-19f3d
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-21914
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-3d311
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-4d59c
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-a3d7d
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-db11d
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-e5da1
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charset-prof-0.3.7.1-fe0d5
本虛擬套件由這些套件填實: libghc-charset-prof
libghc-charsetdetect-ae-dev (1.1.0.4-3+b2 [s390x], 1.1.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
charset detection using Mozilla's Universal Character Set Detector
libghc-charsetdetect-ae-dev-1.1.0.4-09c47
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-460c7
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-65aa3
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-8616b
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-bdd55
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-e7afb
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-f4336
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-dev-1.1.0.4-f5488
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-dev
libghc-charsetdetect-ae-doc (1.1.0.4-3)
charset detection using Mozilla's Universal Character Set Detector; documentation
libghc-charsetdetect-ae-prof (1.1.0.4-3+b2 [s390x], 1.1.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
charset detection using Mozilla's Universal Character Set Detector; profiling libraries
libghc-charsetdetect-ae-prof-1.1.0.4-09c47
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-460c7
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-65aa3
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-8616b
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-bdd55
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-e7afb
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-f4336
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-charsetdetect-ae-prof-1.1.0.4-f5488
本虛擬套件由這些套件填實: libghc-charsetdetect-ae-prof
libghc-chart-cairo-dev (1.9.3-1+b3 [s390x], 1.9.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.9.3-1+b1 [mips64el, mipsel])
Cairo backend for Charts
libghc-chart-cairo-dev-1.9.3-1143f
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-26f91
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-49ede
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-68747
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-6cd08
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-a4fc7
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-ac99a
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-c6909
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-dev-1.9.3-e09b7
本虛擬套件由這些套件填實: libghc-chart-cairo-dev
libghc-chart-cairo-doc (1.9.3-1)
Cairo backend for Charts; documentation
libghc-chart-cairo-prof (1.9.3-1+b3 [s390x], 1.9.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.9.3-1+b1 [mips64el, mipsel])
Cairo backend for Charts; profiling libraries
libghc-chart-cairo-prof-1.9.3-1143f
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-26f91
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-49ede
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-68747
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-6cd08
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-a4fc7
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-ac99a
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-c6909
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-cairo-prof-1.9.3-e09b7
本虛擬套件由這些套件填實: libghc-chart-cairo-prof
libghc-chart-dev (1.9.3-1+b3 [s390x], 1.9.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.9.3-1+b1 [mips64el, mipsel])
library for generating 2D Charts and Plots
libghc-chart-dev-1.9.3-01f67
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-021e3
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-0c06e
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-4b8a0
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-831a8
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-92b56
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-abb76
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-ae283
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-dev-1.9.3-fa34e
本虛擬套件由這些套件填實: libghc-chart-dev
libghc-chart-doc (1.9.3-1)
library for generating 2D Charts and Plots; documentation
libghc-chart-prof (1.9.3-1+b3 [s390x], 1.9.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.9.3-1+b1 [mips64el, mipsel])
library for generating 2D Charts and Plots; profiling libraries
libghc-chart-prof-1.9.3-01f67
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-021e3
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-0c06e
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-4b8a0
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-831a8
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-92b56
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-abb76
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-ae283
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chart-prof-1.9.3-fa34e
本虛擬套件由這些套件填實: libghc-chart-prof
libghc-chasingbottoms-dev (1.3.1.9-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.3.1.9-1 [mips64el, mipsel])
Library for testing partial and infinite values
libghc-chasingbottoms-dev-1.3.1.9-2e37a
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-4c9bd
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-65ee6
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-7c3bd
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-aacc5
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-b498b
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-d7ffd
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-dev-1.3.1.9-e93e2
本虛擬套件由這些套件填實: libghc-chasingbottoms-dev
libghc-chasingbottoms-doc (1.3.1.9-1)
Library for testing partial and infinite values; documentation
libghc-chasingbottoms-prof (1.3.1.9-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.3.1.9-1 [mips64el, mipsel])
Library for testing partial and infinite values; profiling libraries
libghc-chasingbottoms-prof-1.3.1.9-2e37a
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-4c9bd
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-65ee6
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-7c3bd
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-aacc5
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-b498b
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-d7ffd
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chasingbottoms-prof-1.3.1.9-e93e2
本虛擬套件由這些套件填實: libghc-chasingbottoms-prof
libghc-chunked-data-dev (0.3.1-4+b2 [s390x], 0.3.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typeclasses for dealing with various chunked data representations
libghc-chunked-data-dev-0.3.1-13c6f
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-73e4d
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-8cbc6
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-95661
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-a54d7
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-aa76b
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-c8ebb
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-dev-0.3.1-cb77e
本虛擬套件由這些套件填實: libghc-chunked-data-dev
libghc-chunked-data-doc (0.3.1-4)
typeclasses for dealing with various chunked data representations; documentation
libghc-chunked-data-prof (0.3.1-4+b2 [s390x], 0.3.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typeclasses for dealing with various chunked data representations; profiling libraries
libghc-chunked-data-prof-0.3.1-13c6f
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-73e4d
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-8cbc6
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-95661
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-a54d7
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-aa76b
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-c8ebb
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-chunked-data-prof-0.3.1-cb77e
本虛擬套件由這些套件填實: libghc-chunked-data-prof
libghc-cipher-aes-dev (0.2.11-9+b2 [s390x], 0.2.11-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast AES cipher implementation
libghc-cipher-aes-dev-0.2.11-0586b
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-145b4
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-4276f
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-accdc
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-c3766
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-c74c3
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-d63b8
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-e9f99
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-dev-0.2.11-fa0c3
本虛擬套件由這些套件填實: libghc-cipher-aes-dev
libghc-cipher-aes-doc (0.2.11-9)
Fast AES cipher implementation; documentation
libghc-cipher-aes-prof (0.2.11-9+b2 [s390x], 0.2.11-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast AES cipher implementation; profiling libraries
libghc-cipher-aes-prof-0.2.11-0586b
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-145b4
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-4276f
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-accdc
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-c3766
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-c74c3
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-d63b8
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-e9f99
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes-prof-0.2.11-fa0c3
本虛擬套件由這些套件填實: libghc-cipher-aes-prof
libghc-cipher-aes128-dev (0.7.0.5-1+b2 [s390x], 0.7.0.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
AES and common modes
libghc-cipher-aes128-dev-0.7.0.5-10a80
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-13578
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-3ead2
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-5f33e
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-c1237
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-ca31b
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-f4e1a
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-dev-0.7.0.5-fe967
本虛擬套件由這些套件填實: libghc-cipher-aes128-dev
libghc-cipher-aes128-doc (0.7.0.5-1)
AES and common modes; documentation
libghc-cipher-aes128-prof (0.7.0.5-1+b2 [s390x], 0.7.0.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
AES and common modes; profiling libraries
libghc-cipher-aes128-prof-0.7.0.5-10a80
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-13578
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-3ead2
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-5f33e
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-c1237
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-ca31b
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-f4e1a
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-aes128-prof-0.7.0.5-fe967
本虛擬套件由這些套件填實: libghc-cipher-aes128-prof
libghc-cipher-camellia-dev (0.0.2-10+b2 [s390x], 0.0.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Camellia cipher
libghc-cipher-camellia-dev-0.0.2-53bab
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-5cec8
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-62f49
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-6f698
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-81f11
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-d3aa8
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-f5320
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-dev-0.0.2-fcf57
本虛擬套件由這些套件填實: libghc-cipher-camellia-dev
libghc-cipher-camellia-doc (0.0.2-10)
Camellia cipher; documentation
libghc-cipher-camellia-prof (0.0.2-10+b2 [s390x], 0.0.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Camellia cipher; profiling libraries
libghc-cipher-camellia-prof-0.0.2-53bab
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-5cec8
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-62f49
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-6f698
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-81f11
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-d3aa8
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-f5320
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-camellia-prof-0.0.2-fcf57
本虛擬套件由這些套件填實: libghc-cipher-camellia-prof
libghc-cipher-des-dev (0.0.6-10+b2 [s390x], 0.0.6-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
DES and 3DES ciphers
libghc-cipher-des-dev-0.0.6-15dd3
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-25891
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-408f4
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-5ad5a
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-67672
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-934ef
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-9b148
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-dev-0.0.6-a52c1
本虛擬套件由這些套件填實: libghc-cipher-des-dev
libghc-cipher-des-doc (0.0.6-10)
DES and 3DES ciphers; documentation
libghc-cipher-des-prof (0.0.6-10+b2 [s390x], 0.0.6-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
DES and 3DES ciphers; profiling libraries
libghc-cipher-des-prof-0.0.6-15dd3
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-25891
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-408f4
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-5ad5a
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-67672
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-934ef
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-9b148
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-cipher-des-prof-0.0.6-a52c1
本虛擬套件由這些套件填實: libghc-cipher-des-prof
libghc-classy-prelude-conduit-dev (1.5.0-2+b3 [s390x], 1.5.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.5.0-2+b1 [mips64el, mipsel])
conduit instances for classy-prelude
libghc-classy-prelude-conduit-dev-1.5.0-0ecaf
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-3d5c1
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-4048e
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-4a0c0
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-5211c
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-737b5
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-7ea78
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-c4802
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-dev-1.5.0-eadd3
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-dev
libghc-classy-prelude-conduit-doc (1.5.0-2)
conduit instances for classy-prelude; documentation
libghc-classy-prelude-conduit-prof (1.5.0-2+b3 [s390x], 1.5.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.5.0-2+b1 [mips64el, mipsel])
conduit instances for classy-prelude; profiling libraries
libghc-classy-prelude-conduit-prof-1.5.0-0ecaf
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-3d5c1
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-4048e
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-4a0c0
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-5211c
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-737b5
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-7ea78
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-c4802
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-conduit-prof-1.5.0-eadd3
本虛擬套件由這些套件填實: libghc-classy-prelude-conduit-prof
libghc-classy-prelude-dev (1.5.0-2+b3 [s390x], 1.5.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.5.0-2+b1 [mips64el, mipsel])
typeclass-based prelude
libghc-classy-prelude-dev-1.5.0-01cd2
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-25057
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-41945
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-473ea
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-6f525
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-7da1c
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-a4626
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-c3bb2
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-dev-1.5.0-e9bf8
本虛擬套件由這些套件填實: libghc-classy-prelude-dev
libghc-classy-prelude-doc (1.5.0-2)
typeclass-based prelude; documentation
libghc-classy-prelude-prof (1.5.0-2+b3 [s390x], 1.5.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.5.0-2+b1 [mips64el, mipsel])
typeclass-based prelude; profiling libraries
libghc-classy-prelude-prof-1.5.0-01cd2
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-25057
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-41945
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-473ea
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-6f525
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-7da1c
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-a4626
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-c3bb2
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-classy-prelude-prof-1.5.0-e9bf8
本虛擬套件由這些套件填實: libghc-classy-prelude-prof
libghc-clientsession-dev (0.9.1.2-6+b2 [s390x], 0.9.1.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for web session data in cookies
libghc-clientsession-dev-0.9.1.2-12052
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-16913
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-23a30
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-3e913
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-409f1
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-4ba25
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-c82b9
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-cfbc1
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-dev-0.9.1.2-df1c9
本虛擬套件由這些套件填實: libghc-clientsession-dev
libghc-clientsession-doc (0.9.1.2-6)
library for web session data in cookies; documentation
libghc-clientsession-prof (0.9.1.2-6+b2 [s390x], 0.9.1.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for web session data in cookies; profiling libraries
libghc-clientsession-prof-0.9.1.2-12052
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-16913
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-23a30
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-3e913
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-409f1
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-4ba25
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-c82b9
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-cfbc1
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clientsession-prof-0.9.1.2-df1c9
本虛擬套件由這些套件填實: libghc-clientsession-prof
libghc-clock-dev (0.8-1+b2 [s390x], 0.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
High-resolution clock and timer
libghc-clock-dev-0.8-7ac81
本虛擬套件由這些套件填實: libghc-clock-dev
libghc-clock-dev-0.8-825d1
本虛擬套件由這些套件填實: libghc-clock-dev
libghc-clock-dev-0.8-a7d6e
本虛擬套件由這些套件填實: libghc-clock-dev
libghc-clock-dev-0.8-b1f3c
本虛擬套件由這些套件填實: libghc-clock-dev
libghc-clock-dev-0.8-d2f5d
本虛擬套件由這些套件填實: libghc-clock-dev
libghc-clock-doc (0.8-1)
High-resolution clock and timer; documentation
libghc-clock-prof (0.8-1+b2 [s390x], 0.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
High-resolution clock and timer; profiling libraries
libghc-clock-prof-0.8-7ac81
本虛擬套件由這些套件填實: libghc-clock-prof
libghc-clock-prof-0.8-825d1
本虛擬套件由這些套件填實: libghc-clock-prof
libghc-clock-prof-0.8-a7d6e
本虛擬套件由這些套件填實: libghc-clock-prof
libghc-clock-prof-0.8-b1f3c
本虛擬套件由這些套件填實: libghc-clock-prof
libghc-clock-prof-0.8-d2f5d
本虛擬套件由這些套件填實: libghc-clock-prof
libghc-cmark-dev (0.6+ds1-3)
fast, accurate CommonMark (Markdown) parser and renderer
libghc-cmark-dev-0.6-3b4aa
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-42e1b
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-4f497
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-6fcc3
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-8b743
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-c5c67
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-d7583
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-dev-0.6-ea04b
本虛擬套件由這些套件填實: libghc-cmark-dev
libghc-cmark-doc (0.6+ds1-3)
fast, accurate CommonMark (Markdown) parser and renderer; documentation
libghc-cmark-gfm-dev (0.2.1+ds1-1)
fast, accurate GitHub Flavored Markdown parser and renderer
libghc-cmark-gfm-dev-0.2.1-1d3b3
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-72b6b
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-76cc1
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-a062b
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-aba5b
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-d64a8
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-e8a53
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-dev-0.2.1-f12eb
本虛擬套件由這些套件填實: libghc-cmark-gfm-dev
libghc-cmark-gfm-doc (0.2.1+ds1-1)
fast, accurate GitHub Flavored Markdown parser and renderer; documentation
libghc-cmark-gfm-prof (0.2.1+ds1-1)
fast, accurate GitHub Flavored Markdown parser and renderer; profiling libraries
libghc-cmark-gfm-prof-0.2.1-1d3b3
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-72b6b
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-76cc1
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-a062b
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-aba5b
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-d64a8
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-e8a53
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-gfm-prof-0.2.1-f12eb
本虛擬套件由這些套件填實: libghc-cmark-gfm-prof
libghc-cmark-prof (0.6+ds1-3)
fast, accurate CommonMark (Markdown) parser and renderer; profiling libraries
libghc-cmark-prof-0.6-3b4aa
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-42e1b
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-4f497
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-6fcc3
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-8b743
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-c5c67
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-d7583
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmark-prof-0.6-ea04b
本虛擬套件由這些套件填實: libghc-cmark-prof
libghc-cmdargs-dev (0.10.20-3+b2 [s390x], 0.10.20-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
command-line argument processing
libghc-cmdargs-dev-0.10.20-107c8
本虛擬套件由這些套件填實: libghc-cmdargs-dev
libghc-cmdargs-dev-0.10.20-36bf7
本虛擬套件由這些套件填實: libghc-cmdargs-dev
libghc-cmdargs-dev-0.10.20-5fd78
本虛擬套件由這些套件填實: libghc-cmdargs-dev
libghc-cmdargs-dev-0.10.20-bc47b
本虛擬套件由這些套件填實: libghc-cmdargs-dev
libghc-cmdargs-dev-0.10.20-f3bce
本虛擬套件由這些套件填實: libghc-cmdargs-dev
libghc-cmdargs-doc (0.10.20-3)
command-line argument processing; documentation
libghc-cmdargs-prof (0.10.20-3+b2 [s390x], 0.10.20-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
command-line argument processing; profiling libraries
libghc-cmdargs-prof-0.10.20-107c8
本虛擬套件由這些套件填實: libghc-cmdargs-prof
libghc-cmdargs-prof-0.10.20-36bf7
本虛擬套件由這些套件填實: libghc-cmdargs-prof
libghc-cmdargs-prof-0.10.20-5fd78
本虛擬套件由這些套件填實: libghc-cmdargs-prof
libghc-cmdargs-prof-0.10.20-bc47b
本虛擬套件由這些套件填實: libghc-cmdargs-prof
libghc-cmdargs-prof-0.10.20-f3bce
本虛擬套件由這些套件填實: libghc-cmdargs-prof
libghc-code-page-dev (0.2-2+b2 [s390x], 0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Windows code page library for Haskell
libghc-code-page-dev-0.2-0b966
本虛擬套件由這些套件填實: libghc-code-page-dev
libghc-code-page-dev-0.2-6c89d
本虛擬套件由這些套件填實: libghc-code-page-dev
libghc-code-page-dev-0.2-b56ea
本虛擬套件由這些套件填實: libghc-code-page-dev
libghc-code-page-dev-0.2-edddf
本虛擬套件由這些套件填實: libghc-code-page-dev
libghc-code-page-dev-0.2-efb5b
本虛擬套件由這些套件填實: libghc-code-page-dev
libghc-code-page-doc (0.2-2)
Windows code page library for Haskell; documentation
libghc-code-page-prof (0.2-2+b2 [s390x], 0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Windows code page library for Haskell; profiling libraries
libghc-code-page-prof-0.2-0b966
本虛擬套件由這些套件填實: libghc-code-page-prof
libghc-code-page-prof-0.2-6c89d
本虛擬套件由這些套件填實: libghc-code-page-prof
libghc-code-page-prof-0.2-b56ea
本虛擬套件由這些套件填實: libghc-code-page-prof
libghc-code-page-prof-0.2-edddf
本虛擬套件由這些套件填實: libghc-code-page-prof
libghc-code-page-prof-0.2-efb5b
本虛擬套件由這些套件填實: libghc-code-page-prof
libghc-colour-dev (2.3.5-2+b2 [s390x], 2.3.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A model for human colour/color perception
libghc-colour-dev-2.3.5-0462d
本虛擬套件由這些套件填實: libghc-colour-dev
libghc-colour-dev-2.3.5-5834a
本虛擬套件由這些套件填實: libghc-colour-dev
libghc-colour-dev-2.3.5-a6570
本虛擬套件由這些套件填實: libghc-colour-dev
libghc-colour-dev-2.3.5-ffe0a
本虛擬套件由這些套件填實: libghc-colour-dev
libghc-colour-doc (2.3.5-2)
A model for human colour/color perception; documentation
libghc-colour-prof (2.3.5-2+b2 [s390x], 2.3.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A model for human colour/color perception; profiling libraries
libghc-colour-prof-2.3.5-0462d
本虛擬套件由這些套件填實: libghc-colour-prof
libghc-colour-prof-2.3.5-5834a
本虛擬套件由這些套件填實: libghc-colour-prof
libghc-colour-prof-2.3.5-a6570
本虛擬套件由這些套件填實: libghc-colour-prof
libghc-colour-prof-2.3.5-ffe0a
本虛擬套件由這些套件填實: libghc-colour-prof
libghc-comonad-dev (5.0.6-1+b2 [s390x], 5.0.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 comonads
libghc-comonad-dev-5.0.6-04865
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-0b353
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-24845
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-75baa
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-851c2
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-96398
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-d3f09
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-dev-5.0.6-e4052
本虛擬套件由這些套件填實: libghc-comonad-dev
libghc-comonad-doc (5.0.6-1)
Haskell 98 comonads; documentation
libghc-comonad-prof (5.0.6-1+b2 [s390x], 5.0.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 comonads; profiling libraries
libghc-comonad-prof-5.0.6-04865
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-0b353
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-24845
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-75baa
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-851c2
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-96398
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-d3f09
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-comonad-prof-5.0.6-e4052
本虛擬套件由這些套件填實: libghc-comonad-prof
libghc-concurrent-output-dev (1.10.12-1)
ungarble output from several threads
libghc-concurrent-output-dev-1.10.12-1e9e2
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-5a196
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-a95bd
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-b6b28
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-b8686
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-bcd06
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-e5f5f
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-dev-1.10.12-ece0e
本虛擬套件由這些套件填實: libghc-concurrent-output-dev
libghc-concurrent-output-doc (1.10.12-1)
ungarble output from several threads; documentation
libghc-concurrent-output-prof (1.10.12-1)
ungarble output from several threads; profiling libraries
libghc-concurrent-output-prof-1.10.12-1e9e2
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-5a196
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-a95bd
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-b6b28
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-b8686
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-bcd06
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-e5f5f
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-concurrent-output-prof-1.10.12-ece0e
本虛擬套件由這些套件填實: libghc-concurrent-output-prof
libghc-cond-dev (0.4.1.1-9+b2 [s390x], 0.4.1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
conditional and boolean operators with monadic variants
libghc-cond-dev-0.4.1.1-179d5
本虛擬套件由這些套件填實: libghc-cond-dev
libghc-cond-dev-0.4.1.1-1fed5
本虛擬套件由這些套件填實: libghc-cond-dev
libghc-cond-dev-0.4.1.1-91a7b
本虛擬套件由這些套件填實: libghc-cond-dev
libghc-cond-dev-0.4.1.1-efb37
本虛擬套件由這些套件填實: libghc-cond-dev
libghc-cond-dev-0.4.1.1-f79de
本虛擬套件由這些套件填實: libghc-cond-dev
libghc-cond-doc (0.4.1.1-9)
conditional and boolean operators with monadic variants (docs)
libghc-cond-prof (0.4.1.1-9+b2 [s390x], 0.4.1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
conditional and boolean operators with monadic variants (prof)
libghc-cond-prof-0.4.1.1-179d5
本虛擬套件由這些套件填實: libghc-cond-prof
libghc-cond-prof-0.4.1.1-1fed5
本虛擬套件由這些套件填實: libghc-cond-prof
libghc-cond-prof-0.4.1.1-91a7b
本虛擬套件由這些套件填實: libghc-cond-prof
libghc-cond-prof-0.4.1.1-efb37
本虛擬套件由這些套件填實: libghc-cond-prof
libghc-cond-prof-0.4.1.1-f79de
本虛擬套件由這些套件填實: libghc-cond-prof
libghc-conduit-dev (1.3.2-1+b3 [s390x], 1.3.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.2-1+b1 [mips64el, mipsel])
streaming data processing library
libghc-conduit-dev-1.3.2-14fe5
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-61ad7
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-6fb36
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-a0dde
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-a4e41
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-b355b
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-da421
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-dd480
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-dev-1.3.2-e1363
本虛擬套件由這些套件填實: libghc-conduit-dev
libghc-conduit-doc (1.3.2-1)
streaming data processing library; documentation
libghc-conduit-extra-dev (1.3.5-1+b5 [s390x], 1.3.5-1+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.5-1+b3 [mipsel], 1.3.5-1+b2 [mips64el])
batteries-included conduit: adapters for common libraries
libghc-conduit-extra-dev-1.3.5-15115
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-49bc2
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-64b35
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-69e4e
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-8636e
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-87c8e
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-9bd02
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-edbcd
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-dev-1.3.5-eff22
本虛擬套件由這些套件填實: libghc-conduit-extra-dev
libghc-conduit-extra-doc (1.3.5-1)
batteries-included conduit: adapters for common libraries; documentation
libghc-conduit-extra-prof (1.3.5-1+b5 [s390x], 1.3.5-1+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.5-1+b3 [mipsel], 1.3.5-1+b2 [mips64el])
batteries-included conduit: adapters for common libraries; profiling libraries
libghc-conduit-extra-prof-1.3.5-15115
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-49bc2
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-64b35
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-69e4e
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-8636e
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-87c8e
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-9bd02
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-edbcd
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-extra-prof-1.3.5-eff22
本虛擬套件由這些套件填實: libghc-conduit-extra-prof
libghc-conduit-prof (1.3.2-1+b3 [s390x], 1.3.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.2-1+b1 [mips64el, mipsel])
streaming data processing library; profiling libraries
libghc-conduit-prof-1.3.2-14fe5
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-61ad7
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-6fb36
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-a0dde
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-a4e41
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-b355b
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-da421
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-dd480
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-conduit-prof-1.3.2-e1363
本虛擬套件由這些套件填實: libghc-conduit-prof
libghc-config-ini-dev (0.2.4.0-5+b2 [s390x], 0.2.4.0-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple INI-based configuration files
libghc-config-ini-dev-0.2.4.0-560a0
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-94bab
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-97d4f
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-9a708
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-aea57
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-dfa8e
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-dfc29
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-dev-0.2.4.0-e9511
本虛擬套件由這些套件填實: libghc-config-ini-dev
libghc-config-ini-doc (0.2.4.0-5)
simple INI-based configuration files; documentation
libghc-config-ini-prof (0.2.4.0-5+b2 [s390x], 0.2.4.0-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple INI-based configuration files; profiling libraries
libghc-config-ini-prof-0.2.4.0-560a0
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-94bab
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-97d4f
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-9a708
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-aea57
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-dfa8e
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-dfc29
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-ini-prof-0.2.4.0-e9511
本虛擬套件由這些套件填實: libghc-config-ini-prof
libghc-config-schema-dev (1.2.0.0-1+b2 [s390x], 1.2.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
schema definitions for the config-value package
libghc-config-schema-dev-1.2.0.0-19397
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-396f5
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-4e307
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-553e9
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-ad61e
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-bd473
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-c9c97
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-d2e51
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-dev-1.2.0.0-f3f53
本虛擬套件由這些套件填實: libghc-config-schema-dev
libghc-config-schema-doc (1.2.0.0-1)
schema definitions for the config-value package; documentation
libghc-config-schema-prof (1.2.0.0-1+b2 [s390x], 1.2.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
schema definitions for the config-value package; profiling libraries
libghc-config-schema-prof-1.2.0.0-19397
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-396f5
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-4e307
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-553e9
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-ad61e
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-bd473
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-c9c97
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-d2e51
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-schema-prof-1.2.0.0-f3f53
本虛擬套件由這些套件填實: libghc-config-schema-prof
libghc-config-value-dev (0.7.0.1-2+b2 [s390x], 0.7.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple, layout-based value language similar to YAML/JSON
libghc-config-value-dev-0.7.0.1-07c20
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-2bce4
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-30e53
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-4a51f
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-82272
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-a5cad
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-a941f
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-dev-0.7.0.1-dd0e5
本虛擬套件由這些套件填實: libghc-config-value-dev
libghc-config-value-doc (0.7.0.1-2)
simple, layout-based value language similar to YAML/JSON; documentation
libghc-config-value-prof (0.7.0.1-2+b2 [s390x], 0.7.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple, layout-based value language similar to YAML/JSON; profiling libraries
libghc-config-value-prof-0.7.0.1-07c20
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-2bce4
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-30e53
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-4a51f
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-82272
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-a5cad
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-a941f
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-config-value-prof-0.7.0.1-dd0e5
本虛擬套件由這些套件填實: libghc-config-value-prof
libghc-configfile-dev (1.1.4-9+b2 [s390x], 1.1.4-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser and writer for sectioned config files in Haskell
libghc-configfile-dev-1.1.4-2ca30
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-355cd
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-3888f
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-45025
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-8e375
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-b769d
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-c2b42
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-dev-1.1.4-e312e
本虛擬套件由這些套件填實: libghc-configfile-dev
libghc-configfile-doc (1.1.4-9)
Parser and writer for sectioned config files in Haskell; documentation
libghc-configfile-prof (1.1.4-9+b2 [s390x], 1.1.4-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser and writer for sectioned config files in Haskell; profiling libraries
libghc-configfile-prof-1.1.4-2ca30
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-355cd
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-3888f
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-45025
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-8e375
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-b769d
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-c2b42
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configfile-prof-1.1.4-e312e
本虛擬套件由這些套件填實: libghc-configfile-prof
libghc-configurator-dev (0.3.0.0-9+b2 [s390x], 0.3.0.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
dynamic config file reloading
libghc-configurator-dev-0.3.0.0-3cf74
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-5e283
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-6f16c
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-8fa13
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-aed05
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-cac70
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-cfdef
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-dev-0.3.0.0-ed94f
本虛擬套件由這些套件填實: libghc-configurator-dev
libghc-configurator-doc (0.3.0.0-9)
dynamic config file reloading; documentation
libghc-configurator-prof (0.3.0.0-9+b2 [s390x], 0.3.0.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
dynamic config file reloading; profiling libraries
libghc-configurator-prof-0.3.0.0-3cf74
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-5e283
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-6f16c
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-8fa13
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-aed05
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-cac70
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-cfdef
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-configurator-prof-0.3.0.0-ed94f
本虛擬套件由這些套件填實: libghc-configurator-prof
libghc-connection-dev (0.3.1-2)
simple and easy network connections API
libghc-connection-dev-0.3.1-0eab4
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-0f33c
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-14b89
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-9100a
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-95967
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-cd6b9
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-d2d01
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-de10e
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-dev-0.3.1-fbc6a
本虛擬套件由這些套件填實: libghc-connection-dev
libghc-connection-doc (0.3.1-2)
simple and easy network connections API; documentation
libghc-connection-prof (0.3.1-2)
simple and easy network connections API; profiling libraries
libghc-connection-prof-0.3.1-0eab4
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-0f33c
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-14b89
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-9100a
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-95967
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-cd6b9
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-d2d01
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-de10e
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-connection-prof-0.3.1-fbc6a
本虛擬套件由這些套件填實: libghc-connection-prof
libghc-constraints-dev (0.12-1)
Constraint manipulation
libghc-constraints-dev-0.12-11cae
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-43af4
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-4c33f
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-736a2
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-938ab
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-97450
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-c0fce
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-dev-0.12-f993f
本虛擬套件由這些套件填實: libghc-constraints-dev
libghc-constraints-doc (0.12-1)
Constraint manipulation; documentation
libghc-constraints-extras-dev (0.3.0.2-3)
utility package for constraints
libghc-constraints-extras-dev-0.3.0.2-0ce1e
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-3edaa
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-4b4b9
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-6af9f
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-850a5
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-925e4
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-9da9d
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-dev-0.3.0.2-dd9b7
本虛擬套件由這些套件填實: libghc-constraints-extras-dev
libghc-constraints-extras-doc (0.3.0.2-3)
utility package for constraints; documentation
libghc-constraints-extras-prof (0.3.0.2-3)
utility package for constraints; profiling libraries
libghc-constraints-extras-prof-0.3.0.2-0ce1e
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-3edaa
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-4b4b9
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-6af9f
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-850a5
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-925e4
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-9da9d
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-extras-prof-0.3.0.2-dd9b7
本虛擬套件由這些套件填實: libghc-constraints-extras-prof
libghc-constraints-prof (0.12-1)
Constraint manipulation; profiling libraries
libghc-constraints-prof-0.12-11cae
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-43af4
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-4c33f
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-736a2
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-938ab
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-97450
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-c0fce
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-constraints-prof-0.12-f993f
本虛擬套件由這些套件填實: libghc-constraints-prof
libghc-containers-dev (= 0.6.2.1)
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-25b62
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-312d6
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-39f42
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-53ee1
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-67b24
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-ab1cf
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-c0c14
本虛擬套件由這些套件填實: ghc
libghc-containers-dev-0.6.2.1-f2d50
本虛擬套件由這些套件填實: ghc
libghc-containers-doc (= 0.6.2.1)
本虛擬套件由這些套件填實: ghc-doc
libghc-containers-prof (= 0.6.2.1)
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-25b62
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-312d6
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-39f42
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-53ee1
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-67b24
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-ab1cf
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-c0c14
本虛擬套件由這些套件填實: ghc-prof
libghc-containers-prof-0.6.2.1-f2d50
本虛擬套件由這些套件填實: ghc-prof
libghc-contravariant-dev (1.5.2-2+b2 [s390x], 1.5.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Contravariant functors
libghc-contravariant-dev-1.5.2-29f3b
本虛擬套件由這些套件填實: libghc-contravariant-dev
libghc-contravariant-dev-1.5.2-5d880
本虛擬套件由這些套件填實: libghc-contravariant-dev
libghc-contravariant-dev-1.5.2-737f2
本虛擬套件由這些套件填實: libghc-contravariant-dev
libghc-contravariant-dev-1.5.2-73857
本虛擬套件由這些套件填實: libghc-contravariant-dev
libghc-contravariant-dev-1.5.2-c823f
本虛擬套件由這些套件填實: libghc-contravariant-dev
libghc-contravariant-doc (1.5.2-2)
Contravariant functors; documentation
libghc-contravariant-extras-dev (0.3.5.1-2)
extras for the "contravariant" package
libghc-contravariant-extras-dev-0.3.5.1-3d305
本虛擬套件由這些套件填實: libghc-contravariant-extras-dev
libghc-contravariant-extras-dev-0.3.5.1-6ab03
本虛擬套件由這些套件填實: libghc-contravariant-extras-dev
libghc-contravariant-extras-dev-0.3.5.1-842ef
本虛擬套件由這些套件填實: libghc-contravariant-extras-dev
libghc-contravariant-extras-dev-0.3.5.1-be47c
本虛擬套件由這些套件填實: libghc-contravariant-extras-dev
libghc-contravariant-extras-dev-0.3.5.1-d963e
本虛擬套件由這些套件填實: libghc-contravariant-extras-dev
libghc-contravariant-extras-doc (0.3.5.1-2)
extras for the "contravariant" package; documentation
libghc-contravariant-extras-prof (0.3.5.1-2)
extras for the "contravariant" package; profiling libraries
libghc-contravariant-extras-prof-0.3.5.1-3d305
本虛擬套件由這些套件填實: libghc-contravariant-extras-prof
libghc-contravariant-extras-prof-0.3.5.1-6ab03
本虛擬套件由這些套件填實: libghc-contravariant-extras-prof
libghc-contravariant-extras-prof-0.3.5.1-842ef
本虛擬套件由這些套件填實: libghc-contravariant-extras-prof
libghc-contravariant-extras-prof-0.3.5.1-be47c
本虛擬套件由這些套件填實: libghc-contravariant-extras-prof
libghc-contravariant-extras-prof-0.3.5.1-d963e
本虛擬套件由這些套件填實: libghc-contravariant-extras-prof
libghc-contravariant-prof (1.5.2-2+b2 [s390x], 1.5.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Contravariant functors; profiling libraries
libghc-contravariant-prof-1.5.2-29f3b
本虛擬套件由這些套件填實: libghc-contravariant-prof
libghc-contravariant-prof-1.5.2-5d880
本虛擬套件由這些套件填實: libghc-contravariant-prof
libghc-contravariant-prof-1.5.2-737f2
本虛擬套件由這些套件填實: libghc-contravariant-prof
libghc-contravariant-prof-1.5.2-73857
本虛擬套件由這些套件填實: libghc-contravariant-prof
libghc-contravariant-prof-1.5.2-c823f
本虛擬套件由這些套件填實: libghc-contravariant-prof
libghc-control-monad-free-dev (0.6.2-3+b2 [s390x], 0.6.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
free monads
libghc-control-monad-free-dev-0.6.2-30737
本虛擬套件由這些套件填實: libghc-control-monad-free-dev
libghc-control-monad-free-dev-0.6.2-48079
本虛擬套件由這些套件填實: libghc-control-monad-free-dev
libghc-control-monad-free-dev-0.6.2-5a980
本虛擬套件由這些套件填實: libghc-control-monad-free-dev
libghc-control-monad-free-dev-0.6.2-e7b7d
本虛擬套件由這些套件填實: libghc-control-monad-free-dev
libghc-control-monad-free-dev-0.6.2-f914d
本虛擬套件由這些套件填實: libghc-control-monad-free-dev
libghc-control-monad-free-doc (0.6.2-3)
free monads; documentation
libghc-control-monad-free-prof (0.6.2-3+b2 [s390x], 0.6.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
free monads; profiling libraries
libghc-control-monad-free-prof-0.6.2-30737
本虛擬套件由這些套件填實: libghc-control-monad-free-prof
libghc-control-monad-free-prof-0.6.2-48079
本虛擬套件由這些套件填實: libghc-control-monad-free-prof
libghc-control-monad-free-prof-0.6.2-5a980
本虛擬套件由這些套件填實: libghc-control-monad-free-prof
libghc-control-monad-free-prof-0.6.2-e7b7d
本虛擬套件由這些套件填實: libghc-control-monad-free-prof
libghc-control-monad-free-prof-0.6.2-f914d
本虛擬套件由這些套件填實: libghc-control-monad-free-prof
libghc-control-monad-loop-dev (0.1-11+b2 [s390x], 0.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple monad transformer for imperative-style loops
libghc-control-monad-loop-dev-0.1-512c8
本虛擬套件由這些套件填實: libghc-control-monad-loop-dev
libghc-control-monad-loop-dev-0.1-85a2d
本虛擬套件由這些套件填實: libghc-control-monad-loop-dev
libghc-control-monad-loop-dev-0.1-bc88b
本虛擬套件由這些套件填實: libghc-control-monad-loop-dev
libghc-control-monad-loop-dev-0.1-caaca
本虛擬套件由這些套件填實: libghc-control-monad-loop-dev
libghc-control-monad-loop-dev-0.1-ee109
本虛擬套件由這些套件填實: libghc-control-monad-loop-dev
libghc-control-monad-loop-doc (0.1-11)
simple monad transformer for imperative-style loops; documentation
libghc-control-monad-loop-prof (0.1-11+b2 [s390x], 0.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple monad transformer for imperative-style loops; profiling libraries
libghc-control-monad-loop-prof-0.1-512c8
本虛擬套件由這些套件填實: libghc-control-monad-loop-prof
libghc-control-monad-loop-prof-0.1-85a2d
本虛擬套件由這些套件填實: libghc-control-monad-loop-prof
libghc-control-monad-loop-prof-0.1-bc88b
本虛擬套件由這些套件填實: libghc-control-monad-loop-prof
libghc-control-monad-loop-prof-0.1-caaca
本虛擬套件由這些套件填實: libghc-control-monad-loop-prof
libghc-control-monad-loop-prof-0.1-ee109
本虛擬套件由這些套件填實: libghc-control-monad-loop-prof
libghc-convertible-dev (1.1.1.0-9+b2 [s390x], 1.1.1.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Typeclasses and instances for converting between types, GHC package
libghc-convertible-dev-1.1.1.0-0ba1e
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-283ac
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-7b968
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-93e4a
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-ac97a
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-c352a
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-f1cb4
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-dev-1.1.1.0-feee4
本虛擬套件由這些套件填實: libghc-convertible-dev
libghc-convertible-doc (1.1.1.0-9)
Typeclasses and instances for converting between types, documentation
libghc-convertible-prof (1.1.1.0-9+b2 [s390x], 1.1.1.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Typeclasses and instances for converting between types, GHC profiling package
libghc-convertible-prof-1.1.1.0-0ba1e
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-283ac
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-7b968
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-93e4a
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-ac97a
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-c352a
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-f1cb4
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-convertible-prof-1.1.1.0-feee4
本虛擬套件由這些套件填實: libghc-convertible-prof
libghc-cookie-dev (0.4.5-1+b2 [s390x], 0.4.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTTP cookie-parsing and rendering library
libghc-cookie-dev-0.4.5-574cc
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-69ce6
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-89d93
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-ace8b
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-c55d7
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-d80d5
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-e32dc
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-dev-0.4.5-fabe8
本虛擬套件由這些套件填實: libghc-cookie-dev
libghc-cookie-doc (0.4.5-1)
HTTP cookie-parsing and rendering library; documentation
libghc-cookie-prof (0.4.5-1+b2 [s390x], 0.4.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTTP cookie-parsing and rendering library; profiling libraries
libghc-cookie-prof-0.4.5-574cc
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-69ce6
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-89d93
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-ace8b
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-c55d7
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-d80d5
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-e32dc
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cookie-prof-0.4.5-fabe8
本虛擬套件由這些套件填實: libghc-cookie-prof
libghc-cpphs-dev (1.20.9.1-1+b2 [s390x], 1.20.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cpphs-library for haskell
libghc-cpphs-dev-1.20.9.1-06245
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-32393
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-44063
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-63359
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-64aa0
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-b9810
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-d65ef
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-dev-1.20.9.1-dd5ed
本虛擬套件由這些套件填實: libghc-cpphs-dev
libghc-cpphs-doc (1.20.9.1-1)
cpphs-library for haskell; documentation
libghc-cpphs-prof (1.20.9.1-1+b2 [s390x], 1.20.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cpphs-library for haskell; profiling libraries
libghc-cpphs-prof-1.20.9.1-06245
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-32393
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-44063
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-63359
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-64aa0
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-b9810
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-d65ef
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cpphs-prof-1.20.9.1-dd5ed
本虛擬套件由這些套件填實: libghc-cpphs-prof
libghc-cprng-aes-dev (0.6.1-8+b2 [s390x], 0.6.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pseudo-random number generator using AES in counter mode
libghc-cprng-aes-dev-0.6.1-0220a
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-03f11
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-2f0bf
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-4dfe2
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-66327
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-6c9d0
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-86441
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-8ede8
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-dev-0.6.1-e2983
本虛擬套件由這些套件填實: libghc-cprng-aes-dev
libghc-cprng-aes-doc (0.6.1-8)
pseudo-random number generator using AES in counter mode; documentation
libghc-cprng-aes-prof (0.6.1-8+b2 [s390x], 0.6.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pseudo-random number generator using AES in counter mode; profiling libraries
libghc-cprng-aes-prof-0.6.1-0220a
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-03f11
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-2f0bf
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-4dfe2
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-66327
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-6c9d0
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-86441
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-8ede8
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cprng-aes-prof-0.6.1-e2983
本虛擬套件由這些套件填實: libghc-cprng-aes-prof
libghc-cpu-dev (0.1.2-10+b2 [s390x], 0.1.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
low-level routines to get basic properties of the platform
libghc-cpu-dev-0.1.2-187a8
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-2eca0
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-7bd86
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-ae95c
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-b6f0c
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-cad7a
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-f1d56
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-dev-0.1.2-f97fe
本虛擬套件由這些套件填實: libghc-cpu-dev
libghc-cpu-doc (0.1.2-10)
low-level routines to get basic properties of the platform; documentation
libghc-cpu-prof (0.1.2-10+b2 [s390x], 0.1.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
low-level routines to get basic properties of the platform; profiling libraries
libghc-cpu-prof-0.1.2-187a8
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-2eca0
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-7bd86
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-ae95c
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-b6f0c
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-cad7a
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-f1d56
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cpu-prof-0.1.2-f97fe
本虛擬套件由這些套件填實: libghc-cpu-prof
libghc-cracknum-dev (2.3-2+b2 [s390x], 2.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
crack various integer, floating-point data formats
libghc-cracknum-dev-2.3-05103
本虛擬套件由這些套件填實: libghc-cracknum-dev
libghc-cracknum-dev-2.3-229df
本虛擬套件由這些套件填實: libghc-cracknum-dev
libghc-cracknum-dev-2.3-4a2b1
本虛擬套件由這些套件填實: libghc-cracknum-dev
libghc-cracknum-dev-2.3-d89a4
本虛擬套件由這些套件填實: libghc-cracknum-dev
libghc-cracknum-doc (2.3-2)
crack various integer, floating-point data formats; documentation
libghc-cracknum-prof (2.3-2+b2 [s390x], 2.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
crack various integer, floating-point data formats; profiling libraries
libghc-cracknum-prof-2.3-05103
本虛擬套件由這些套件填實: libghc-cracknum-prof
libghc-cracknum-prof-2.3-229df
本虛擬套件由這些套件填實: libghc-cracknum-prof
libghc-cracknum-prof-2.3-4a2b1
本虛擬套件由這些套件填實: libghc-cracknum-prof
libghc-cracknum-prof-2.3-d89a4
本虛擬套件由這些套件填實: libghc-cracknum-prof
libghc-criterion-dev (1.5.6.2-1+b3 [amd64, arm64, ppc64el, s390x], 1.5.6.2-1+b2 [armel, armhf, i386, mips64el, mipsel])
Robust, reliable performance measurement and analysis
libghc-criterion-dev-1.5.6.2-2e458
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-3fbd4
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-47c80
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-7485b
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-92477
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-b1057
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-de1e5
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-e2fb9
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-dev-1.5.6.2-e8a7d
本虛擬套件由這些套件填實: libghc-criterion-dev
libghc-criterion-doc (1.5.6.2-1)
Robust, reliable performance measurement and analysis; documentation
libghc-criterion-measurement-dev (0.1.2.0-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.1.2.0-1+b1 [armel, armhf, i386])
Criterion measurement functionality and associated types
libghc-criterion-measurement-dev-0.1.2.0-2c43b
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-7251b
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-7845a
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-7bb46
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-a7cd1
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-bef91
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-d7928
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-dev-0.1.2.0-edb62
本虛擬套件由這些套件填實: libghc-criterion-measurement-dev
libghc-criterion-measurement-doc (0.1.2.0-1)
Criterion measurement functionality and associated types; documentation
libghc-criterion-measurement-prof (0.1.2.0-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.1.2.0-1+b1 [armel, armhf, i386])
Criterion measurement functionality and associated types; profiling libraries
libghc-criterion-measurement-prof-0.1.2.0-2c43b
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-7251b
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-7845a
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-7bb46
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-a7cd1
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-bef91
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-d7928
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-measurement-prof-0.1.2.0-edb62
本虛擬套件由這些套件填實: libghc-criterion-measurement-prof
libghc-criterion-prof (1.5.6.2-1+b3 [amd64, arm64, ppc64el, s390x], 1.5.6.2-1+b2 [armel, armhf, i386, mips64el, mipsel])
Robust, reliable performance measurement and analysis; profiling libraries
libghc-criterion-prof-1.5.6.2-2e458
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-3fbd4
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-47c80
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-7485b
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-92477
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-b1057
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-de1e5
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-e2fb9
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-criterion-prof-1.5.6.2-e8a7d
本虛擬套件由這些套件填實: libghc-criterion-prof
libghc-crypto-api-dev (0.13.3-3+b2 [s390x], 0.13.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic interface for cryptographic operations
libghc-crypto-api-dev-0.13.3-0466a
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-0799e
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-37f90
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-464d0
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-8f933
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-a1078
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-d77f0
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-dev-0.13.3-fb5d7
本虛擬套件由這些套件填實: libghc-crypto-api-dev
libghc-crypto-api-doc (0.13.3-3)
generic interface for cryptographic operations; documentation
libghc-crypto-api-prof (0.13.3-3+b2 [s390x], 0.13.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic interface for cryptographic operations; profiling libraries
libghc-crypto-api-prof-0.13.3-0466a
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-0799e
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-37f90
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-464d0
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-8f933
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-a1078
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-d77f0
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-api-prof-0.13.3-fb5d7
本虛擬套件由這些套件填實: libghc-crypto-api-prof
libghc-crypto-cipher-tests-dev (0.0.11-11+b3 [s390x], 0.0.11-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.0.11-11+b1 [mips64el, mipsel])
Generic cryptography cipher tests
libghc-crypto-cipher-tests-dev-0.0.11-338aa
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-3f262
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-425f3
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-628c7
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-a56f8
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-ab120
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-ab805
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-dev-0.0.11-e93e3
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-dev
libghc-crypto-cipher-tests-doc (0.0.11-11)
Generic cryptography cipher tests; documentation
libghc-crypto-cipher-tests-prof (0.0.11-11+b3 [s390x], 0.0.11-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.0.11-11+b1 [mips64el, mipsel])
Generic cryptography cipher tests; profiling libraries
libghc-crypto-cipher-tests-prof-0.0.11-338aa
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-3f262
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-425f3
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-628c7
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-a56f8
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-ab120
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-ab805
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-tests-prof-0.0.11-e93e3
本虛擬套件由這些套件填實: libghc-crypto-cipher-tests-prof
libghc-crypto-cipher-types-dev (0.0.9-10+b2 [s390x], 0.0.9-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic cryptographic cipher types
libghc-crypto-cipher-types-dev-0.0.9-41a00
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-5941c
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-667c1
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-6d99f
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-7ca29
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-9c496
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-b6a83
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-dev-0.0.9-d5f76
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-dev
libghc-crypto-cipher-types-doc (0.0.9-10)
generic cryptographic cipher types; documentation
libghc-crypto-cipher-types-prof (0.0.9-10+b2 [s390x], 0.0.9-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic cryptographic cipher types; profiling libraries
libghc-crypto-cipher-types-prof-0.0.9-41a00
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-5941c
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-667c1
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-6d99f
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-7ca29
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-9c496
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-b6a83
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-cipher-types-prof-0.0.9-d5f76
本虛擬套件由這些套件填實: libghc-crypto-cipher-types-prof
libghc-crypto-numbers-dev (0.2.7-10+b2 [s390x], 0.2.7-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic numbers: functions and algorithms
libghc-crypto-numbers-dev-0.2.7-01d11
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-13fc0
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-217e1
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-51bdc
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-6799b
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-78fe0
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-8c5d1
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-dev-0.2.7-b1b18
本虛擬套件由這些套件填實: libghc-crypto-numbers-dev
libghc-crypto-numbers-doc (0.2.7-10)
cryptographic numbers: functions and algorithms; documentation
libghc-crypto-numbers-prof (0.2.7-10+b2 [s390x], 0.2.7-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic numbers: functions and algorithms; profiling libraries
libghc-crypto-numbers-prof-0.2.7-01d11
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-13fc0
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-217e1
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-51bdc
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-6799b
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-78fe0
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-8c5d1
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-numbers-prof-0.2.7-b1b18
本虛擬套件由這些套件填實: libghc-crypto-numbers-prof
libghc-crypto-pubkey-dev (0.2.8-10+b2 [s390x], 0.2.8-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
public-key cryptography routines
libghc-crypto-pubkey-dev-0.2.8-0bec3
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-30886
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-3d76e
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-a9b9f
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-d5e0e
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-ebd21
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-f33bb
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-fc3ba
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-dev-0.2.8-feaec
本虛擬套件由這些套件填實: libghc-crypto-pubkey-dev
libghc-crypto-pubkey-doc (0.2.8-10)
public-key cryptography routines; documentation
libghc-crypto-pubkey-prof (0.2.8-10+b2 [s390x], 0.2.8-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
public-key cryptography routines; profiling libraries
libghc-crypto-pubkey-prof-0.2.8-0bec3
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-30886
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-3d76e
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-a9b9f
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-d5e0e
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-ebd21
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-f33bb
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-fc3ba
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-prof-0.2.8-feaec
本虛擬套件由這些套件填實: libghc-crypto-pubkey-prof
libghc-crypto-pubkey-types-dev (0.4.3-10+b2 [s390x], 0.4.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic public-key algorithm types
libghc-crypto-pubkey-types-dev-0.4.3-3a7a6
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-3f7f1
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-49d5d
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-5e20e
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-88035
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-92f8b
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-a1871
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-dev-0.4.3-d24c2
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-dev
libghc-crypto-pubkey-types-doc (0.4.3-10)
generic public-key algorithm types; documentation
libghc-crypto-pubkey-types-prof (0.4.3-10+b2 [s390x], 0.4.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic public-key algorithm types; profiling libraries
libghc-crypto-pubkey-types-prof-0.4.3-3a7a6
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-3f7f1
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-49d5d
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-5e20e
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-88035
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-92f8b
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-a1871
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-pubkey-types-prof-0.4.3-d24c2
本虛擬套件由這些套件填實: libghc-crypto-pubkey-types-prof
libghc-crypto-random-api-dev (0.2.0-11+b2 [s390x], 0.2.0-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple random generators API for cryptography related code
libghc-crypto-random-api-dev-0.2.0-164a3
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-28fa2
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-3e76c
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-b5404
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-b7f6d
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-c4a77
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-d0639
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-dev-0.2.0-f9a3f
本虛擬套件由這些套件填實: libghc-crypto-random-api-dev
libghc-crypto-random-api-doc (0.2.0-11)
simple random generators API for cryptography related code; documentation
libghc-crypto-random-api-prof (0.2.0-11+b2 [s390x], 0.2.0-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple random generators API for crypto-related code; profiling libraries
libghc-crypto-random-api-prof-0.2.0-164a3
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-28fa2
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-3e76c
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-b5404
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-b7f6d
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-c4a77
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-d0639
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-api-prof-0.2.0-f9a3f
本虛擬套件由這些套件填實: libghc-crypto-random-api-prof
libghc-crypto-random-dev (0.0.9-9+b2 [s390x], 0.0.9-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple cryptographic random related types
libghc-crypto-random-dev-0.0.9-13877
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-1612d
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-5b921
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-75952
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-825fe
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-c81c7
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-e34b7
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-dev-0.0.9-ffc45
本虛擬套件由這些套件填實: libghc-crypto-random-dev
libghc-crypto-random-doc (0.0.9-9)
simple cryptographic random related types; documentation
libghc-crypto-random-prof (0.0.9-9+b2 [s390x], 0.0.9-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple cryptographic random related types; profiling libraries
libghc-crypto-random-prof-0.0.9-13877
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-1612d
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-5b921
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-75952
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-825fe
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-c81c7
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-e34b7
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-crypto-random-prof-0.0.9-ffc45
本虛擬套件由這些套件填實: libghc-crypto-random-prof
libghc-cryptohash-conduit-dev (0.1.1-11+b4 [s390x], 0.1.1-11+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.1.1-11+b1 [mips64el])
Conduit interface to cryptohash
libghc-cryptohash-conduit-dev-0.1.1-16f56
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-30f06
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-40d63
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-51d0b
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-5d3e6
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-82fb2
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-8898b
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-c6286
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-dev-0.1.1-c8555
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-dev
libghc-cryptohash-conduit-doc (0.1.1-11)
Conduit interface to cryptohash; documentation
libghc-cryptohash-conduit-prof (0.1.1-11+b4 [s390x], 0.1.1-11+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.1.1-11+b1 [mips64el])
Conduit interface to cryptohash; profiling libraries
libghc-cryptohash-conduit-prof-0.1.1-16f56
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-30f06
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-40d63
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-51d0b
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-5d3e6
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-82fb2
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-8898b
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-c6286
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-conduit-prof-0.1.1-c8555
本虛擬套件由這些套件填實: libghc-cryptohash-conduit-prof
libghc-cryptohash-cryptoapi-dev (0.1.4-6+b2 [s390x], 0.1.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
crypto-api interfaces for cryptohash
libghc-cryptohash-cryptoapi-dev-0.1.4-16212
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-27310
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-47634
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-64175
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-75f6b
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-84dd1
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-a756b
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-d787d
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-dev-0.1.4-f8789
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-dev
libghc-cryptohash-cryptoapi-doc (0.1.4-6)
crypto-api interfaces for cryptohash; documentation
libghc-cryptohash-cryptoapi-prof (0.1.4-6+b2 [s390x], 0.1.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
crypto-api interfaces for cryptohash; profiling libraries
libghc-cryptohash-cryptoapi-prof-0.1.4-16212
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-27310
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-47634
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-64175
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-75f6b
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-84dd1
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-a756b
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-d787d
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-cryptoapi-prof-0.1.4-f8789
本虛擬套件由這些套件填實: libghc-cryptohash-cryptoapi-prof
libghc-cryptohash-dev (0.11.9-7+b2 [s390x], 0.11.9-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
collection of crypto hashes, fast, pure and practical
libghc-cryptohash-dev-0.11.9-08ec0
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-312ec
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-37cdc
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-3dde8
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-3e4f0
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-52352
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-93394
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-9f663
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-dev-0.11.9-c9e92
本虛擬套件由這些套件填實: libghc-cryptohash-dev
libghc-cryptohash-doc (0.11.9-7)
collection of crypto hashes, fast, pure and practical; documentation
libghc-cryptohash-md5-dev (0.11.100.1-6+b2 [s390x], 0.11.100.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast, pure and practical MD5 implementation
libghc-cryptohash-md5-dev-0.11.100.1-44172
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-4dd5c
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-77124
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-79bd0
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-abf33
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-bfb99
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-e8776
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-dev-0.11.100.1-eac80
本虛擬套件由這些套件填實: libghc-cryptohash-md5-dev
libghc-cryptohash-md5-doc (0.11.100.1-6)
fast, pure and practical MD5 implementation; documentation
libghc-cryptohash-md5-prof (0.11.100.1-6+b2 [s390x], 0.11.100.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast, pure and practical MD5 implementation; profiling libraries
libghc-cryptohash-md5-prof-0.11.100.1-44172
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-4dd5c
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-77124
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-79bd0
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-abf33
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-bfb99
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-e8776
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-md5-prof-0.11.100.1-eac80
本虛擬套件由這些套件填實: libghc-cryptohash-md5-prof
libghc-cryptohash-prof (0.11.9-7+b2 [s390x], 0.11.9-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
collection of crypto hashes, fast, pure and practical; profiling libraries
libghc-cryptohash-prof-0.11.9-08ec0
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-312ec
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-37cdc
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-3dde8
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-3e4f0
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-52352
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-93394
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-9f663
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-prof-0.11.9-c9e92
本虛擬套件由這些套件填實: libghc-cryptohash-prof
libghc-cryptohash-sha1-dev (0.11.100.1-6+b2 [s390x], 0.11.100.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast, pure and practical SHA-1 implementation
libghc-cryptohash-sha1-dev-0.11.100.1-0ed69
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-59e78
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-d79fe
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-dcda3
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-f451e
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-f765a
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-fab8f
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-dev-0.11.100.1-ff624
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-dev
libghc-cryptohash-sha1-doc (0.11.100.1-6)
fast, pure and practical SHA-1 implementation; documentation
libghc-cryptohash-sha1-prof (0.11.100.1-6+b2 [s390x], 0.11.100.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast, pure and practical SHA-1 implementation; profiling libraries
libghc-cryptohash-sha1-prof-0.11.100.1-0ed69
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-59e78
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-d79fe
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-dcda3
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-f451e
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-f765a
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-fab8f
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha1-prof-0.11.100.1-ff624
本虛擬套件由這些套件填實: libghc-cryptohash-sha1-prof
libghc-cryptohash-sha256-dev (0.11.101.0-9+b2 [s390x], 0.11.101.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast, pure and practical SHA-256 implementation
libghc-cryptohash-sha256-dev-0.11.101.0-03e0e
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-1433c
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-1f922
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-3897d
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-4f7df
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-b8917
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-c3c85
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-dev-0.11.101.0-c6a02
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-dev
libghc-cryptohash-sha256-doc (0.11.101.0-9)
fast, pure and practical SHA-256 implementation; documentation
libghc-cryptohash-sha256-prof (0.11.101.0-9+b2 [s390x], 0.11.101.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast, pure and practical SHA-256 implementation; profiling libraries
libghc-cryptohash-sha256-prof-0.11.101.0-03e0e
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-1433c
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-1f922
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-3897d
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-4f7df
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-b8917
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-c3c85
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptohash-sha256-prof-0.11.101.0-c6a02
本虛擬套件由這些套件填實: libghc-cryptohash-sha256-prof
libghc-cryptol-dev (2.8.0-1+b3 [s390x], 2.8.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.8.0-1+b1 [mips64el, mipsel])
domain-specific language of cryptography
libghc-cryptol-dev-2.8.0-08db8
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-2e331
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-7172a
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-780aa
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-b4ac1
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-cd9f6
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-df775
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-dev-2.8.0-ffaf0
本虛擬套件由這些套件填實: libghc-cryptol-dev
libghc-cryptol-doc (2.8.0-1)
domain-specific language of cryptography; documentation
libghc-cryptol-prof (2.8.0-1+b3 [s390x], 2.8.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.8.0-1+b1 [mips64el, mipsel])
domain-specific language of cryptography; profiling libraries
libghc-cryptol-prof-2.8.0-08db8
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-2e331
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-7172a
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-780aa
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-b4ac1
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-cd9f6
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-df775
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptol-prof-2.8.0-ffaf0
本虛擬套件由這些套件填實: libghc-cryptol-prof
libghc-cryptonite-conduit-dev (0.2.2-5+b4 [s390x], 0.2.2-5+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.2.2-5+b1 [mips64el])
cryptonite conduit
libghc-cryptonite-conduit-dev-0.2.2-1fe34
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-25698
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-37313
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-6e676
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-77853
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-9c212
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-cb8b6
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-d7b9e
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-dev-0.2.2-e245e
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-dev
libghc-cryptonite-conduit-doc (0.2.2-5)
cryptonite conduit; documentation
libghc-cryptonite-conduit-prof (0.2.2-5+b4 [s390x], 0.2.2-5+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.2.2-5+b1 [mips64el])
cryptonite conduit; profiling libraries
libghc-cryptonite-conduit-prof-0.2.2-1fe34
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-25698
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-37313
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-6e676
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-77853
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-9c212
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-cb8b6
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-d7b9e
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-conduit-prof-0.2.2-e245e
本虛擬套件由這些套件填實: libghc-cryptonite-conduit-prof
libghc-cryptonite-dev (0.26-1+b2 [s390x], 0.26-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic primitives
libghc-cryptonite-dev-0.26-2913a
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-53812
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-8895f
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-9dd3d
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-a6f6c
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-c80bd
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-d45bb
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-df2cf
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-dev-0.26-f8ab7
本虛擬套件由這些套件填實: libghc-cryptonite-dev
libghc-cryptonite-doc (0.26-1)
cryptographic primitives; documentation
libghc-cryptonite-prof (0.26-1+b2 [s390x], 0.26-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic primitives; profiling libraries
libghc-cryptonite-prof-0.26-2913a
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-53812
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-8895f
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-9dd3d
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-a6f6c
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-c80bd
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-d45bb
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-df2cf
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-cryptonite-prof-0.26-f8ab7
本虛擬套件由這些套件填實: libghc-cryptonite-prof
libghc-css-text-dev (0.1.3.0-4+b2 [s390x], 0.1.3.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CSS parser and renderer
libghc-css-text-dev-0.1.3.0-1bc0a
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-45fa3
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-708ef
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-7390c
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-79503
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-8f6c5
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-9f2f7
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-dev-0.1.3.0-f55b8
本虛擬套件由這些套件填實: libghc-css-text-dev
libghc-css-text-doc (0.1.3.0-4)
CSS parser and renderer; documentation
libghc-css-text-prof (0.1.3.0-4+b2 [s390x], 0.1.3.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CSS parser and renderer; profiling libraries
libghc-css-text-prof-0.1.3.0-1bc0a
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-45fa3
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-708ef
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-7390c
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-79503
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-8f6c5
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-9f2f7
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-css-text-prof-0.1.3.0-f55b8
本虛擬套件由這些套件填實: libghc-css-text-prof
libghc-csv-conduit-dev (0.7.1.0-1+b4 [s390x], 0.7.1.0-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.7.1.0-1+b1 [mips64el])
fast, conduit-based CSV parser library
libghc-csv-conduit-dev-0.7.1.0-018fa
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-03f1a
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-0bad5
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-583c5
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-6d40f
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-73c3c
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-816c2
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-94983
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-dev-0.7.1.0-b8912
本虛擬套件由這些套件填實: libghc-csv-conduit-dev
libghc-csv-conduit-doc (0.7.1.0-1)
fast, conduit-based CSV parser library; documentation
libghc-csv-conduit-prof (0.7.1.0-1+b4 [s390x], 0.7.1.0-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.7.1.0-1+b1 [mips64el])
fast, conduit-based CSV parser library; profiling libraries
libghc-csv-conduit-prof-0.7.1.0-018fa
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-03f1a
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-0bad5
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-583c5
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-6d40f
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-73c3c
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-816c2
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-94983
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-conduit-prof-0.7.1.0-b8912
本虛擬套件由這些套件填實: libghc-csv-conduit-prof
libghc-csv-dev (0.1.2-15+b2 [s390x], 0.1.2-15+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CSV loader and dumper
libghc-csv-dev-0.1.2-3df98
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-729ef
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-98448
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-9c19d
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-a02a2
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-bd359
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-c2743
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-dev-0.1.2-e5af8
本虛擬套件由這些套件填實: libghc-csv-dev
libghc-csv-doc (0.1.2-15)
CSV loader and dumper; documentation
libghc-csv-prof (0.1.2-15+b2 [s390x], 0.1.2-15+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CSV loader and dumper; profiling libraries
libghc-csv-prof-0.1.2-3df98
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-729ef
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-98448
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-9c19d
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-a02a2
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-bd359
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-c2743
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-csv-prof-0.1.2-e5af8
本虛擬套件由這些套件填實: libghc-csv-prof
libghc-curl-dev (1.3.8-12+b2 [s390x], 1.3.8-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC libraries for the libcurl Haskell bindings
libghc-curl-dev-1.3.8-05208
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-23698
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-394c5
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-5dcc8
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-65e29
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-66e56
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-c824c
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-dev-1.3.8-dcf2e
本虛擬套件由這些套件填實: libghc-curl-dev
libghc-curl-doc (1.3.8-12)
Documentation for the libcurl Haskell bindings; documentation
libghc-curl-prof (1.3.8-12+b2 [s390x], 1.3.8-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling libraries for the libcurl Haskell bindings; profiling libraries
libghc-curl-prof-1.3.8-05208
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-23698
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-394c5
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-5dcc8
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-65e29
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-66e56
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-c824c
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curl-prof-1.3.8-dcf2e
本虛擬套件由這些套件填實: libghc-curl-prof
libghc-curry-base-dev (1.1.1-3)
Functions for manipulating Curry programs
libghc-curry-base-dev-1.1.1-0704e
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-610ee
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-669b3
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-716e7
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-81d78
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-a6fdc
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-c2b3e
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-dev-1.1.1-da983
本虛擬套件由這些套件填實: libghc-curry-base-dev
libghc-curry-base-doc (1.1.1-3)
Functions for manipulating Curry programs; documentation
libghc-curry-base-prof (1.1.1-3)
Functions for manipulating Curry programs; profiling libraries
libghc-curry-base-prof-1.1.1-0704e
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-610ee
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-669b3
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-716e7
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-81d78
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-a6fdc
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-c2b3e
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-base-prof-1.1.1-da983
本虛擬套件由這些套件填實: libghc-curry-base-prof
libghc-curry-frontend-dev (2.0.0-2)
Lexer, parser, type checker, etc. for Curry
libghc-curry-frontend-dev-2.0.0-0d08c
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-8286a
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-8b8b5
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-9e8a0
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-a69b6
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-a90b6
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-cb9de
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-dev-2.0.0-d6747
本虛擬套件由這些套件填實: libghc-curry-frontend-dev
libghc-curry-frontend-doc (2.0.0-2)
Lexer, parser, type checker, etc. for Curry; documentation
libghc-curry-frontend-prof (2.0.0-2)
Lexer, parser, type checker, etc. for Curry; profiling libraries
libghc-curry-frontend-prof-2.0.0-0d08c
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-8286a
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-8b8b5
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-9e8a0
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-a69b6
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-a90b6
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-cb9de
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-curry-frontend-prof-2.0.0-d6747
本虛擬套件由這些套件填實: libghc-curry-frontend-prof
libghc-czipwith-dev (1.0.1.3-1)
CZipWith class and deriving via TH
libghc-czipwith-dev-1.0.1.3-253cd
本虛擬套件由這些套件填實: libghc-czipwith-dev
libghc-czipwith-dev-1.0.1.3-34654
本虛擬套件由這些套件填實: libghc-czipwith-dev
libghc-czipwith-dev-1.0.1.3-78d10
本虛擬套件由這些套件填實: libghc-czipwith-dev
libghc-czipwith-dev-1.0.1.3-cdf7f
本虛擬套件由這些套件填實: libghc-czipwith-dev
libghc-czipwith-dev-1.0.1.3-ddbea
本虛擬套件由這些套件填實: libghc-czipwith-dev
libghc-czipwith-doc (1.0.1.3-1)
CZipWith class and deriving via TH; documentation
libghc-czipwith-prof (1.0.1.3-1)
CZipWith class and deriving via TH; profiling libraries
libghc-czipwith-prof-1.0.1.3-253cd
本虛擬套件由這些套件填實: libghc-czipwith-prof
libghc-czipwith-prof-1.0.1.3-34654
本虛擬套件由這些套件填實: libghc-czipwith-prof
libghc-czipwith-prof-1.0.1.3-78d10
本虛擬套件由這些套件填實: libghc-czipwith-prof
libghc-czipwith-prof-1.0.1.3-cdf7f
本虛擬套件由這些套件填實: libghc-czipwith-prof
libghc-czipwith-prof-1.0.1.3-ddbea
本虛擬套件由這些套件填實: libghc-czipwith-prof
libghc-data-accessor-dev (0.2.3-1+b2 [s390x], 0.2.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Utilities for accessing and manipulating fields of records
libghc-data-accessor-dev-0.2.3-25c74
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-59a97
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-8c83c
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-bc1c9
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-d83c2
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-d8eb8
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-e3afa
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-dev-0.2.3-e64a1
本虛擬套件由這些套件填實: libghc-data-accessor-dev
libghc-data-accessor-doc (0.2.3-1)
Utilities for accessing and manipulating fields of records; documentation
libghc-data-accessor-mtl-dev (0.2.0.4-11+b2 [s390x], 0.2.0.4-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
use Data.Accessor inside the State monad.
libghc-data-accessor-mtl-dev-0.2.0.4-12853
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-dev
libghc-data-accessor-mtl-dev-0.2.0.4-24e69
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-dev
libghc-data-accessor-mtl-dev-0.2.0.4-7c913
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-dev
libghc-data-accessor-mtl-dev-0.2.0.4-8bfab
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-dev
libghc-data-accessor-mtl-dev-0.2.0.4-d4d93
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-dev
libghc-data-accessor-mtl-doc (0.2.0.4-11)
use Data.Accessor inside the State monad; documentation
libghc-data-accessor-mtl-prof (0.2.0.4-11+b2 [s390x], 0.2.0.4-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
use Data.Accessor inside the State monad; profiling libraries
libghc-data-accessor-mtl-prof-0.2.0.4-12853
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-prof
libghc-data-accessor-mtl-prof-0.2.0.4-24e69
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-prof
libghc-data-accessor-mtl-prof-0.2.0.4-7c913
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-prof
libghc-data-accessor-mtl-prof-0.2.0.4-8bfab
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-prof
libghc-data-accessor-mtl-prof-0.2.0.4-d4d93
本虛擬套件由這些套件填實: libghc-data-accessor-mtl-prof
libghc-data-accessor-prof (0.2.3-1+b2 [s390x], 0.2.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Utilities for accessing and manipulating fields of records; profiling libraries
libghc-data-accessor-prof-0.2.3-25c74
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-59a97
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-8c83c
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-bc1c9
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-d83c2
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-d8eb8
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-e3afa
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-accessor-prof-0.2.3-e64a1
本虛擬套件由這些套件填實: libghc-data-accessor-prof
libghc-data-binary-ieee754-dev (0.4.4-10+b2 [s390x], 0.4.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parser/serialiser for IEEE-754 floating-point values
libghc-data-binary-ieee754-dev-0.4.4-350bd
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-54550
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-6dfd6
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-74dff
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-93962
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-d203a
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-fc9af
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-dev-0.4.4-fd5ab
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-dev
libghc-data-binary-ieee754-doc (0.4.4-10)
parser/serialiser for IEEE-754 floating-point values; documentation
libghc-data-binary-ieee754-prof (0.4.4-10+b2 [s390x], 0.4.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parser/serialiser for IEEE-754 floating-point values; profiling libraries
libghc-data-binary-ieee754-prof-0.4.4-350bd
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-54550
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-6dfd6
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-74dff
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-93962
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-d203a
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-fc9af
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-binary-ieee754-prof-0.4.4-fd5ab
本虛擬套件由這些套件填實: libghc-data-binary-ieee754-prof
libghc-data-clist-dev (0.1.2.3-1+b3 [s390x], 0.1.2.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2.3-1+b1 [mips64el, mipsel])
Simple functional ring type
libghc-data-clist-dev-0.1.2.3-13052
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-28bc9
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-3fafb
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-5b506
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-83a9f
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-86954
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-cc33c
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-dev-0.1.2.3-df967
本虛擬套件由這些套件填實: libghc-data-clist-dev
libghc-data-clist-doc (0.1.2.3-1)
Simple functional ring type; documentation
libghc-data-clist-prof (0.1.2.3-1+b3 [s390x], 0.1.2.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2.3-1+b1 [mips64el, mipsel])
Simple functional ring type; profiling libraries
libghc-data-clist-prof-0.1.2.3-13052
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-28bc9
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-3fafb
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-5b506
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-83a9f
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-86954
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-cc33c
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-clist-prof-0.1.2.3-df967
本虛擬套件由這些套件填實: libghc-data-clist-prof
libghc-data-default-class-dev (0.1.2.0-5+b2 [s390x], 0.1.2.0-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type class for default values
libghc-data-default-class-dev-0.1.2.0-1c373
本虛擬套件由這些套件填實: libghc-data-default-class-dev
libghc-data-default-class-dev-0.1.2.0-abf88
本虛擬套件由這些套件填實: libghc-data-default-class-dev
libghc-data-default-class-dev-0.1.2.0-cfabe
本虛擬套件由這些套件填實: libghc-data-default-class-dev
libghc-data-default-class-dev-0.1.2.0-e797e
本虛擬套件由這些套件填實: libghc-data-default-class-dev
libghc-data-default-class-dev-0.1.2.0-f3c3e
本虛擬套件由這些套件填實: libghc-data-default-class-dev
libghc-data-default-class-doc (0.1.2.0-5)
type class for default values; documentation
libghc-data-default-class-prof (0.1.2.0-5+b2 [s390x], 0.1.2.0-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type class for default values; profiling libraries
libghc-data-default-class-prof-0.1.2.0-1c373
本虛擬套件由這些套件填實: libghc-data-default-class-prof
libghc-data-default-class-prof-0.1.2.0-abf88
本虛擬套件由這些套件填實: libghc-data-default-class-prof
libghc-data-default-class-prof-0.1.2.0-cfabe
本虛擬套件由這些套件填實: libghc-data-default-class-prof
libghc-data-default-class-prof-0.1.2.0-e797e
本虛擬套件由這些套件填實: libghc-data-default-class-prof
libghc-data-default-class-prof-0.1.2.0-f3c3e
本虛擬套件由這些套件填實: libghc-data-default-class-prof
libghc-data-default-dev (0.7.1.1-5+b2 [s390x], 0.7.1.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
class for types with a default value
libghc-data-default-dev-0.7.1.1-1a347
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-24b17
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-3a56d
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-58c7f
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-83f26
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-958e3
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-9c506
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-dev-0.7.1.1-c38bd
本虛擬套件由這些套件填實: libghc-data-default-dev
libghc-data-default-doc (0.7.1.1-5)
class for types with a default value; documentation
libghc-data-default-instances-base-dev (0.1.0.1-5+b2 [s390x], 0.1.0.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in base
libghc-data-default-instances-base-dev-0.1.0.1-1a234
本虛擬套件由這些套件填實: libghc-data-default-instances-base-dev
libghc-data-default-instances-base-dev-0.1.0.1-23ea9
本虛擬套件由這些套件填實: libghc-data-default-instances-base-dev
libghc-data-default-instances-base-dev-0.1.0.1-37b70
本虛擬套件由這些套件填實: libghc-data-default-instances-base-dev
libghc-data-default-instances-base-dev-0.1.0.1-fb2ed
本虛擬套件由這些套件填實: libghc-data-default-instances-base-dev
libghc-data-default-instances-base-doc (0.1.0.1-5)
default instances for types in base; documentation
libghc-data-default-instances-base-prof (0.1.0.1-5+b2 [s390x], 0.1.0.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in base; profiling libraries
libghc-data-default-instances-base-prof-0.1.0.1-1a234
本虛擬套件由這些套件填實: libghc-data-default-instances-base-prof
libghc-data-default-instances-base-prof-0.1.0.1-23ea9
本虛擬套件由這些套件填實: libghc-data-default-instances-base-prof
libghc-data-default-instances-base-prof-0.1.0.1-37b70
本虛擬套件由這些套件填實: libghc-data-default-instances-base-prof
libghc-data-default-instances-base-prof-0.1.0.1-fb2ed
本虛擬套件由這些套件填實: libghc-data-default-instances-base-prof
libghc-data-default-instances-containers-dev (0.0.1-11+b2 [s390x], 0.0.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in containers
libghc-data-default-instances-containers-dev-0.0.1-01d5f
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-27fa9
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-2ad1c
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-37b49
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-8e8ce
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-afedd
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-eefd3
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-dev-0.0.1-f1088
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-dev
libghc-data-default-instances-containers-doc (0.0.1-11)
default instances for types in containers; documentation
libghc-data-default-instances-containers-prof (0.0.1-11+b2 [s390x], 0.0.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in containers; profiling libraries
libghc-data-default-instances-containers-prof-0.0.1-01d5f
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-27fa9
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-2ad1c
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-37b49
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-8e8ce
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-afedd
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-eefd3
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-containers-prof-0.0.1-f1088
本虛擬套件由這些套件填實: libghc-data-default-instances-containers-prof
libghc-data-default-instances-dlist-dev (0.0.1-10+b2 [s390x], 0.0.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in dlist
libghc-data-default-instances-dlist-dev-0.0.1-1645c
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-277f1
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-4edbc
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-63a89
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-82581
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-b4d08
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-e2427
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-dev-0.0.1-fd19b
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-dev
libghc-data-default-instances-dlist-doc (0.0.1-10)
default instances for types in dlist; documentation
libghc-data-default-instances-dlist-prof (0.0.1-10+b2 [s390x], 0.0.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in dlist; profiling libraries
libghc-data-default-instances-dlist-prof-0.0.1-1645c
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-277f1
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-4edbc
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-63a89
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-82581
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-b4d08
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-e2427
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-dlist-prof-0.0.1-fd19b
本虛擬套件由這些套件填實: libghc-data-default-instances-dlist-prof
libghc-data-default-instances-old-locale-dev (0.0.1-10+b2 [s390x], 0.0.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in old-locale
libghc-data-default-instances-old-locale-dev-0.0.1-0769e
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-dev
libghc-data-default-instances-old-locale-dev-0.0.1-4294f
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-dev
libghc-data-default-instances-old-locale-dev-0.0.1-491b7
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-dev
libghc-data-default-instances-old-locale-dev-0.0.1-687ec
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-dev
libghc-data-default-instances-old-locale-dev-0.0.1-926a4
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-dev
libghc-data-default-instances-old-locale-doc (0.0.1-10)
default instances for types in old-locale; documentation
libghc-data-default-instances-old-locale-prof (0.0.1-10+b2 [s390x], 0.0.1-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
default instances for types in old-locale; profiling libraries
libghc-data-default-instances-old-locale-prof-0.0.1-0769e
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-prof
libghc-data-default-instances-old-locale-prof-0.0.1-4294f
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-prof
libghc-data-default-instances-old-locale-prof-0.0.1-491b7
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-prof
libghc-data-default-instances-old-locale-prof-0.0.1-687ec
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-prof
libghc-data-default-instances-old-locale-prof-0.0.1-926a4
本虛擬套件由這些套件填實: libghc-data-default-instances-old-locale-prof
libghc-data-default-prof (0.7.1.1-5+b2 [s390x], 0.7.1.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
class for types with a default value; profiling libraries
libghc-data-default-prof-0.7.1.1-1a347
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-24b17
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-3a56d
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-58c7f
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-83f26
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-958e3
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-9c506
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-default-prof-0.7.1.1-c38bd
本虛擬套件由這些套件填實: libghc-data-default-prof
libghc-data-fix-dev (0.2.1-1+b2 [s390x], 0.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fixpoint data types
libghc-data-fix-dev-0.2.1-2f227
本虛擬套件由這些套件填實: libghc-data-fix-dev
libghc-data-fix-dev-0.2.1-6e0fd
本虛擬套件由這些套件填實: libghc-data-fix-dev
libghc-data-fix-dev-0.2.1-ae447
本虛擬套件由這些套件填實: libghc-data-fix-dev
libghc-data-fix-dev-0.2.1-c9e6a
本虛擬套件由這些套件填實: libghc-data-fix-dev
libghc-data-fix-dev-0.2.1-dc529
本虛擬套件由這些套件填實: libghc-data-fix-dev
libghc-data-fix-doc (0.2.1-1)
fixpoint data types; documentation
libghc-data-fix-prof (0.2.1-1+b2 [s390x], 0.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fixpoint data types; profiling libraries
libghc-data-fix-prof-0.2.1-2f227
本虛擬套件由這些套件填實: libghc-data-fix-prof
libghc-data-fix-prof-0.2.1-6e0fd
本虛擬套件由這些套件填實: libghc-data-fix-prof
libghc-data-fix-prof-0.2.1-ae447
本虛擬套件由這些套件填實: libghc-data-fix-prof
libghc-data-fix-prof-0.2.1-c9e6a
本虛擬套件由這些套件填實: libghc-data-fix-prof
libghc-data-fix-prof-0.2.1-dc529
本虛擬套件由這些套件填實: libghc-data-fix-prof
libghc-data-hash-dev (0.2.0.1-7+b2 [s390x], 0.2.0.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
combinators for building fast hashing functions.
libghc-data-hash-dev-0.2.0.1-21da8
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-2832d
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-33244
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-b656c
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-c642f
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-e028f
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-e1661
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-dev-0.2.0.1-f1356
本虛擬套件由這些套件填實: libghc-data-hash-dev
libghc-data-hash-doc (0.2.0.1-7)
combinators for building fast hashing functions.; documentation
libghc-data-hash-prof (0.2.0.1-7+b2 [s390x], 0.2.0.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
combinators for building fast hashing functions.; profiling libraries
libghc-data-hash-prof-0.2.0.1-21da8
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-2832d
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-33244
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-b656c
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-c642f
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-e028f
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-e1661
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-hash-prof-0.2.0.1-f1356
本虛擬套件由這些套件填實: libghc-data-hash-prof
libghc-data-inttrie-dev (0.1.4-3+b2 [s390x], 0.1.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lazy, infinite trie of integers library
libghc-data-inttrie-dev-0.1.4-27a1f
本虛擬套件由這些套件填實: libghc-data-inttrie-dev
libghc-data-inttrie-dev-0.1.4-5b367
本虛擬套件由這些套件填實: libghc-data-inttrie-dev
libghc-data-inttrie-dev-0.1.4-70ab1
本虛擬套件由這些套件填實: libghc-data-inttrie-dev
libghc-data-inttrie-dev-0.1.4-caaf4
本虛擬套件由這些套件填實: libghc-data-inttrie-dev
libghc-data-inttrie-doc (0.1.4-3)
Lazy, infinite trie of integers library; documentation
libghc-data-inttrie-prof (0.1.4-3+b2 [s390x], 0.1.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lazy, infinite trie of integers library; profiling libraries
libghc-data-inttrie-prof-0.1.4-27a1f
本虛擬套件由這些套件填實: libghc-data-inttrie-prof
libghc-data-inttrie-prof-0.1.4-5b367
本虛擬套件由這些套件填實: libghc-data-inttrie-prof
libghc-data-inttrie-prof-0.1.4-70ab1
本虛擬套件由這些套件填實: libghc-data-inttrie-prof
libghc-data-inttrie-prof-0.1.4-caaf4
本虛擬套件由這些套件填實: libghc-data-inttrie-prof
libghc-data-memocombinators-dev (0.5.1-9+b2 [s390x], 0.5.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Combinators for building memo tables library
libghc-data-memocombinators-dev-0.5.1-260e5
本虛擬套件由這些套件填實: libghc-data-memocombinators-dev
libghc-data-memocombinators-dev-0.5.1-67b46
本虛擬套件由這些套件填實: libghc-data-memocombinators-dev
libghc-data-memocombinators-dev-0.5.1-6880b
本虛擬套件由這些套件填實: libghc-data-memocombinators-dev
libghc-data-memocombinators-dev-0.5.1-96933
本虛擬套件由這些套件填實: libghc-data-memocombinators-dev
libghc-data-memocombinators-doc (0.5.1-9)
Combinators for building memo tables library; documentation
libghc-data-memocombinators-prof (0.5.1-9+b2 [s390x], 0.5.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Combinators for building memo tables library; profiling libraries
libghc-data-memocombinators-prof-0.5.1-260e5
本虛擬套件由這些套件填實: libghc-data-memocombinators-prof
libghc-data-memocombinators-prof-0.5.1-67b46
本虛擬套件由這些套件填實: libghc-data-memocombinators-prof
libghc-data-memocombinators-prof-0.5.1-6880b
本虛擬套件由這些套件填實: libghc-data-memocombinators-prof
libghc-data-memocombinators-prof-0.5.1-96933
本虛擬套件由這些套件填實: libghc-data-memocombinators-prof
libghc-data-ordlist-dev (0.4.7.0-8+b2 [s390x], 0.4.7.0-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Set and bag operations on ordered lists
libghc-data-ordlist-dev-0.4.7.0-32be0
本虛擬套件由這些套件填實: libghc-data-ordlist-dev
libghc-data-ordlist-dev-0.4.7.0-5950c
本虛擬套件由這些套件填實: libghc-data-ordlist-dev
libghc-data-ordlist-dev-0.4.7.0-c2da9
本虛擬套件由這些套件填實: libghc-data-ordlist-dev
libghc-data-ordlist-dev-0.4.7.0-d8825
本虛擬套件由這些套件填實: libghc-data-ordlist-dev
libghc-data-ordlist-doc (0.4.7.0-8)
Set and bag operations on ordered lists; documentation
libghc-data-ordlist-prof (0.4.7.0-8+b2 [s390x], 0.4.7.0-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Set and bag operations on ordered lists; profiling libraries
libghc-data-ordlist-prof-0.4.7.0-32be0
本虛擬套件由這些套件填實: libghc-data-ordlist-prof
libghc-data-ordlist-prof-0.4.7.0-5950c
本虛擬套件由這些套件填實: libghc-data-ordlist-prof
libghc-data-ordlist-prof-0.4.7.0-c2da9
本虛擬套件由這些套件填實: libghc-data-ordlist-prof
libghc-data-ordlist-prof-0.4.7.0-d8825
本虛擬套件由這些套件填實: libghc-data-ordlist-prof
libghc-data-tree-print-dev (0.1.0.2-2+b2 [s390x], 0.1.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
print Data instances as a nested tree
libghc-data-tree-print-dev-0.1.0.2-29135
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-327f5
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-61c0d
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-73ca9
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-7522c
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-87672
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-aeeaa
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-dev-0.1.0.2-c034f
本虛擬套件由這些套件填實: libghc-data-tree-print-dev
libghc-data-tree-print-doc (0.1.0.2-2)
print Data instances as a nested tree; documentation
libghc-data-tree-print-prof (0.1.0.2-2+b2 [s390x], 0.1.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
print Data instances as a nested tree; profiling libraries
libghc-data-tree-print-prof-0.1.0.2-29135
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-327f5
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-61c0d
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-73ca9
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-7522c
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-87672
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-aeeaa
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-data-tree-print-prof-0.1.0.2-c034f
本虛擬套件由這些套件填實: libghc-data-tree-print-prof
libghc-dav-dev (1.3.4-1+b4 [mipsel], 1.3.4-1+b3 [s390x], 1.3.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.4-1+b1 [mips64el])
RFC 4918 WebDAV support
libghc-dav-dev-1.3.4-105a3
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-11f38
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-169c9
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-1e9aa
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-36db7
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-3c875
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-99fe6
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-bf4a4
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-dev-1.3.4-f06b6
本虛擬套件由這些套件填實: libghc-dav-dev
libghc-dav-doc (1.3.4-1)
RFC 4918 WebDAV support; documentation
libghc-dav-prof (1.3.4-1+b4 [mipsel], 1.3.4-1+b3 [s390x], 1.3.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.4-1+b1 [mips64el])
RFC 4918 WebDAV support; profiling libraries
libghc-dav-prof-1.3.4-105a3
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-11f38
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-169c9
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-1e9aa
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-36db7
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-3c875
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-99fe6
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-bf4a4
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dav-prof-1.3.4-f06b6
本虛擬套件由這些套件填實: libghc-dav-prof
libghc-dbus-dev (1.2.16-1+b1 [amd64, arm64, armel, i386, ppc64el, s390x], 1.2.16-1 [armhf, mips64el, mipsel])
Haskell implementation of D-Bus
libghc-dbus-dev-1.2.16-01509
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-01a5d
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-3a603
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-43f67
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-71f72
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-83469
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-a9d71
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-c3d39
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-dev-1.2.16-fce19
本虛擬套件由這些套件填實: libghc-dbus-dev
libghc-dbus-doc (1.2.16-1)
Haskell implementation of D-Bus; documentation
libghc-dbus-hslogger-dev (0.1.0.1-2+b4 [s390x], 0.1.0.1-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.1-2+b2 [mipsel], 0.1.0.1-2+b1 [mips64el])
expose a dbus server to control hslogger
libghc-dbus-hslogger-dev-0.1.0.1-2368e
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-4c07a
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-639b6
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-6e49f
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-9ae9f
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-a6c20
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-bd5f2
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-f1818
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-dev-0.1.0.1-f5f00
本虛擬套件由這些套件填實: libghc-dbus-hslogger-dev
libghc-dbus-hslogger-doc (0.1.0.1-2)
expose a dbus server to control hslogger; documentation
libghc-dbus-hslogger-prof (0.1.0.1-2+b4 [s390x], 0.1.0.1-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.1-2+b2 [mipsel], 0.1.0.1-2+b1 [mips64el])
expose a dbus server to control hslogger; profiling libraries
libghc-dbus-hslogger-prof-0.1.0.1-2368e
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-4c07a
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-639b6
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-6e49f
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-9ae9f
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-a6c20
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-bd5f2
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-f1818
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-hslogger-prof-0.1.0.1-f5f00
本虛擬套件由這些套件填實: libghc-dbus-hslogger-prof
libghc-dbus-prof (1.2.16-1+b1 [amd64, arm64, armel, i386, ppc64el, s390x], 1.2.16-1 [armhf, mips64el, mipsel])
Haskell implementation of D-Bus; profiling libraries
libghc-dbus-prof-1.2.16-01509
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-01a5d
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-3a603
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-43f67
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-71f72
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-83469
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-a9d71
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-c3d39
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-dbus-prof-1.2.16-fce19
本虛擬套件由這些套件填實: libghc-dbus-prof
libghc-debian-dev (4.0.2-1+b3 [s390x], 4.0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.0.2-1+b1 [mips64el, mipsel])
Haskell library for working with the Debian package system
libghc-debian-dev-4.0.2-1e0b3
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-2052b
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-28657
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-58472
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-82aee
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-8f7df
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-a03a3
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-b26aa
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-dev-4.0.2-d7815
本虛擬套件由這些套件填實: libghc-debian-dev
libghc-debian-doc (4.0.2-1)
Documentation for Debian package system library; documentation
libghc-debian-prof (4.0.2-1+b3 [s390x], 4.0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.0.2-1+b1 [mips64el, mipsel])
Profiling library for working with the Debian package system; profiling libraries
libghc-debian-prof-4.0.2-1e0b3
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-2052b
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-28657
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-58472
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-82aee
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-8f7df
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-a03a3
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-b26aa
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-debian-prof-4.0.2-d7815
本虛擬套件由這些套件填實: libghc-debian-prof
libghc-dec-dev (0.0.3-4+b2 [s390x], 0.0.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
decidable propositions
libghc-dec-dev-0.0.3-12ff0
本虛擬套件由這些套件填實: libghc-dec-dev
libghc-dec-dev-0.0.3-3e9b6
本虛擬套件由這些套件填實: libghc-dec-dev
libghc-dec-dev-0.0.3-68c05
本虛擬套件由這些套件填實: libghc-dec-dev
libghc-dec-dev-0.0.3-9fd62
本虛擬套件由這些套件填實: libghc-dec-dev
libghc-dec-dev-0.0.3-cd28f
本虛擬套件由這些套件填實: libghc-dec-dev
libghc-dec-doc (0.0.3-4)
decidable propositions; documentation
libghc-dec-prof (0.0.3-4+b2 [s390x], 0.0.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
decidable propositions; profiling libraries
libghc-dec-prof-0.0.3-12ff0
本虛擬套件由這些套件填實: libghc-dec-prof
libghc-dec-prof-0.0.3-3e9b6
本虛擬套件由這些套件填實: libghc-dec-prof
libghc-dec-prof-0.0.3-68c05
本虛擬套件由這些套件填實: libghc-dec-prof
libghc-dec-prof-0.0.3-9fd62
本虛擬套件由這些套件填實: libghc-dec-prof
libghc-dec-prof-0.0.3-cd28f
本虛擬套件由這些套件填實: libghc-dec-prof
libghc-decimal-dev (0.5.1-3+b2 [s390x], 0.5.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
decimal numbers with variable precision
libghc-decimal-dev-0.5.1-37d8e
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-4670d
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-74f3e
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-89d5a
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-a022a
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-ad4b8
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-cef5c
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-dev-0.5.1-f036c
本虛擬套件由這些套件填實: libghc-decimal-dev
libghc-decimal-doc (0.5.1-3)
decimal numbers with variable precision; documentation
libghc-decimal-prof (0.5.1-3+b2 [s390x], 0.5.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
decimal numbers with variable precision; profiling libraries
libghc-decimal-prof-0.5.1-37d8e
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-4670d
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-74f3e
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-89d5a
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-a022a
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-ad4b8
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-cef5c
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-decimal-prof-0.5.1-f036c
本虛擬套件由這些套件填實: libghc-decimal-prof
libghc-deepseq-dev (= 1.4.4.0)
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-2ad33
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-5010d
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-5e065
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-76304
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-9f093
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-a2b24
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-a6451
本虛擬套件由這些套件填實: ghc
libghc-deepseq-dev-1.4.4.0-f63ee
本虛擬套件由這些套件填實: ghc
libghc-deepseq-doc (= 1.4.4.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-deepseq-generics-dev (0.2.0.0-6+b2 [s390x], 0.2.0.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC.Generics-based Control.DeepSeq.rnf implementation
libghc-deepseq-generics-dev-0.2.0.0-0e71c
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-2ef42
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-6116b
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-7abfc
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-81cfd
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-ab4ac
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-dde23
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-dev-0.2.0.0-fd270
本虛擬套件由這些套件填實: libghc-deepseq-generics-dev
libghc-deepseq-generics-doc (0.2.0.0-6)
GHC.Generics-based Control.DeepSeq.rnf implementation; documentation
libghc-deepseq-generics-prof (0.2.0.0-6+b2 [s390x], 0.2.0.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC.Generics-based Control.DeepSeq.rnf implementation; profiling libraries
libghc-deepseq-generics-prof-0.2.0.0-0e71c
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-2ef42
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-6116b
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-7abfc
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-81cfd
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-ab4ac
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-dde23
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-generics-prof-0.2.0.0-fd270
本虛擬套件由這些套件填實: libghc-deepseq-generics-prof
libghc-deepseq-prof (= 1.4.4.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-2ad33
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-5010d
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-5e065
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-76304
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-9f093
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-a2b24
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-a6451
本虛擬套件由這些套件填實: ghc-prof
libghc-deepseq-prof-1.4.4.0-f63ee
本虛擬套件由這些套件填實: ghc-prof
libghc-dense-linear-algebra-dev (0.1.0.0-3+b3 [s390x], 0.1.0.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.0-3+b1 [mips64el, mipsel])
pure Haskell implementation of linear algebra
libghc-dense-linear-algebra-dev-0.1.0.0-0be65
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-0da41
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-0def2
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-5c36b
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-72816
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-b59c0
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-b8dd0
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-dev-0.1.0.0-ed173
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-dev
libghc-dense-linear-algebra-doc (0.1.0.0-3)
pure Haskell implementation of linear algebra; documentation
libghc-dense-linear-algebra-prof (0.1.0.0-3+b3 [s390x], 0.1.0.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.0-3+b1 [mips64el, mipsel])
pure Haskell implementation of linear algebra; profiling libraries
libghc-dense-linear-algebra-prof-0.1.0.0-0be65
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-0da41
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-0def2
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-5c36b
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-72816
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-b59c0
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-b8dd0
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dense-linear-algebra-prof-0.1.0.0-ed173
本虛擬套件由這些套件填實: libghc-dense-linear-algebra-prof
libghc-dependent-map-dev (0.4.0.0-1+b3 [s390x], 0.4.0.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.0.0-1+b1 [mips64el, mipsel])
dependent finite maps
libghc-dependent-map-dev-0.4.0.0-02ed3
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-05a3c
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-39ff7
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-4b2f9
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-4e7dd
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-567a8
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-6c84e
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-dev-0.4.0.0-718f5
本虛擬套件由這些套件填實: libghc-dependent-map-dev
libghc-dependent-map-doc (0.4.0.0-1)
dependent finite maps; documentation
libghc-dependent-map-prof (0.4.0.0-1+b3 [s390x], 0.4.0.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.0.0-1+b1 [mips64el, mipsel])
dependent finite maps; profiling libraries
libghc-dependent-map-prof-0.4.0.0-02ed3
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-05a3c
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-39ff7
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-4b2f9
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-4e7dd
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-567a8
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-6c84e
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-map-prof-0.4.0.0-718f5
本虛擬套件由這些套件填實: libghc-dependent-map-prof
libghc-dependent-sum-dev (0.7.1.0-1+b3 [s390x], 0.7.1.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.7.1.0-1+b1 [mips64el, mipsel])
Dependent sum type
libghc-dependent-sum-dev-0.7.1.0-0de6f
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-42449
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-6686f
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-a9e04
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-b3873
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-be62c
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-bf092
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-dev-0.7.1.0-f1305
本虛擬套件由這些套件填實: libghc-dependent-sum-dev
libghc-dependent-sum-doc (0.7.1.0-1)
Dependent sum type; documentation
libghc-dependent-sum-prof (0.7.1.0-1+b3 [s390x], 0.7.1.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.7.1.0-1+b1 [mips64el, mipsel])
Dependent sum type; profiling libraries
libghc-dependent-sum-prof-0.7.1.0-0de6f
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-42449
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-6686f
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-a9e04
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-b3873
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-be62c
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-bf092
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-prof-0.7.1.0-f1305
本虛擬套件由這些套件填實: libghc-dependent-sum-prof
libghc-dependent-sum-template-dev (0.1.0.3-1+b3 [s390x], 0.1.0.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.3-1+b1 [mips64el, mipsel])
Automatically generate instances of classes in dependent-sum package
libghc-dependent-sum-template-dev-0.1.0.3-04341
本虛擬套件由這些套件填實: libghc-dependent-sum-template-dev
libghc-dependent-sum-template-dev-0.1.0.3-6eeae
本虛擬套件由這些套件填實: libghc-dependent-sum-template-dev
libghc-dependent-sum-template-dev-0.1.0.3-816b2
本虛擬套件由這些套件填實: libghc-dependent-sum-template-dev
libghc-dependent-sum-template-dev-0.1.0.3-86bc7
本虛擬套件由這些套件填實: libghc-dependent-sum-template-dev
libghc-dependent-sum-template-dev-0.1.0.3-fea5e
本虛擬套件由這些套件填實: libghc-dependent-sum-template-dev
libghc-dependent-sum-template-doc (0.1.0.3-1)
Automatically generate instances of classes in dependent-sum package; documentation
libghc-dependent-sum-template-prof (0.1.0.3-1+b3 [s390x], 0.1.0.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.3-1+b1 [mips64el, mipsel])
Automatically generate instances of classes in dependent-sum package; profiling libraries
libghc-dependent-sum-template-prof-0.1.0.3-04341
本虛擬套件由這些套件填實: libghc-dependent-sum-template-prof
libghc-dependent-sum-template-prof-0.1.0.3-6eeae
本虛擬套件由這些套件填實: libghc-dependent-sum-template-prof
libghc-dependent-sum-template-prof-0.1.0.3-816b2
本虛擬套件由這些套件填實: libghc-dependent-sum-template-prof
libghc-dependent-sum-template-prof-0.1.0.3-86bc7
本虛擬套件由這些套件填實: libghc-dependent-sum-template-prof
libghc-dependent-sum-template-prof-0.1.0.3-fea5e
本虛擬套件由這些套件填實: libghc-dependent-sum-template-prof
libghc-deque-dev (0.4.3-1+b2 [s390x], 0.4.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
double-ended queues
libghc-deque-dev-0.4.3-04ae6
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-08e6d
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-1b17d
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-21b6a
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-267cb
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-71784
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-7735f
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-8cab7
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-dev-0.4.3-99c34
本虛擬套件由這些套件填實: libghc-deque-dev
libghc-deque-doc (0.4.3-1)
double-ended queues; documentation
libghc-deque-prof (0.4.3-1+b2 [s390x], 0.4.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
double-ended queues; profiling libraries
libghc-deque-prof-0.4.3-04ae6
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-08e6d
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-1b17d
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-21b6a
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-267cb
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-71784
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-7735f
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-8cab7
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deque-prof-0.4.3-99c34
本虛擬套件由這些套件填實: libghc-deque-prof
libghc-deriving-compat-dev (0.5.9-1)
backports of GHC deriving extensions
libghc-deriving-compat-dev-0.5.9-5ccb4
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-71080
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-73ef5
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-76f38
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-9d88e
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-adb6c
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-afe79
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-dev-0.5.9-cf9da
本虛擬套件由這些套件填實: libghc-deriving-compat-dev
libghc-deriving-compat-doc (0.5.9-1)
backports of GHC deriving extensions; documentation
libghc-deriving-compat-prof (0.5.9-1)
backports of GHC deriving extensions; profiling libraries
libghc-deriving-compat-prof-0.5.9-5ccb4
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-71080
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-73ef5
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-76f38
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-9d88e
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-adb6c
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-afe79
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-deriving-compat-prof-0.5.9-cf9da
本虛擬套件由這些套件填實: libghc-deriving-compat-prof
libghc-descriptive-dev (0.9.5-3+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.9.5-3+b1 [armel, armhf, i386])
Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.
libghc-descriptive-dev-0.9.5-16509
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-1b644
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-4059a
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-51f96
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-a20bc
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-cafea
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-dafc1
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-dev-0.9.5-e6e82
本虛擬套件由這些套件填實: libghc-descriptive-dev
libghc-descriptive-doc (0.9.5-3)
Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.; documentation
libghc-descriptive-prof (0.9.5-3+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.9.5-3+b1 [armel, armhf, i386])
Self-describing consumers/parsers; forms, cmd-line args, JSON, etc.; profiling libraries
libghc-descriptive-prof-0.9.5-16509
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-1b644
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-4059a
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-51f96
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-a20bc
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-cafea
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-dafc1
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-descriptive-prof-0.9.5-e6e82
本虛擬套件由這些套件填實: libghc-descriptive-prof
libghc-diagrams-cairo-dev (1.4.1.1-1+b3 [s390x], 1.4.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.1.1-1+b1 [mips64el, mipsel])
Cairo backend for the diagrams drawing EDSL
libghc-diagrams-cairo-dev-1.4.1.1-0836e
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-32c82
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-47b83
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-747dc
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-7b9f3
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-a18b0
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-badf1
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-d0387
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-dev-1.4.1.1-ebacf
本虛擬套件由這些套件填實: libghc-diagrams-cairo-dev
libghc-diagrams-cairo-doc (1.4.1.1-1)
Cairo backend for the diagrams drawing EDSL; documentation
libghc-diagrams-cairo-prof (1.4.1.1-1+b3 [s390x], 1.4.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.1.1-1+b1 [mips64el, mipsel])
Cairo backend for the diagrams drawing EDSL; profiling libraries
libghc-diagrams-cairo-prof-1.4.1.1-0836e
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-32c82
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-47b83
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-747dc
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-7b9f3
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-a18b0
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-badf1
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-d0387
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-cairo-prof-1.4.1.1-ebacf
本虛擬套件由這些套件填實: libghc-diagrams-cairo-prof
libghc-diagrams-core-dev (1.4.2-2)
Core libraries for diagrams EDSL
libghc-diagrams-core-dev-1.4.2-13b25
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-22992
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-4a79f
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-62d66
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-aac09
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-b6b55
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-db8e8
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-de52e
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-dev-1.4.2-e1321
本虛擬套件由這些套件填實: libghc-diagrams-core-dev
libghc-diagrams-core-doc (1.4.2-2)
Core libraries for diagrams EDSL; documentation
libghc-diagrams-core-prof (1.4.2-2)
Core libraries for diagrams EDSL; profiling libraries
libghc-diagrams-core-prof-1.4.2-13b25
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-22992
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-4a79f
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-62d66
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-aac09
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-b6b55
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-db8e8
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-de52e
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-core-prof-1.4.2-e1321
本虛擬套件由這些套件填實: libghc-diagrams-core-prof
libghc-diagrams-dev (1.4-5)
embedded domain-specific language for declarative vector graphics
libghc-diagrams-doc (1.4-5)
Embedded domain-specific language for declarative vector graphics
libghc-diagrams-gtk-dev (1.4-7+b3 [s390x], 1.4-7+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4-7+b1 [mips64el, mipsel])
Backend for rendering diagrams directly to GTK windows
libghc-diagrams-gtk-dev-1.4-4fbe9
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-524aa
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-5c4a0
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-859fe
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-9eb99
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-aed9d
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-ba3a8
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-e0c8e
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-dev-1.4-ea823
本虛擬套件由這些套件填實: libghc-diagrams-gtk-dev
libghc-diagrams-gtk-doc (1.4-7)
Backend for rendering diagrams directly to GTK windows; documentation
libghc-diagrams-gtk-prof (1.4-7+b3 [s390x], 1.4-7+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4-7+b1 [mips64el, mipsel])
Backend for rendering diagrams directly to GTK windows; profiling libraries
libghc-diagrams-gtk-prof-1.4-4fbe9
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-524aa
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-5c4a0
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-859fe
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-9eb99
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-aed9d
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-ba3a8
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-e0c8e
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-gtk-prof-1.4-ea823
本虛擬套件由這些套件填實: libghc-diagrams-gtk-prof
libghc-diagrams-lib-dev (1.4.3-1+b3 [s390x], 1.4.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.3-1+b1 [mips64el, mipsel])
Embedded domain-specific language for declarative graphics
libghc-diagrams-lib-dev-1.4.3-07962
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-37542
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-38b03
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-5f4d6
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-8479d
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-98f97
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-c0ab6
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-e451f
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-dev-1.4.3-fb97c
本虛擬套件由這些套件填實: libghc-diagrams-lib-dev
libghc-diagrams-lib-doc (1.4.3-1)
Embedded domain-specific language for declarative graphics; documentation
libghc-diagrams-lib-prof (1.4.3-1+b3 [s390x], 1.4.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.3-1+b1 [mips64el, mipsel])
Embedded domain-specific language for declarative graphics; profiling libraries
libghc-diagrams-lib-prof-1.4.3-07962
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-37542
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-38b03
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-5f4d6
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-8479d
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-98f97
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-c0ab6
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-e451f
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-lib-prof-1.4.3-fb97c
本虛擬套件由這些套件填實: libghc-diagrams-lib-prof
libghc-diagrams-prof (1.4-5)
Embedded domain-specific language for declarative vector graphics
libghc-diagrams-solve-dev (0.1.2-1+b2 [s390x], 0.1.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure Haskell solver routines used by diagrams
libghc-diagrams-solve-dev-0.1.2-615d7
本虛擬套件由這些套件填實: libghc-diagrams-solve-dev
libghc-diagrams-solve-dev-0.1.2-6b085
本虛擬套件由這些套件填實: libghc-diagrams-solve-dev
libghc-diagrams-solve-dev-0.1.2-728c4
本虛擬套件由這些套件填實: libghc-diagrams-solve-dev
libghc-diagrams-solve-dev-0.1.2-d4352
本虛擬套件由這些套件填實: libghc-diagrams-solve-dev
libghc-diagrams-solve-doc (0.1.2-1)
pure Haskell solver routines used by diagrams; documentation
libghc-diagrams-solve-prof (0.1.2-1+b2 [s390x], 0.1.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure Haskell solver routines used by diagrams; profiling libraries
libghc-diagrams-solve-prof-0.1.2-615d7
本虛擬套件由這些套件填實: libghc-diagrams-solve-prof
libghc-diagrams-solve-prof-0.1.2-6b085
本虛擬套件由這些套件填實: libghc-diagrams-solve-prof
libghc-diagrams-solve-prof-0.1.2-728c4
本虛擬套件由這些套件填實: libghc-diagrams-solve-prof
libghc-diagrams-solve-prof-0.1.2-d4352
本虛擬套件由這些套件填實: libghc-diagrams-solve-prof
libghc-diagrams-svg-dev (1.4.3-1+b3 [s390x], 1.4.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.3-1+b1 [mips64el, mipsel])
SVG backend for diagrams drawing EDSL
libghc-diagrams-svg-dev-1.4.3-4e0a5
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-8f642
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-a131c
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-c2004
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-c3433
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-c8676
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-ccc1c
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-f7788
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-dev-1.4.3-fc349
本虛擬套件由這些套件填實: libghc-diagrams-svg-dev
libghc-diagrams-svg-doc (1.4.3-1)
SVG backend for diagrams drawing EDSL; documentation
libghc-diagrams-svg-prof (1.4.3-1+b3 [s390x], 1.4.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.3-1+b1 [mips64el, mipsel])
SVG backend for diagrams drawing EDSL; profiling libraries
libghc-diagrams-svg-prof-1.4.3-4e0a5
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-8f642
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-a131c
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-c2004
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-c3433
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-c8676
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-ccc1c
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-f7788
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-diagrams-svg-prof-1.4.3-fc349
本虛擬套件由這些套件填實: libghc-diagrams-svg-prof
libghc-dice-dev (0.1.0.1-1+b3 [s390x], 0.1.0.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.1-1+b1 [mips64el, mipsel])
simplistic D&D style dice-rolling system
libghc-dice-dev-0.1.0.1-63e7c
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-7a306
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-99860
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-b84f9
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-c3d9b
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-cc22c
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-d52f0
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-dev-0.1.0.1-f419c
本虛擬套件由這些套件填實: libghc-dice-dev
libghc-dice-doc (0.1.0.1-1)
simplistic D&D style dice-rolling system; documentation
libghc-dice-entropy-conduit-dev (1.0.0.3-1+b3 [s390x], 1.0.0.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.0.3-1+b1 [mips64el, mipsel])
secure n-sided dice rolls and random sampling
libghc-dice-entropy-conduit-dev-1.0.0.3-0da48
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-14d01
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-4e065
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-67bd5
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-6a814
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-6c1f4
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-89269
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-f5a35
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-dev-1.0.0.3-fb298
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-dev
libghc-dice-entropy-conduit-doc (1.0.0.3-1)
secure n-sided dice rolls and random sampling; documentation
libghc-dice-entropy-conduit-prof (1.0.0.3-1+b3 [s390x], 1.0.0.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.0.3-1+b1 [mips64el, mipsel])
secure n-sided dice rolls and random sampling; profiling libraries
libghc-dice-entropy-conduit-prof-1.0.0.3-0da48
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-14d01
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-4e065
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-67bd5
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-6a814
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-6c1f4
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-89269
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-f5a35
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-entropy-conduit-prof-1.0.0.3-fb298
本虛擬套件由這些套件填實: libghc-dice-entropy-conduit-prof
libghc-dice-prof (0.1.0.1-1+b3 [s390x], 0.1.0.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.1-1+b1 [mips64el, mipsel])
simplistic D&D style dice-rolling system; profiling libraries
libghc-dice-prof-0.1.0.1-63e7c
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-7a306
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-99860
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-b84f9
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-c3d9b
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-cc22c
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-d52f0
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-dice-prof-0.1.0.1-f419c
本虛擬套件由這些套件填實: libghc-dice-prof
libghc-diff-dev (0.4.0-1+b2 [s390x], 0.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
O(ND) diff algorithm library
libghc-diff-dev-0.4.0-5a369
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-65b67
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-789bf
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-84aff
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-af57a
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-f4627
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-f67cc
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-dev-0.4.0-fd841
本虛擬套件由這些套件填實: libghc-diff-dev
libghc-diff-doc (0.4.0-1)
O(ND) diff algorithm library; documentation
libghc-diff-prof (0.4.0-1+b2 [s390x], 0.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
O(ND) diff algorithm library; profiling libraries
libghc-diff-prof-0.4.0-5a369
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-65b67
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-789bf
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-84aff
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-af57a
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-f4627
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-f67cc
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-diff-prof-0.4.0-fd841
本虛擬套件由這些套件填實: libghc-diff-prof
libghc-digest-dev (0.0.1.2-10+b2 [s390x], 0.0.1.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient cryptographic hash implementations for bytestrings
libghc-digest-dev-0.0.1.2-0afbb
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-2d5fd
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-52128
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-5f9e2
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-78962
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-9bdd4
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-c38a4
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-dev-0.0.1.2-eeaef
本虛擬套件由這些套件填實: libghc-digest-dev
libghc-digest-doc (0.0.1.2-10)
efficient cryptographic hash implementations bytestrings; documentation
libghc-digest-prof (0.0.1.2-10+b2 [s390x], 0.0.1.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient cryptographic hash implementations bytestrings; profiling libraries
libghc-digest-prof-0.0.1.2-0afbb
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-2d5fd
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-52128
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-5f9e2
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-78962
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-9bdd4
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-c38a4
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-digest-prof-0.0.1.2-eeaef
本虛擬套件由這些套件填實: libghc-digest-prof
libghc-dimensional-dev (1.3-2+b2 [s390x], 1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
statically checked physical dimensions
libghc-dimensional-dev-1.3-20f61
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-4aa8b
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-7700b
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-859de
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-a29be
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-b6293
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-d5032
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-dev-1.3-e3413
本虛擬套件由這些套件填實: libghc-dimensional-dev
libghc-dimensional-doc (1.3-2)
statically checked physical dimensions; documentation
libghc-dimensional-prof (1.3-2+b2 [s390x], 1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
statically checked physical dimensions; profiling libraries
libghc-dimensional-prof-1.3-20f61
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-4aa8b
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-7700b
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-859de
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-a29be
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-b6293
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-d5032
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-dimensional-prof-1.3-e3413
本虛擬套件由這些套件填實: libghc-dimensional-prof
libghc-directory-dev (= 1.3.6.0)
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-137bf
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-49fdf
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-592a7
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-61922
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-6c350
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-bf528
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-e69f4
本虛擬套件由這些套件填實: ghc
libghc-directory-dev-1.3.6.0-f1569
本虛擬套件由這些套件填實: ghc
libghc-directory-doc (= 1.3.6.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-directory-prof (= 1.3.6.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-137bf
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-49fdf
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-592a7
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-61922
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-6c350
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-bf528
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-e69f4
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-prof-1.3.6.0-f1569
本虛擬套件由這些套件填實: ghc-prof
libghc-directory-tree-dev (0.12.1-6+b2 [s390x], 0.12.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple directory-like tree datatype, with IO functions
libghc-directory-tree-dev-0.12.1-229f0
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-27846
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-33c1e
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-3e12c
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-54467
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-7de6b
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-ab251
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-dev-0.12.1-cac3a
本虛擬套件由這些套件填實: libghc-directory-tree-dev
libghc-directory-tree-doc (0.12.1-6)
simple directory-like tree datatype, with IO functions; documentation
libghc-directory-tree-prof (0.12.1-6+b2 [s390x], 0.12.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple directory-like tree datatype, with IO functions; profiling libraries
libghc-directory-tree-prof-0.12.1-229f0
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-27846
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-33c1e
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-3e12c
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-54467
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-7de6b
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-ab251
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-directory-tree-prof-0.12.1-cac3a
本虛擬套件由這些套件填實: libghc-directory-tree-prof
libghc-disk-free-space-dev (0.1.0.1-6+b2 [s390x], 0.1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
retrieve information about disk space usage
libghc-disk-free-space-dev-0.1.0.1-057a3
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-dev-0.1.0.1-3f28c
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-dev-0.1.0.1-4b1a4
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-dev-0.1.0.1-90490
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-dev-0.1.0.1-ab6fb
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-dev-0.1.0.1-b6f4f
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-dev-0.1.0.1-cdfd9
本虛擬套件由這些套件填實: libghc-disk-free-space-dev
libghc-disk-free-space-doc (0.1.0.1-6)
retrieve information about disk space usage; documentation
libghc-disk-free-space-prof (0.1.0.1-6+b2 [s390x], 0.1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
retrieve information about disk space usage; profiling libraries
libghc-disk-free-space-prof-0.1.0.1-057a3
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-disk-free-space-prof-0.1.0.1-3f28c
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-disk-free-space-prof-0.1.0.1-4b1a4
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-disk-free-space-prof-0.1.0.1-90490
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-disk-free-space-prof-0.1.0.1-ab6fb
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-disk-free-space-prof-0.1.0.1-b6f4f
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-disk-free-space-prof-0.1.0.1-cdfd9
本虛擬套件由這些套件填實: libghc-disk-free-space-prof
libghc-distributive-dev (0.6.2-1+b2 [s390x], 0.6.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
distributive functors
libghc-distributive-dev-0.6.2-396e9
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-43da7
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-837fa
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-9755a
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-add6a
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-d8f2c
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-e7465
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-dev-0.6.2-fde1c
本虛擬套件由這些套件填實: libghc-distributive-dev
libghc-distributive-doc (0.6.2-1)
distributive functors; documentation
libghc-distributive-prof (0.6.2-1+b2 [s390x], 0.6.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
distributive functors; profiling libraries
libghc-distributive-prof-0.6.2-396e9
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-43da7
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-837fa
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-9755a
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-add6a
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-d8f2c
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-e7465
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-distributive-prof-0.6.2-fde1c
本虛擬套件由這些套件填實: libghc-distributive-prof
libghc-djinn-ghc-dev (0.0.2.3-10+b3)
generate Haskell code from a type (bridge to GHC API)
libghc-djinn-ghc-dev-0.0.2.3-3fa88
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-5832f
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-755bf
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-a4b87
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-a81ef
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-b9922
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-ee09b
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-f37ac
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-dev-0.0.2.3-fc31d
本虛擬套件由這些套件填實: libghc-djinn-ghc-dev
libghc-djinn-ghc-doc (0.0.2.3-10)
generate Haskell code from a type (bridge to GHC API); documentation
libghc-djinn-ghc-prof (0.0.2.3-10+b3)
generate Haskell code from a type (bridge to GHC API); profiling libraries
libghc-djinn-ghc-prof-0.0.2.3-3fa88
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-5832f
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-755bf
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-a4b87
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-a81ef
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-b9922
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-ee09b
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-f37ac
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-ghc-prof-0.0.2.3-fc31d
本虛擬套件由這些套件填實: libghc-djinn-ghc-prof
libghc-djinn-lib-dev (0.0.1.3-4+b2 [s390x], 0.0.1.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generate Haskell code from a type
libghc-djinn-lib-dev-0.0.1.3-0560d
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-36e3b
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-48919
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-6b374
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-a21d1
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-a9857
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-ade36
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-dev-0.0.1.3-ee778
本虛擬套件由這些套件填實: libghc-djinn-lib-dev
libghc-djinn-lib-doc (0.0.1.3-4)
Generate Haskell code from a type; documentation
libghc-djinn-lib-prof (0.0.1.3-4+b2 [s390x], 0.0.1.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generate Haskell code from a type; profiling libraries
libghc-djinn-lib-prof-0.0.1.3-0560d
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-36e3b
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-48919
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-6b374
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-a21d1
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-a9857
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-ade36
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-djinn-lib-prof-0.0.1.3-ee778
本虛擬套件由這些套件填實: libghc-djinn-lib-prof
libghc-dlist-dev (0.8.0.8-1+b2 [s390x], 0.8.0.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for Differences lists
libghc-dlist-dev-0.8.0.8-0c2aa
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-1f065
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-3fa6f
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-7f473
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-ba2a8
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-c6aa3
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-e1158
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-dev-0.8.0.8-e7936
本虛擬套件由這些套件填實: libghc-dlist-dev
libghc-dlist-doc (0.8.0.8-1)
Haskell library for Differences lists; documentation
libghc-dlist-instances-dev (0.1.1.1-6+b2 [s390x], 0.1.1.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
difference lists instances
libghc-dlist-instances-dev-0.1.1.1-9bf0f
本虛擬套件由這些套件填實: libghc-dlist-instances-dev
libghc-dlist-instances-dev-0.1.1.1-a09b7
本虛擬套件由這些套件填實: libghc-dlist-instances-dev
libghc-dlist-instances-dev-0.1.1.1-aee41
本虛擬套件由這些套件填實: libghc-dlist-instances-dev
libghc-dlist-instances-dev-0.1.1.1-fd7bb
本虛擬套件由這些套件填實: libghc-dlist-instances-dev
libghc-dlist-instances-doc (0.1.1.1-6)
difference lists instances; documentation
libghc-dlist-instances-prof (0.1.1.1-6+b2 [s390x], 0.1.1.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
difference lists instances; profiling libraries
libghc-dlist-instances-prof-0.1.1.1-9bf0f
本虛擬套件由這些套件填實: libghc-dlist-instances-prof
libghc-dlist-instances-prof-0.1.1.1-a09b7
本虛擬套件由這些套件填實: libghc-dlist-instances-prof
libghc-dlist-instances-prof-0.1.1.1-aee41
本虛擬套件由這些套件填實: libghc-dlist-instances-prof
libghc-dlist-instances-prof-0.1.1.1-fd7bb
本虛擬套件由這些套件填實: libghc-dlist-instances-prof
libghc-dlist-prof (0.8.0.8-1+b2 [s390x], 0.8.0.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for Differences lists; profiling libraries
libghc-dlist-prof-0.8.0.8-0c2aa
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-1f065
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-3fa6f
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-7f473
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-ba2a8
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-c6aa3
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-e1158
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dlist-prof-0.8.0.8-e7936
本虛擬套件由這些套件填實: libghc-dlist-prof
libghc-dns-dev (4.0.1-1+b3 [s390x], 4.0.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.0.1-1+b1 [mips64el, mipsel])
pure Haskell DNS library
libghc-dns-dev-4.0.1-1e8c1
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-374ed
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-4870b
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-7a89e
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-7de7c
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-9d168
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-d20dc
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-d90eb
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-dev-4.0.1-ea033
本虛擬套件由這些套件填實: libghc-dns-dev
libghc-dns-doc (4.0.1-1)
pure Haskell DNS library; documentation
libghc-dns-prof (4.0.1-1+b3 [s390x], 4.0.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.0.1-1+b1 [mips64el, mipsel])
pure Haskell DNS library; profiling libraries
libghc-dns-prof-4.0.1-1e8c1
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-374ed
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-4870b
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-7a89e
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-7de7c
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-9d168
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-d20dc
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-d90eb
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-dns-prof-4.0.1-ea033
本虛擬套件由這些套件填實: libghc-dns-prof
libghc-doclayout-dev (0.3-1)
Prettyprinting library for laying out text documents
libghc-doclayout-dev-0.3-0ad46
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-2b84f
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-3e93d
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-45d4d
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-67047
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-a93e6
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-b38fe
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-dev-0.3-b7389
本虛擬套件由這些套件填實: libghc-doclayout-dev
libghc-doclayout-doc (0.3-1)
Prettyprinting library for laying out text documents; documentation
libghc-doclayout-prof (0.3-1)
Prettyprinting library for laying out text documents; profiling libraries
libghc-doclayout-prof-0.3-0ad46
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-2b84f
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-3e93d
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-45d4d
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-67047
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-a93e6
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-b38fe
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doclayout-prof-0.3-b7389
本虛擬套件由這些套件填實: libghc-doclayout-prof
libghc-doctemplates-dev (0.8.2-1)
Pandoc-style document templates
libghc-doctemplates-dev-0.8.2-110fa
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-15a9d
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-1a69f
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-2f714
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-35ba0
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-44564
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-4b8d7
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-84927
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-dev-0.8.2-904ea
本虛擬套件由這些套件填實: libghc-doctemplates-dev
libghc-doctemplates-doc (0.8.2-1)
Pandoc-style document templates; documentation
libghc-doctemplates-prof (0.8.2-1)
Pandoc-style document templates; profiling libraries
libghc-doctemplates-prof-0.8.2-110fa
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-15a9d
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-1a69f
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-2f714
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-35ba0
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-44564
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-4b8d7
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-84927
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctemplates-prof-0.8.2-904ea
本虛擬套件由這些套件填實: libghc-doctemplates-prof
libghc-doctest-dev (0.16.3-1+b3)
test interactive Haskell examples
libghc-doctest-dev-0.16.3-1b2e9
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-2eef8
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-54a22
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-79184
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-92246
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-c4b6c
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-cbfa8
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-f97db
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-dev-0.16.3-fb79f
本虛擬套件由這些套件填實: libghc-doctest-dev
libghc-doctest-doc (0.16.3-1)
test interactive Haskell examples; documentation
libghc-doctest-prof (0.16.3-1+b3)
test interactive Haskell examples; profiling libraries
libghc-doctest-prof-0.16.3-1b2e9
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-2eef8
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-54a22
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-79184
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-92246
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-c4b6c
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-cbfa8
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-f97db
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-doctest-prof-0.16.3-fb79f
本虛擬套件由這些套件填實: libghc-doctest-prof
libghc-dotgen-dev (0.4.3-1)
simple interface for building .dot graph files
libghc-dotgen-dev-0.4.3-2db69
本虛擬套件由這些套件填實: libghc-dotgen-dev
libghc-dotgen-dev-0.4.3-b5673
本虛擬套件由這些套件填實: libghc-dotgen-dev
libghc-dotgen-dev-0.4.3-f0e58
本虛擬套件由這些套件填實: libghc-dotgen-dev
libghc-dotgen-dev-0.4.3-fc0ed
本虛擬套件由這些套件填實: libghc-dotgen-dev
libghc-dotgen-doc (0.4.3-1)
simple interface for building .dot graph files; documentation
libghc-dotgen-prof (0.4.3-1)
simple interface for building .dot graph files; profiling libraries
libghc-dotgen-prof-0.4.3-2db69
本虛擬套件由這些套件填實: libghc-dotgen-prof
libghc-dotgen-prof-0.4.3-b5673
本虛擬套件由這些套件填實: libghc-dotgen-prof
libghc-dotgen-prof-0.4.3-f0e58
本虛擬套件由這些套件填實: libghc-dotgen-prof
libghc-dotgen-prof-0.4.3-fc0ed
本虛擬套件由這些套件填實: libghc-dotgen-prof
libghc-double-conversion-dev (2.0.2.0+ds1-5+b2 [s390x], 2.0.2.0+ds1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast conversion between double precision floating point and text
libghc-double-conversion-dev-2.0.2.0-057d9
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-11454
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-45aea
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-64a46
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-9264d
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-acd4b
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-e4f87
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-dev-2.0.2.0-f8251
本虛擬套件由這些套件填實: libghc-double-conversion-dev
libghc-double-conversion-doc (2.0.2.0+ds1-5)
fast conversion between double precision floating point and text; documentation
libghc-double-conversion-prof (2.0.2.0+ds1-5+b2 [s390x], 2.0.2.0+ds1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast conversion between double precision floating point and text; profiling libraries
libghc-double-conversion-prof-2.0.2.0-057d9
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-11454
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-45aea
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-64a46
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-9264d
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-acd4b
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-e4f87
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-double-conversion-prof-2.0.2.0-f8251
本虛擬套件由這些套件填實: libghc-double-conversion-prof
libghc-drbg-dev (0.5.5-7+b2 [s390x], 0.5.5-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cryptographically secure RNGs
libghc-drbg-dev-0.5.5-309d1
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-86018
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-925ff
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-92ba4
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-b1336
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-b9951
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-d3d5c
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-f0461
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-dev-0.5.5-f2e07
本虛擬套件由這些套件填實: libghc-drbg-dev
libghc-drbg-doc (0.5.5-7)
Cryptographically secure RNGs; documentation
libghc-drbg-prof (0.5.5-7+b2 [s390x], 0.5.5-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cryptographically secure RNGs; profiling libraries
libghc-drbg-prof-0.5.5-309d1
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-86018
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-925ff
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-92ba4
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-b1336
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-b9951
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-d3d5c
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-f0461
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-drbg-prof-0.5.5-f2e07
本虛擬套件由這些套件填實: libghc-drbg-prof
libghc-dual-tree-dev (0.2.2.1-1+b2 [s390x], 0.2.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Rose trees with cached and accumulating monoidal annotations
libghc-dual-tree-dev-0.2.2.1-1e200
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-dev-0.2.2.1-35701
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-dev-0.2.2.1-3a5c6
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-dev-0.2.2.1-84ee3
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-dev-0.2.2.1-8520f
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-dev-0.2.2.1-cbad2
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-dev-0.2.2.1-db4e8
本虛擬套件由這些套件填實: libghc-dual-tree-dev
libghc-dual-tree-doc (0.2.2.1-1)
Rose trees with cached and accumulating monoidal annotations; documentation
libghc-dual-tree-prof (0.2.2.1-1+b2 [s390x], 0.2.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Rose trees with cached and accumulating monoidal annotations; profiling libraries
libghc-dual-tree-prof-0.2.2.1-1e200
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dual-tree-prof-0.2.2.1-35701
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dual-tree-prof-0.2.2.1-3a5c6
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dual-tree-prof-0.2.2.1-84ee3
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dual-tree-prof-0.2.2.1-8520f
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dual-tree-prof-0.2.2.1-cbad2
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dual-tree-prof-0.2.2.1-db4e8
本虛擬套件由這些套件填實: libghc-dual-tree-prof
libghc-dynamic-state-dev (0.3.1-3+b2 [s390x], 0.3.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
optionally serializable dynamic state keyed by type
libghc-dynamic-state-dev-0.3.1-1959d
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-6b4c2
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-8dd99
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-ac52e
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-ad799
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-bda91
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-bfdf5
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-dev-0.3.1-c9a1e
本虛擬套件由這些套件填實: libghc-dynamic-state-dev
libghc-dynamic-state-doc (0.3.1-3)
optionally serializable dynamic state keyed by type; documentation
libghc-dynamic-state-prof (0.3.1-3+b2 [s390x], 0.3.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
optionally serializable dynamic state keyed by type; profiling libraries
libghc-dynamic-state-prof-0.3.1-1959d
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-6b4c2
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-8dd99
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-ac52e
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-ad799
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-bda91
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-bfdf5
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dynamic-state-prof-0.3.1-c9a1e
本虛擬套件由這些套件填實: libghc-dynamic-state-prof
libghc-dyre-dev (0.8.12-6+b2 [s390x], 0.8.12-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
dynamic reconfiguration library
libghc-dyre-dev-0.8.12-2acd7
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-2f407
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-2f67b
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-3add3
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-686ad
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-ca740
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-f1673
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-dev-0.8.12-fc4f4
本虛擬套件由這些套件填實: libghc-dyre-dev
libghc-dyre-doc (0.8.12-6)
dynamic reconfiguration library; documentation
libghc-dyre-prof (0.8.12-6+b2 [s390x], 0.8.12-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
dynamic reconfiguration library; profiling libraries
libghc-dyre-prof-0.8.12-2acd7
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-2f407
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-2f67b
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-3add3
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-686ad
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-ca740
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-f1673
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-dyre-prof-0.8.12-fc4f4
本虛擬套件由這些套件填實: libghc-dyre-prof
libghc-easy-file-dev (0.2.2-3+b2 [s390x], 0.2.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross-platform file handling
libghc-easy-file-dev-0.2.2-6ff5f
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-74c25
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-758c0
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-8df7b
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-9af9e
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-9ce65
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-b6614
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-dev-0.2.2-baa3c
本虛擬套件由這些套件填實: libghc-easy-file-dev
libghc-easy-file-doc (0.2.2-3)
Cross-platform file handling; documentation
libghc-easy-file-prof (0.2.2-3+b2 [s390x], 0.2.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross-platform file handling; profiling libraries
libghc-easy-file-prof-0.2.2-6ff5f
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-74c25
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-758c0
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-8df7b
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-9af9e
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-9ce65
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-b6614
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-easy-file-prof-0.2.2-baa3c
本虛擬套件由這些套件填實: libghc-easy-file-prof
libghc-echo-dev (0.1.3-5+b2 [s390x], 0.1.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross-platform library for handling echoing terminal input
libghc-echo-dev-0.1.3-059e4
本虛擬套件由這些套件填實: libghc-echo-dev
libghc-echo-dev-0.1.3-1e258
本虛擬套件由這些套件填實: libghc-echo-dev
libghc-echo-dev-0.1.3-3b1e7
本虛擬套件由這些套件填實: libghc-echo-dev
libghc-echo-dev-0.1.3-62f50
本虛擬套件由這些套件填實: libghc-echo-dev
libghc-echo-dev-0.1.3-848fb
本虛擬套件由這些套件填實: libghc-echo-dev
libghc-echo-dev-0.1.3-a592c
本虛擬套件由這些套件填實: libghc-echo-dev
libghc-echo-doc (0.1.3-5)
Cross-platform library for handling echoing terminal input; documentation
libghc-echo-prof (0.1.3-5+b2 [s390x], 0.1.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross-platform library for handling echoing terminal input; profiling libraries
libghc-echo-prof-0.1.3-059e4
本虛擬套件由這些套件填實: libghc-echo-prof
libghc-echo-prof-0.1.3-1e258
本虛擬套件由這些套件填實: libghc-echo-prof
libghc-echo-prof-0.1.3-3b1e7
本虛擬套件由這些套件填實: libghc-echo-prof
libghc-echo-prof-0.1.3-62f50
本虛擬套件由這些套件填實: libghc-echo-prof
libghc-echo-prof-0.1.3-848fb
本虛擬套件由這些套件填實: libghc-echo-prof
libghc-echo-prof-0.1.3-a592c
本虛擬套件由這些套件填實: libghc-echo-prof
libghc-ed25519-dev (0.0.5.0-14+b2 [s390x], 0.0.5.0-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Ed25519 cryptographic signatures
libghc-ed25519-dev-0.0.5.0-1b7da
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-55bb1
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-74cbd
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-a5935
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-ac646
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-b0e81
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-cd728
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-dev-0.0.5.0-edbf7
本虛擬套件由這些套件填實: libghc-ed25519-dev
libghc-ed25519-doc (0.0.5.0-14)
Ed25519 cryptographic signatures; documentation
libghc-ed25519-prof (0.0.5.0-14+b2 [s390x], 0.0.5.0-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Ed25519 cryptographic signatures; profiling libraries
libghc-ed25519-prof-0.0.5.0-1b7da
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-55bb1
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-74cbd
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-a5935
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-ac646
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-b0e81
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-cd728
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-ed25519-prof-0.0.5.0-edbf7
本虛擬套件由這些套件填實: libghc-ed25519-prof
libghc-edit-distance-dev (0.2.2.1-11+b2 [s390x], 0.2.2.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell fuzzy matching
libghc-edit-distance-dev-0.2.2.1-154f9
本虛擬套件由這些套件填實: libghc-edit-distance-dev
libghc-edit-distance-dev-0.2.2.1-23de2
本虛擬套件由這些套件填實: libghc-edit-distance-dev
libghc-edit-distance-dev-0.2.2.1-601f4
本虛擬套件由這些套件填實: libghc-edit-distance-dev
libghc-edit-distance-dev-0.2.2.1-6186a
本虛擬套件由這些套件填實: libghc-edit-distance-dev
libghc-edit-distance-dev-0.2.2.1-67303
本虛擬套件由這些套件填實: libghc-edit-distance-dev
libghc-edit-distance-doc (0.2.2.1-11)
Haskell fuzzy matching; documentation
libghc-edit-distance-prof (0.2.2.1-11+b2 [s390x], 0.2.2.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell fuzzy matching; profiling libraries
libghc-edit-distance-prof-0.2.2.1-154f9
本虛擬套件由這些套件填實: libghc-edit-distance-prof
libghc-edit-distance-prof-0.2.2.1-23de2
本虛擬套件由這些套件填實: libghc-edit-distance-prof
libghc-edit-distance-prof-0.2.2.1-601f4
本虛擬套件由這些套件填實: libghc-edit-distance-prof
libghc-edit-distance-prof-0.2.2.1-6186a
本虛擬套件由這些套件填實: libghc-edit-distance-prof
libghc-edit-distance-prof-0.2.2.1-67303
本虛擬套件由這些套件填實: libghc-edit-distance-prof
libghc-edit-distance-vector-dev (1.0.0.4-2+b2 [s390x], 1.0.0.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Calculate edit distances and edit scripts between vectors.
libghc-edit-distance-vector-dev-1.0.0.4-151e5
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-2381c
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-25fa5
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-2ab09
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-32baa
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-93186
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-efd8b
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-dev-1.0.0.4-fb80b
本虛擬套件由這些套件填實: libghc-edit-distance-vector-dev
libghc-edit-distance-vector-doc (1.0.0.4-2)
Calculate edit distances and edit scripts between vectors.; documentation
libghc-edit-distance-vector-prof (1.0.0.4-2+b2 [s390x], 1.0.0.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Calculate edit distances and edit scripts between vectors.; profiling libraries
libghc-edit-distance-vector-prof-1.0.0.4-151e5
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-2381c
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-25fa5
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-2ab09
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-32baa
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-93186
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-efd8b
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-edit-distance-vector-prof-1.0.0.4-fb80b
本虛擬套件由這些套件填實: libghc-edit-distance-vector-prof
libghc-either-dev (5.0.1.1-2+b2 [s390x], 5.0.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Either monad transformer
libghc-either-dev-5.0.1.1-083ff
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-0c267
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-0c992
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-118eb
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-2c9a8
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-36b0e
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-92414
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-a7ff9
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-dev-5.0.1.1-ea7c4
本虛擬套件由這些套件填實: libghc-either-dev
libghc-either-doc (5.0.1.1-2)
Either monad transformer; documentation
libghc-either-prof (5.0.1.1-2+b2 [s390x], 5.0.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Either monad transformer; profiling libraries
libghc-either-prof-5.0.1.1-083ff
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-0c267
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-0c992
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-118eb
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-2c9a8
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-36b0e
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-92414
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-a7ff9
本虛擬套件由這些套件填實: libghc-either-prof
libghc-either-prof-5.0.1.1-ea7c4
本虛擬套件由這些套件填實: libghc-either-prof
libghc-ekg-core-dev (0.1.1.7-1+b2 [s390x], 0.1.1.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
tracking of system metrics
libghc-ekg-core-dev-0.1.1.7-0293b
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-8151c
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-86c59
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-886f1
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-8bece
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-b65ea
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-e0dd1
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-dev-0.1.1.7-e22de
本虛擬套件由這些套件填實: libghc-ekg-core-dev
libghc-ekg-core-doc (0.1.1.7-1)
tracking of system metrics; documentation
libghc-ekg-core-prof (0.1.1.7-1+b2 [s390x], 0.1.1.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
tracking of system metrics; profiling libraries
libghc-ekg-core-prof-0.1.1.7-0293b
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-8151c
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-86c59
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-886f1
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-8bece
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-b65ea
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-e0dd1
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-core-prof-0.1.1.7-e22de
本虛擬套件由這些套件填實: libghc-ekg-core-prof
libghc-ekg-data (0.4.0.15-6)
remote monitoring of Haskell processes over HTTP - common files
libghc-ekg-dev (0.4.0.15-6+b4 [amd64, arm64, mipsel, ppc64el, s390x], 0.4.0.15-6+b3 [armel, armhf, i386], 0.4.0.15-6+b2 [mips64el])
remote monitoring of Haskell processes over HTTP
libghc-ekg-dev-0.4.0.15-38a51
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-55a1a
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-6e498
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-7dc47
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-83b3e
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-8ff87
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-a2485
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-dev-0.4.0.15-a63ab
本虛擬套件由這些套件填實: libghc-ekg-dev
libghc-ekg-doc (0.4.0.15-6)
remote monitoring of Haskell processes over HTTP; documentation
libghc-ekg-json-dev (0.1.0.6-7+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.1.0.6-7+b1 [armel, armhf, i386])
JSON encoding of ekg metrics
libghc-ekg-json-dev-0.1.0.6-20535
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-3fdc9
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-84f09
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-c7a78
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-c81b0
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-da228
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-fca67
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-dev-0.1.0.6-fcc86
本虛擬套件由這些套件填實: libghc-ekg-json-dev
libghc-ekg-json-doc (0.1.0.6-7)
JSON encoding of ekg metrics; documentation
libghc-ekg-json-prof (0.1.0.6-7+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.1.0.6-7+b1 [armel, armhf, i386])
JSON encoding of ekg metrics; profiling libraries
libghc-ekg-json-prof-0.1.0.6-20535
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-3fdc9
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-84f09
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-c7a78
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-c81b0
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-da228
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-fca67
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-json-prof-0.1.0.6-fcc86
本虛擬套件由這些套件填實: libghc-ekg-json-prof
libghc-ekg-prof (0.4.0.15-6+b4 [amd64, arm64, mipsel, ppc64el, s390x], 0.4.0.15-6+b3 [armel, armhf, i386], 0.4.0.15-6+b2 [mips64el])
remote monitoring of Haskell processes over HTTP; profiling libraries
libghc-ekg-prof-0.4.0.15-38a51
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-55a1a
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-6e498
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-7dc47
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-83b3e
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-8ff87
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-a2485
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-ekg-prof-0.4.0.15-a63ab
本虛擬套件由這些套件填實: libghc-ekg-prof
libghc-email-validate-dev (2.3.2.13-1+b2 [s390x], 2.3.2.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
validate an email address string against RFC 5322
libghc-email-validate-dev-2.3.2.13-04a80
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-3248b
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-34402
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-4c852
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-4ca85
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-55eb8
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-68a9b
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-dev-2.3.2.13-c9256
本虛擬套件由這些套件填實: libghc-email-validate-dev
libghc-email-validate-doc (2.3.2.13-1)
validate an email address string against RFC 5322; documentation
libghc-email-validate-prof (2.3.2.13-1+b2 [s390x], 2.3.2.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
validate an email address string against RFC 5322; profiling libraries
libghc-email-validate-prof-2.3.2.13-04a80
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-3248b
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-34402
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-4c852
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-4ca85
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-55eb8
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-68a9b
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-email-validate-prof-2.3.2.13-c9256
本虛擬套件由這些套件填實: libghc-email-validate-prof
libghc-emojis-dev (0.1-2+b1)
Conversion between emoji characters and their names
libghc-emojis-dev-0.1-20af5
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-387f0
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-51adb
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-757f5
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-8dbbf
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-a7546
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-b4aab
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-dev-0.1-e9a29
本虛擬套件由這些套件填實: libghc-emojis-dev
libghc-emojis-doc (0.1-2)
Conversion between emoji characters and their names; documentation
libghc-emojis-prof (0.1-2+b1)
Conversion between emoji characters and their names; profiling libraries
libghc-emojis-prof-0.1-20af5
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-387f0
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-51adb
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-757f5
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-8dbbf
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-a7546
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-b4aab
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-emojis-prof-0.1-e9a29
本虛擬套件由這些套件填實: libghc-emojis-prof
libghc-enclosed-exceptions-dev (1.0.3-3+b2 [s390x], 1.0.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
catching all exceptions from within an enclosed computation
libghc-enclosed-exceptions-dev-1.0.3-2c7f5
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-2e76b
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-4a6c6
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-63f07
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-8bc30
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-924e7
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-a8466
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-dev-1.0.3-f6572
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-dev
libghc-enclosed-exceptions-doc (1.0.3-3)
catching all exceptions from within an enclosed computation; documentation
libghc-enclosed-exceptions-prof (1.0.3-3+b2 [s390x], 1.0.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
catching all exceptions from within an enclosed computation; profiling libraries
libghc-enclosed-exceptions-prof-1.0.3-2c7f5
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-2e76b
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-4a6c6
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-63f07
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-8bc30
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-924e7
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-a8466
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-enclosed-exceptions-prof-1.0.3-f6572
本虛擬套件由這些套件填實: libghc-enclosed-exceptions-prof
libghc-entropy-dev (0.4.1.6-1+b2 [s390x], 0.4.1.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographically-strong entropy
libghc-entropy-dev-0.4.1.6-08035
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-22670
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-26461
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-55ccf
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-580e8
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-83c0d
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-af7d6
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-dev-0.4.1.6-d46c0
本虛擬套件由這些套件填實: libghc-entropy-dev
libghc-entropy-doc (0.4.1.6-1)
cryptographically-strong entropy; documentation
libghc-entropy-prof (0.4.1.6-1+b2 [s390x], 0.4.1.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographically-strong entropy; profiling libraries
libghc-entropy-prof-0.4.1.6-08035
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-22670
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-26461
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-55ccf
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-580e8
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-83c0d
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-af7d6
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-entropy-prof-0.4.1.6-d46c0
本虛擬套件由這些套件填實: libghc-entropy-prof
libghc-enummapset-dev (0.6.0.3-1)
IntMap and IntSet with Enum keys/elements
libghc-enummapset-dev-0.6.0.3-1ed6e
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-44a18
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-4c912
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-87f2b
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-9191b
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-ba1a4
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-d09cc
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-dev-0.6.0.3-d62fe
本虛擬套件由這些套件填實: libghc-enummapset-dev
libghc-enummapset-doc (0.6.0.3-1)
IntMap and IntSet with Enum keys/elements; documentation
libghc-enummapset-prof (0.6.0.3-1)
IntMap and IntSet with Enum keys/elements; profiling libraries
libghc-enummapset-prof-0.6.0.3-1ed6e
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-44a18
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-4c912
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-87f2b
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-9191b
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-ba1a4
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-d09cc
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-enummapset-prof-0.6.0.3-d62fe
本虛擬套件由這些套件填實: libghc-enummapset-prof
libghc-equivalence-dev (0.3.5-1+b2 [s390x], 0.3.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
maintaining an equivalence relation
libghc-equivalence-dev-0.3.5-14c3d
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-5c3f3
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-9099f
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-bcb85
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-c1a5b
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-c3f00
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-d01b2
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-dev-0.3.5-f0643
本虛擬套件由這些套件填實: libghc-equivalence-dev
libghc-equivalence-doc (0.3.5-1)
maintaining an equivalence relation; documentation
libghc-equivalence-prof (0.3.5-1+b2 [s390x], 0.3.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
maintaining an equivalence relation; profiling libraries
libghc-equivalence-prof-0.3.5-14c3d
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-5c3f3
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-9099f
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-bcb85
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-c1a5b
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-c3f00
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-d01b2
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-equivalence-prof-0.3.5-f0643
本虛擬套件由這些套件填實: libghc-equivalence-prof
libghc-erf-dev (2.0.0.0-16+b2 [s390x], 2.0.0.0-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
The error function, erf, and related functions
libghc-erf-dev-2.0.0.0-261d3
本虛擬套件由這些套件填實: libghc-erf-dev
libghc-erf-dev-2.0.0.0-2f9fe
本虛擬套件由這些套件填實: libghc-erf-dev
libghc-erf-dev-2.0.0.0-a3400
本虛擬套件由這些套件填實: libghc-erf-dev
libghc-erf-dev-2.0.0.0-b3a76
本虛擬套件由這些套件填實: libghc-erf-dev
libghc-erf-doc (2.0.0.0-16)
The error function, erf, and related functions; documentation
libghc-erf-prof (2.0.0.0-16+b2 [s390x], 2.0.0.0-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
The error function, erf, and related functions; profiling libraries
libghc-erf-prof-2.0.0.0-261d3
本虛擬套件由這些套件填實: libghc-erf-prof
libghc-erf-prof-2.0.0.0-2f9fe
本虛擬套件由這些套件填實: libghc-erf-prof
libghc-erf-prof-2.0.0.0-a3400
本虛擬套件由這些套件填實: libghc-erf-prof
libghc-erf-prof-2.0.0.0-b3a76
本虛擬套件由這些套件填實: libghc-erf-prof
libghc-errors-dev (2.3.0-3+b3 [s390x], 2.3.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.3.0-3+b1 [mips64el, mipsel])
simplified error handling
libghc-errors-dev-2.3.0-1f68b
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-439af
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-4c480
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-b74f3
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-c1a97
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-c7e58
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-c8c2c
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-dev-2.3.0-c989e
本虛擬套件由這些套件填實: libghc-errors-dev
libghc-errors-doc (2.3.0-3)
simplified error handling; documentation
libghc-errors-prof (2.3.0-3+b3 [s390x], 2.3.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.3.0-3+b1 [mips64el, mipsel])
simplified error handling; profiling libraries
libghc-errors-prof-2.3.0-1f68b
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-439af
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-4c480
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-b74f3
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-c1a97
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-c7e58
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-c8c2c
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-errors-prof-2.3.0-c989e
本虛擬套件由這些套件填實: libghc-errors-prof
libghc-esqueleto-dev (3.3.3.2-2)
type-safe EDSL for SQL on persistent backends
libghc-esqueleto-dev-3.3.3.2-09beb
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-36aef
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-3ceb0
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-52c55
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-63b06
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-7fe23
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-a7f11
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-ca84d
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-dev-3.3.3.2-d4919
本虛擬套件由這些套件填實: libghc-esqueleto-dev
libghc-esqueleto-doc (3.3.3.2-2)
type-safe EDSL for SQL on persistent backends; documentation
libghc-esqueleto-prof (3.3.3.2-2)
type-safe EDSL for SQL on persistent backends; profiling libraries
libghc-esqueleto-prof-3.3.3.2-09beb
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-36aef
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-3ceb0
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-52c55
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-63b06
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-7fe23
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-a7f11
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-ca84d
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-esqueleto-prof-3.3.3.2-d4919
本虛擬套件由這些套件填實: libghc-esqueleto-prof
libghc-exact-pi-dev (0.5.0.1-2+b2 [s390x], 0.5.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Exact rational multiples of pi (and integer powers of pi)
libghc-exact-pi-dev-0.5.0.1-56c00
本虛擬套件由這些套件填實: libghc-exact-pi-dev
libghc-exact-pi-dev-0.5.0.1-8792b
本虛擬套件由這些套件填實: libghc-exact-pi-dev
libghc-exact-pi-dev-0.5.0.1-b6532
本虛擬套件由這些套件填實: libghc-exact-pi-dev
libghc-exact-pi-dev-0.5.0.1-bf5b7
本虛擬套件由這些套件填實: libghc-exact-pi-dev
libghc-exact-pi-doc (0.5.0.1-2)
Exact rational multiples of pi (and integer powers of pi); documentation
libghc-exact-pi-prof (0.5.0.1-2+b2 [s390x], 0.5.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Exact rational multiples of pi (and integer powers of pi); profiling libraries
libghc-exact-pi-prof-0.5.0.1-56c00
本虛擬套件由這些套件填實: libghc-exact-pi-prof
libghc-exact-pi-prof-0.5.0.1-8792b
本虛擬套件由這些套件填實: libghc-exact-pi-prof
libghc-exact-pi-prof-0.5.0.1-b6532
本虛擬套件由這些套件填實: libghc-exact-pi-prof
libghc-exact-pi-prof-0.5.0.1-bf5b7
本虛擬套件由這些套件填實: libghc-exact-pi-prof
libghc-exception-transformers-dev (0.4.0.9-1)
type classes and monads for unchecked extensible exceptions
libghc-exception-transformers-dev-0.4.0.9-02435
本虛擬套件由這些套件填實: libghc-exception-transformers-dev
libghc-exception-transformers-dev-0.4.0.9-1b4ef
本虛擬套件由這些套件填實: libghc-exception-transformers-dev
libghc-exception-transformers-dev-0.4.0.9-6553d
本虛擬套件由這些套件填實: libghc-exception-transformers-dev
libghc-exception-transformers-dev-0.4.0.9-71f39
本虛擬套件由這些套件填實: libghc-exception-transformers-dev
libghc-exception-transformers-dev-0.4.0.9-833bc
本虛擬套件由這些套件填實: libghc-exception-transformers-dev
libghc-exception-transformers-doc (0.4.0.9-1)
type classes and monads for unchecked extensible exceptions; documentation
libghc-exception-transformers-prof (0.4.0.9-1)
type classes and monads for unchecked extensible exceptions; profiling libs
libghc-exception-transformers-prof-0.4.0.9-02435
本虛擬套件由這些套件填實: libghc-exception-transformers-prof
libghc-exception-transformers-prof-0.4.0.9-1b4ef
本虛擬套件由這些套件填實: libghc-exception-transformers-prof
libghc-exception-transformers-prof-0.4.0.9-6553d
本虛擬套件由這些套件填實: libghc-exception-transformers-prof
libghc-exception-transformers-prof-0.4.0.9-71f39
本虛擬套件由這些套件填實: libghc-exception-transformers-prof
libghc-exception-transformers-prof-0.4.0.9-833bc
本虛擬套件由這些套件填實: libghc-exception-transformers-prof
libghc-exceptions-dev (0.10.4-1+b2 [s390x], 0.10.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
extensible optionally-pure exceptions
libghc-exceptions-dev-0.10.4-0d7bf
本虛擬套件由這些套件填實: libghc-exceptions-dev
libghc-exceptions-dev-0.10.4-5a148
本虛擬套件由這些套件填實: libghc-exceptions-dev
libghc-exceptions-dev-0.10.4-704a6
本虛擬套件由這些套件填實: libghc-exceptions-dev
libghc-exceptions-dev-0.10.4-ad177
本虛擬套件由這些套件填實: libghc-exceptions-dev
libghc-exceptions-dev-0.10.4-daa45
本虛擬套件由這些套件填實: libghc-exceptions-dev
libghc-exceptions-dev-0.10.4-fc8c3
本虛擬套件由這些套件填實: libghc-exceptions-dev
libghc-exceptions-doc (0.10.4-1)
extensible optionally-pure exceptions; documentation
libghc-exceptions-prof (0.10.4-1+b2 [s390x], 0.10.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
extensible optionally-pure exceptions; profiling libraries
libghc-exceptions-prof-0.10.4-0d7bf
本虛擬套件由這些套件填實: libghc-exceptions-prof
libghc-exceptions-prof-0.10.4-5a148
本虛擬套件由這些套件填實: libghc-exceptions-prof
libghc-exceptions-prof-0.10.4-704a6
本虛擬套件由這些套件填實: libghc-exceptions-prof
libghc-exceptions-prof-0.10.4-ad177
本虛擬套件由這些套件填實: libghc-exceptions-prof
libghc-exceptions-prof-0.10.4-daa45
本虛擬套件由這些套件填實: libghc-exceptions-prof
libghc-exceptions-prof-0.10.4-fc8c3
本虛擬套件由這些套件填實: libghc-exceptions-prof
libghc-executable-path-dev (0.0.3.1-4+b2 [s390x], 0.0.3.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
determine full path of executable
libghc-executable-path-dev-0.0.3.1-172e9
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-182ea
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-40196
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-60a47
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-68fe5
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-bd484
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-c38b7
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-dev-0.0.3.1-ee919
本虛擬套件由這些套件填實: libghc-executable-path-dev
libghc-executable-path-doc (0.0.3.1-4)
determine full path of executable; documentation
libghc-executable-path-prof (0.0.3.1-4+b2 [s390x], 0.0.3.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
determine full path of executable; profiling libraries
libghc-executable-path-prof-0.0.3.1-172e9
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-182ea
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-40196
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-60a47
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-68fe5
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-bd484
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-c38b7
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-executable-path-prof-0.0.3.1-ee919
本虛擬套件由這些套件填實: libghc-executable-path-prof
libghc-expiring-cache-map-dev (0.0.6.1-6+b2 [s390x], 0.0.6.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
general-purpose simple caching
libghc-expiring-cache-map-dev-0.0.6.1-27c35
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-29a48
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-33a3e
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-3f72c
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-57075
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-6a513
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-6e26a
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-dev-0.0.6.1-e6009
本虛擬套件由這些套件填實: libghc-expiring-cache-map-dev
libghc-expiring-cache-map-doc (0.0.6.1-6)
general-purpose simple caching; documentation
libghc-expiring-cache-map-prof (0.0.6.1-6+b2 [s390x], 0.0.6.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
general-purpose simple caching; profiling libraries
libghc-expiring-cache-map-prof-0.0.6.1-27c35
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-29a48
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-33a3e
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-3f72c
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-57075
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-6a513
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-6e26a
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-expiring-cache-map-prof-0.0.6.1-e6009
本虛擬套件由這些套件填實: libghc-expiring-cache-map-prof
libghc-extensible-exceptions-dev (0.1.1.4-11+b2 [s390x], 0.1.1.4-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Compatibility layer for exceptions
libghc-extensible-exceptions-dev-0.1.1.4-10872
本虛擬套件由這些套件填實: libghc-extensible-exceptions-dev
libghc-extensible-exceptions-dev-0.1.1.4-3888c
本虛擬套件由這些套件填實: libghc-extensible-exceptions-dev
libghc-extensible-exceptions-dev-0.1.1.4-6dd08
本虛擬套件由這些套件填實: libghc-extensible-exceptions-dev
libghc-extensible-exceptions-dev-0.1.1.4-9cbdc
本虛擬套件由這些套件填實: libghc-extensible-exceptions-dev
libghc-extensible-exceptions-doc (0.1.1.4-11)
Compatibility layer for exceptions; documentation
libghc-extensible-exceptions-prof (0.1.1.4-11+b2 [s390x], 0.1.1.4-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Compatibility layer for exceptions; profiling libraries
libghc-extensible-exceptions-prof-0.1.1.4-10872
本虛擬套件由這些套件填實: libghc-extensible-exceptions-prof
libghc-extensible-exceptions-prof-0.1.1.4-3888c
本虛擬套件由這些套件填實: libghc-extensible-exceptions-prof
libghc-extensible-exceptions-prof-0.1.1.4-6dd08
本虛擬套件由這些套件填實: libghc-extensible-exceptions-prof
libghc-extensible-exceptions-prof-0.1.1.4-9cbdc
本虛擬套件由這些套件填實: libghc-extensible-exceptions-prof
libghc-extra-dev (1.7.4-1)
extra functions for base library
libghc-extra-dev-1.7.4-40531
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-44aa4
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-7f87a
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-b3f07
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-be884
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-f951c
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-fd649
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-dev-1.7.4-fddc5
本虛擬套件由這些套件填實: libghc-extra-dev
libghc-extra-doc (1.7.4-1)
extra functions for base library; documentation
libghc-extra-prof (1.7.4-1)
extra functions for base library; profiling libraries
libghc-extra-prof-1.7.4-40531
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-44aa4
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-7f87a
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-b3f07
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-be884
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-f951c
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-fd649
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-extra-prof-1.7.4-fddc5
本虛擬套件由這些套件填實: libghc-extra-prof
libghc-failure-dev (0.2.0.3-10+b2 [s390x], 0.2.0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple type class for success/failure computations
libghc-failure-dev-0.2.0.3-39b7d
本虛擬套件由這些套件填實: libghc-failure-dev
libghc-failure-dev-0.2.0.3-417cf
本虛擬套件由這些套件填實: libghc-failure-dev
libghc-failure-dev-0.2.0.3-91995
本虛擬套件由這些套件填實: libghc-failure-dev
libghc-failure-dev-0.2.0.3-e41eb
本虛擬套件由這些套件填實: libghc-failure-dev
libghc-failure-dev-0.2.0.3-e7cf7
本虛擬套件由這些套件填實: libghc-failure-dev
libghc-failure-doc (0.2.0.3-10)
simple type class for success/failure; documentation
libghc-failure-prof (0.2.0.3-10+b2 [s390x], 0.2.0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple type class for success/failure; profiling libraries
libghc-failure-prof-0.2.0.3-39b7d
本虛擬套件由這些套件填實: libghc-failure-prof
libghc-failure-prof-0.2.0.3-417cf
本虛擬套件由這些套件填實: libghc-failure-prof
libghc-failure-prof-0.2.0.3-91995
本虛擬套件由這些套件填實: libghc-failure-prof
libghc-failure-prof-0.2.0.3-e41eb
本虛擬套件由這些套件填實: libghc-failure-prof
libghc-failure-prof-0.2.0.3-e7cf7
本虛擬套件由這些套件填實: libghc-failure-prof
libghc-fast-logger-dev (3.0.1-1+b2 [s390x], 3.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a fast logging system
libghc-fast-logger-dev-3.0.1-077c3
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-3d82a
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-41b83
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-5d750
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-61449
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-6c871
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-a9909
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-dev-3.0.1-d8534
本虛擬套件由這些套件填實: libghc-fast-logger-dev
libghc-fast-logger-doc (3.0.1-1)
a fast logging system; documentation
libghc-fast-logger-prof (3.0.1-1+b2 [s390x], 3.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a fast logging system; profiling libraries
libghc-fast-logger-prof-3.0.1-077c3
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-3d82a
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-41b83
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-5d750
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-61449
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-6c871
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-a9909
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fast-logger-prof-3.0.1-d8534
本虛擬套件由這些套件填實: libghc-fast-logger-prof
libghc-fb-dev (2.1.1-1)
bindings to Facebook API
libghc-fb-dev-2.1.1-27b6f
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-8bb33
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-9c68e
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-c2712
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-c3991
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-c5045
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-f7547
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-f984b
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-dev-2.1.1-ff6d0
本虛擬套件由這些套件填實: libghc-fb-dev
libghc-fb-doc (2.1.1-1)
bindings to Facebook API; documentation
libghc-fb-prof (2.1.1-1)
bindings to Facebook API; profiling libraries
libghc-fb-prof-2.1.1-27b6f
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-8bb33
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-9c68e
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-c2712
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-c3991
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-c5045
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-f7547
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-f984b
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fb-prof-2.1.1-ff6d0
本虛擬套件由這些套件填實: libghc-fb-prof
libghc-fclabels-dev (2.0.5-1+b2 [s390x], 2.0.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
first-class accessor labels
libghc-fclabels-dev-2.0.5-00f32
本虛擬套件由這些套件填實: libghc-fclabels-dev
libghc-fclabels-dev-2.0.5-295ee
本虛擬套件由這些套件填實: libghc-fclabels-dev
libghc-fclabels-dev-2.0.5-3265e
本虛擬套件由這些套件填實: libghc-fclabels-dev
libghc-fclabels-dev-2.0.5-823f7
本虛擬套件由這些套件填實: libghc-fclabels-dev
libghc-fclabels-dev-2.0.5-d6a40
本虛擬套件由這些套件填實: libghc-fclabels-dev
libghc-fclabels-doc (2.0.5-1)
first-class accessor labels; documentation
libghc-fclabels-prof (2.0.5-1+b2 [s390x], 2.0.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
first-class accessor labels; profiling libraries
libghc-fclabels-prof-2.0.5-00f32
本虛擬套件由這些套件填實: libghc-fclabels-prof
libghc-fclabels-prof-2.0.5-295ee
本虛擬套件由這些套件填實: libghc-fclabels-prof
libghc-fclabels-prof-2.0.5-3265e
本虛擬套件由這些套件填實: libghc-fclabels-prof
libghc-fclabels-prof-2.0.5-823f7
本虛擬套件由這些套件填實: libghc-fclabels-prof
libghc-fclabels-prof-2.0.5-d6a40
本虛擬套件由這些套件填實: libghc-fclabels-prof
libghc-fdo-notify-dev (0.3.1-11+b4 [s390x], 0.3.1-11+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.1-11+b2 [mipsel], 0.3.1-11+b1 [mips64el])
Haskell desktop notifications
libghc-fdo-notify-dev-0.3.1-231b6
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-32ff3
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-6d779
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-73258
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-9720d
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-bd29e
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-c53bf
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-c8fa8
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-dev-0.3.1-d4e23
本虛擬套件由這些套件填實: libghc-fdo-notify-dev
libghc-fdo-notify-doc (0.3.1-11)
Haskell desktop notifications; documentation
libghc-fdo-notify-prof (0.3.1-11+b4 [s390x], 0.3.1-11+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.1-11+b2 [mipsel], 0.3.1-11+b1 [mips64el])
Haskell desktop notifications; profiling libraries
libghc-fdo-notify-prof-0.3.1-231b6
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-32ff3
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-6d779
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-73258
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-9720d
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-bd29e
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-c53bf
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-c8fa8
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-fdo-notify-prof-0.3.1-d4e23
本虛擬套件由這些套件填實: libghc-fdo-notify-prof
libghc-feed-dev (1.3.0.1-1+b4 [s390x], 1.3.0.1-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.0.1-1+b2 [mipsel], 1.3.0.1-1+b1 [mips64el])
Haskell interface to RSS and Atom feeds
libghc-feed-dev-1.3.0.1-3d549
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-5d807
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-5ed08
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-73c35
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-9d3a4
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-bb843
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-c257d
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-cddd8
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-dev-1.3.0.1-d2795
本虛擬套件由這些套件填實: libghc-feed-dev
libghc-feed-doc (1.3.0.1-1)
Haskell interface to RSS and Atom feeds; documentation
libghc-feed-prof (1.3.0.1-1+b4 [s390x], 1.3.0.1-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.0.1-1+b2 [mipsel], 1.3.0.1-1+b1 [mips64el])
Haskell interface to RSS and Atom feeds; profiling libraries
libghc-feed-prof-1.3.0.1-3d549
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-5d807
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-5ed08
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-73c35
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-9d3a4
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-bb843
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-c257d
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-cddd8
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-feed-prof-1.3.0.1-d2795
本虛擬套件由這些套件填實: libghc-feed-prof
libghc-fgl-arbitrary-dev (0.2.0.6-1)
QuickCheck support for fgl
libghc-fgl-arbitrary-dev-0.2.0.6-2197e
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-30ab8
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-44db7
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-7c143
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-7cf46
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-8440b
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-8d34e
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-dev-0.2.0.6-9b794
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-dev
libghc-fgl-arbitrary-doc (0.2.0.6-1)
QuickCheck support for fgl; documentation
libghc-fgl-arbitrary-prof (0.2.0.6-1)
QuickCheck support for fgl; profiling libraries
libghc-fgl-arbitrary-prof-0.2.0.6-2197e
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-30ab8
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-44db7
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-7c143
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-7cf46
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-8440b
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-8d34e
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-arbitrary-prof-0.2.0.6-9b794
本虛擬套件由這些套件填實: libghc-fgl-arbitrary-prof
libghc-fgl-dev (5.7.0.3-1)
Haskell graph library for GHC
libghc-fgl-dev-5.7.0.3-2fd70
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-313ee
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-395d4
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-5b6e1
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-a90d5
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-b509e
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-cd610
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-dev-5.7.0.3-ffe9c
本虛擬套件由這些套件填實: libghc-fgl-dev
libghc-fgl-doc (5.7.0.3-1)
Haskell graph library for GHC; documentation
libghc-fgl-prof (5.7.0.3-1)
Haskell graph library for GHC; profiling libraries
libghc-fgl-prof-5.7.0.3-2fd70
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-313ee
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-395d4
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-5b6e1
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-a90d5
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-b509e
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-cd610
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-fgl-prof-5.7.0.3-ffe9c
本虛擬套件由這些套件填實: libghc-fgl-prof
libghc-file-embed-dev (0.0.12.0-1+b2 [s390x], 0.0.12.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
direct file embedding library
libghc-file-embed-dev-0.0.12.0-009e7
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-972f0
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-9a673
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-cde84
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-d797b
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-ea244
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-eedac
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-dev-0.0.12.0-fe4b5
本虛擬套件由這些套件填實: libghc-file-embed-dev
libghc-file-embed-doc (0.0.12.0-1)
direct file embedding library; documentation
libghc-file-embed-prof (0.0.12.0-1+b2 [s390x], 0.0.12.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
direct file embedding library; profiling libraries
libghc-file-embed-prof-0.0.12.0-009e7
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-972f0
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-9a673
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-cde84
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-d797b
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-ea244
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-eedac
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-embed-prof-0.0.12.0-fe4b5
本虛擬套件由這些套件填實: libghc-file-embed-prof
libghc-file-location-dev (0.4.9.1-3+b3 [s390x], 0.4.9.1-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.9.1-3+b1 [mips64el, mipsel])
common functions that show file location information
libghc-file-location-dev-0.4.9.1-1707a
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-27478
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-7895c
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-9af17
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-d1075
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-ddc84
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-de366
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-dev-0.4.9.1-e0dcc
本虛擬套件由這些套件填實: libghc-file-location-dev
libghc-file-location-doc (0.4.9.1-3)
common functions that show file location information; documentation
libghc-file-location-prof (0.4.9.1-3+b3 [s390x], 0.4.9.1-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.9.1-3+b1 [mips64el, mipsel])
common functions that show file location information; profiling libraries
libghc-file-location-prof-0.4.9.1-1707a
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-27478
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-7895c
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-9af17
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-d1075
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-ddc84
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-de366
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-file-location-prof-0.4.9.1-e0dcc
本虛擬套件由這些套件填實: libghc-file-location-prof
libghc-filelock-dev (0.1.1.5-1)
portable interface to file locking (flock / LockFileEx)
libghc-filelock-dev-0.1.1.5-7dcf1
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-dev-0.1.1.5-9383a
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-dev-0.1.1.5-d342c
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-dev-0.1.1.5-d6fde
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-dev-0.1.1.5-d757d
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-dev-0.1.1.5-dc582
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-dev-0.1.1.5-f35f1
本虛擬套件由這些套件填實: libghc-filelock-dev
libghc-filelock-doc (0.1.1.5-1)
portable interface to file locking (flock / LockFileEx); documentation
libghc-filelock-prof (0.1.1.5-1)
portable interface to file locking (flock / LockFileEx); profiling libraries
libghc-filelock-prof-0.1.1.5-7dcf1
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filelock-prof-0.1.1.5-9383a
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filelock-prof-0.1.1.5-d342c
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filelock-prof-0.1.1.5-d6fde
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filelock-prof-0.1.1.5-d757d
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filelock-prof-0.1.1.5-dc582
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filelock-prof-0.1.1.5-f35f1
本虛擬套件由這些套件填實: libghc-filelock-prof
libghc-filemanip-dev (0.3.6.3-10+b2 [s390x], 0.3.6.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
expressive file and directory manipulation for Haskell
libghc-filemanip-dev-0.3.6.3-31b50
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-4e616
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-6096f
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-6db66
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-b9c54
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-da795
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-df6a4
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-dev-0.3.6.3-f7c7d
本虛擬套件由這些套件填實: libghc-filemanip-dev
libghc-filemanip-doc (0.3.6.3-10)
expressive file and directory manipulation for Haskell; documentation
libghc-filemanip-prof (0.3.6.3-10+b2 [s390x], 0.3.6.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
expressive file and directory manipulation for Haskell; profiling libraries
libghc-filemanip-prof-0.3.6.3-31b50
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-4e616
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-6096f
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-6db66
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-b9c54
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-da795
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-df6a4
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filemanip-prof-0.3.6.3-f7c7d
本虛擬套件由這些套件填實: libghc-filemanip-prof
libghc-filepath-bytestring-dev (1.4.2.1.6-2+b2 [s390x], 1.4.2.1.6-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for manipulating RawFilePaths
libghc-filepath-bytestring-dev-1.4.2.1.6-04822
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-0e60c
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-3160d
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-3639d
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-4e5c4
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-98fd9
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-a04b3
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-dev-1.4.2.1.6-a3db6
本虛擬套件由這些套件填實: libghc-filepath-bytestring-dev
libghc-filepath-bytestring-doc (1.4.2.1.6-2)
library for manipulating RawFilePaths; documentation
libghc-filepath-bytestring-prof (1.4.2.1.6-2+b2 [s390x], 1.4.2.1.6-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for manipulating RawFilePaths; profiling libraries
libghc-filepath-bytestring-prof-1.4.2.1.6-04822
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-0e60c
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-3160d
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-3639d
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-4e5c4
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-98fd9
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-a04b3
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-bytestring-prof-1.4.2.1.6-a3db6
本虛擬套件由這些套件填實: libghc-filepath-bytestring-prof
libghc-filepath-dev (= 1.4.2.1)
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-001eb
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-103b6
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-53885
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-8143b
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-a4a8b
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-b22e3
本虛擬套件由這些套件填實: ghc
libghc-filepath-dev-1.4.2.1-c7330
本虛擬套件由這些套件填實: ghc
libghc-filepath-doc (= 1.4.2.1)
本虛擬套件由這些套件填實: ghc-doc
libghc-filepath-prof (= 1.4.2.1)
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-001eb
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-103b6
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-53885
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-8143b
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-a4a8b
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-b22e3
本虛擬套件由這些套件填實: ghc-prof
libghc-filepath-prof-1.4.2.1-c7330
本虛擬套件由這些套件填實: ghc-prof
libghc-filepattern-dev (0.1.2-1+b3 [s390x], 0.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2-1+b1 [mips64el, mipsel])
file path glob-like matching
libghc-filepattern-dev-0.1.2-4884e
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-60cdb
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-7ab83
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-b5ece
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-ba55c
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-e62bc
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-e939c
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-dev-0.1.2-ef60f
本虛擬套件由這些套件填實: libghc-filepattern-dev
libghc-filepattern-doc (0.1.2-1)
file path glob-like matching; documentation
libghc-filepattern-prof (0.1.2-1+b3 [s390x], 0.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2-1+b1 [mips64el, mipsel])
file path glob-like matching; profiling libraries
libghc-filepattern-prof-0.1.2-4884e
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-60cdb
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-7ab83
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-b5ece
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-ba55c
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-e62bc
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-e939c
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filepattern-prof-0.1.2-ef60f
本虛擬套件由這些套件填實: libghc-filepattern-prof
libghc-filestore-data (0.6.4-2)
Haskell interface for versioning file stores - common files
libghc-filestore-dev (0.6.4-2+b2 [s390x], 0.6.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell interface for versioning file stores
libghc-filestore-dev-0.6.4-1bfb4
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-1c057
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-20c83
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-2af34
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-59ab5
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-6c665
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-80254
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-dev-0.6.4-823fa
本虛擬套件由這些套件填實: libghc-filestore-dev
libghc-filestore-doc (0.6.4-2)
Haskell interface for versioning file stores; documentation
libghc-filestore-prof (0.6.4-2+b2 [s390x], 0.6.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell interface for versioning file stores; profiling libraries
libghc-filestore-prof-0.6.4-1bfb4
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-1c057
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-20c83
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-2af34
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-59ab5
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-6c665
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-80254
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-filestore-prof-0.6.4-823fa
本虛擬套件由這些套件填實: libghc-filestore-prof
libghc-fingertree-dev (0.1.4.2-2+b2 [s390x], 0.1.4.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic finger-tree data structure implementation in Haskell
libghc-fingertree-dev-0.1.4.2-720ba
本虛擬套件由這些套件填實: libghc-fingertree-dev
libghc-fingertree-dev-0.1.4.2-83747
本虛擬套件由這些套件填實: libghc-fingertree-dev
libghc-fingertree-dev-0.1.4.2-967bc
本虛擬套件由這些套件填實: libghc-fingertree-dev
libghc-fingertree-dev-0.1.4.2-e37bc
本虛擬套件由這些套件填實: libghc-fingertree-dev
libghc-fingertree-dev-0.1.4.2-eb294
本虛擬套件由這些套件填實: libghc-fingertree-dev
libghc-fingertree-doc (0.1.4.2-2)
Generic finger-tree data structure implementation in Haskell; documentation
libghc-fingertree-prof (0.1.4.2-2+b2 [s390x], 0.1.4.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic finger-tree data structure implementation in Haskell; profiling libraries
libghc-fingertree-prof-0.1.4.2-720ba
本虛擬套件由這些套件填實: libghc-fingertree-prof
libghc-fingertree-prof-0.1.4.2-83747
本虛擬套件由這些套件填實: libghc-fingertree-prof
libghc-fingertree-prof-0.1.4.2-967bc
本虛擬套件由這些套件填實: libghc-fingertree-prof
libghc-fingertree-prof-0.1.4.2-e37bc
本虛擬套件由這些套件填實: libghc-fingertree-prof
libghc-fingertree-prof-0.1.4.2-eb294
本虛擬套件由這些套件填實: libghc-fingertree-prof
libghc-finite-field-dev (0.9.0-3+b3 [s390x], 0.9.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.0-3+b1 [mips64el, mipsel])
implementation of finite fields for Haskell
libghc-finite-field-dev-0.9.0-246d9
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-63057
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-6fd87
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-894c7
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-8b25e
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-93b69
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-98199
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-dev-0.9.0-a1433
本虛擬套件由這些套件填實: libghc-finite-field-dev
libghc-finite-field-doc (0.9.0-3)
implementation of finite fields for Haskell; documentation
libghc-finite-field-prof (0.9.0-3+b3 [s390x], 0.9.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.0-3+b1 [mips64el, mipsel])
implementation of finite fields for Haskell; profiling libraries
libghc-finite-field-prof-0.9.0-246d9
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-63057
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-6fd87
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-894c7
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-8b25e
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-93b69
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-98199
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-finite-field-prof-0.9.0-a1433
本虛擬套件由這些套件填實: libghc-finite-field-prof
libghc-first-class-families-dev (0.8.0.0-1)
first class type families for Haskell
libghc-first-class-families-dev-0.8.0.0-39c37
本虛擬套件由這些套件填實: libghc-first-class-families-dev
libghc-first-class-families-dev-0.8.0.0-84db0
本虛擬套件由這些套件填實: libghc-first-class-families-dev
libghc-first-class-families-dev-0.8.0.0-8ec49
本虛擬套件由這些套件填實: libghc-first-class-families-dev
libghc-first-class-families-dev-0.8.0.0-e4981
本虛擬套件由這些套件填實: libghc-first-class-families-dev
libghc-first-class-families-doc (0.8.0.0-1)
first class type families for Haskell; documentation
libghc-first-class-families-prof (0.8.0.0-1)
first class type families for Haskell; profiling libraries
libghc-first-class-families-prof-0.8.0.0-39c37
本虛擬套件由這些套件填實: libghc-first-class-families-prof
libghc-first-class-families-prof-0.8.0.0-84db0
本虛擬套件由這些套件填實: libghc-first-class-families-prof
libghc-first-class-families-prof-0.8.0.0-8ec49
本虛擬套件由這些套件填實: libghc-first-class-families-prof
libghc-first-class-families-prof-0.8.0.0-e4981
本虛擬套件由這些套件填實: libghc-first-class-families-prof
libghc-fixed-dev (0.3-1+b2 [s390x], 0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
signed 15.16 precision fixed point arithmetic
libghc-fixed-dev-0.3-1a32a
本虛擬套件由這些套件填實: libghc-fixed-dev
libghc-fixed-dev-0.3-4c7c0
本虛擬套件由這些套件填實: libghc-fixed-dev
libghc-fixed-dev-0.3-61feb
本虛擬套件由這些套件填實: libghc-fixed-dev
libghc-fixed-dev-0.3-b605f
本虛擬套件由這些套件填實: libghc-fixed-dev
libghc-fixed-dev-0.3-cd8bc
本虛擬套件由這些套件填實: libghc-fixed-dev
libghc-fixed-doc (0.3-1)
signed 15.16 precision fixed point arithmetic; documentation
libghc-fixed-prof (0.3-1+b2 [s390x], 0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
signed 15.16 precision fixed point arithmetic; profiling libraries
libghc-fixed-prof-0.3-1a32a
本虛擬套件由這些套件填實: libghc-fixed-prof
libghc-fixed-prof-0.3-4c7c0
本虛擬套件由這些套件填實: libghc-fixed-prof
libghc-fixed-prof-0.3-61feb
本虛擬套件由這些套件填實: libghc-fixed-prof
libghc-fixed-prof-0.3-b605f
本虛擬套件由這些套件填實: libghc-fixed-prof
libghc-fixed-prof-0.3-cd8bc
本虛擬套件由這些套件填實: libghc-fixed-prof
libghc-flexible-defaults-dev (0.0.3-1+b2 [s390x], 0.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generate default function implementations for complex type classes
libghc-flexible-defaults-dev-0.0.3-138b8
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-1ee8c
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-5b454
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-a3efc
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-a9cf2
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-ad5b3
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-d550a
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-dev-0.0.3-eaebf
本虛擬套件由這些套件填實: libghc-flexible-defaults-dev
libghc-flexible-defaults-doc (0.0.3-1)
generate default function implementations for complex type classes; documentation
libghc-flexible-defaults-prof (0.0.3-1+b2 [s390x], 0.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generate default function implementations for complex type classes; profiling libraries
libghc-flexible-defaults-prof-0.0.3-138b8
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-1ee8c
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-5b454
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-a3efc
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-a9cf2
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-ad5b3
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-d550a
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-flexible-defaults-prof-0.0.3-eaebf
本虛擬套件由這些套件填實: libghc-flexible-defaults-prof
libghc-floatinghex-dev (0.4-4+b2 [s390x], 0.4-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
read and write hexadecimal floating point numbers
libghc-floatinghex-dev-0.4-7ba6c
本虛擬套件由這些套件填實: libghc-floatinghex-dev
libghc-floatinghex-dev-0.4-9ca35
本虛擬套件由這些套件填實: libghc-floatinghex-dev
libghc-floatinghex-dev-0.4-b252d
本虛擬套件由這些套件填實: libghc-floatinghex-dev
libghc-floatinghex-dev-0.4-ccd69
本虛擬套件由這些套件填實: libghc-floatinghex-dev
libghc-floatinghex-dev-0.4-de6d3
本虛擬套件由這些套件填實: libghc-floatinghex-dev
libghc-floatinghex-doc (0.4-4)
read and write hexadecimal floating point numbers; documentation
libghc-floatinghex-prof (0.4-4+b2 [s390x], 0.4-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
read and write hexadecimal floating point numbers; profiling libraries
libghc-floatinghex-prof-0.4-7ba6c
本虛擬套件由這些套件填實: libghc-floatinghex-prof
libghc-floatinghex-prof-0.4-9ca35
本虛擬套件由這些套件填實: libghc-floatinghex-prof
libghc-floatinghex-prof-0.4-b252d
本虛擬套件由這些套件填實: libghc-floatinghex-prof
libghc-floatinghex-prof-0.4-ccd69
本虛擬套件由這些套件填實: libghc-floatinghex-prof
libghc-floatinghex-prof-0.4-de6d3
本虛擬套件由這些套件填實: libghc-floatinghex-prof
libghc-fmlist-dev (0.9.3-1+b2 [s390x], 0.9.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
FoldMap lists
libghc-fmlist-dev-0.9.3-3dcf5
本虛擬套件由這些套件填實: libghc-fmlist-dev
libghc-fmlist-dev-0.9.3-8069d
本虛擬套件由這些套件填實: libghc-fmlist-dev
libghc-fmlist-dev-0.9.3-ec9f7
本虛擬套件由這些套件填實: libghc-fmlist-dev
libghc-fmlist-dev-0.9.3-efc27
本虛擬套件由這些套件填實: libghc-fmlist-dev
libghc-fmlist-dev-0.9.3-f9635
本虛擬套件由這些套件填實: libghc-fmlist-dev
libghc-fmlist-doc (0.9.3-1)
FoldMap lists; documentation
libghc-fmlist-prof (0.9.3-1+b2 [s390x], 0.9.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
FoldMap lists; profiling libraries
libghc-fmlist-prof-0.9.3-3dcf5
本虛擬套件由這些套件填實: libghc-fmlist-prof
libghc-fmlist-prof-0.9.3-8069d
本虛擬套件由這些套件填實: libghc-fmlist-prof
libghc-fmlist-prof-0.9.3-ec9f7
本虛擬套件由這些套件填實: libghc-fmlist-prof
libghc-fmlist-prof-0.9.3-efc27
本虛擬套件由這些套件填實: libghc-fmlist-prof
libghc-fmlist-prof-0.9.3-f9635
本虛擬套件由這些套件填實: libghc-fmlist-prof
libghc-focuslist-dev (0.1.0.2-2+b3 [s390x], 0.1.0.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.2-2+b1 [mips64el, mipsel])
lists with a focused element
libghc-focuslist-dev-0.1.0.2-1b2c6
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-2ecd2
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-4571d
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-4a899
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-6661b
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-7dcf8
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-972c5
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-b2649
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-dev-0.1.0.2-e179c
本虛擬套件由這些套件填實: libghc-focuslist-dev
libghc-focuslist-doc (0.1.0.2-2)
lists with a focused element; documentation
libghc-focuslist-prof (0.1.0.2-2+b3 [s390x], 0.1.0.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.2-2+b1 [mips64el, mipsel])
lists with a focused element; profiling libraries
libghc-focuslist-prof-0.1.0.2-1b2c6
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-2ecd2
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-4571d
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-4a899
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-6661b
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-7dcf8
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-972c5
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-b2649
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-focuslist-prof-0.1.0.2-e179c
本虛擬套件由這些套件填實: libghc-focuslist-prof
libghc-fold-debounce-dev (0.2.0.9-2+b2 [s390x], 0.2.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fold multiple events that happen in a time period
libghc-fold-debounce-dev-0.2.0.9-26c4f
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-6b2d9
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-793f3
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-99b66
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-9e849
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-e9c14
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-ee6c8
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-dev-0.2.0.9-f9156
本虛擬套件由這些套件填實: libghc-fold-debounce-dev
libghc-fold-debounce-doc (0.2.0.9-2)
fold multiple events that happen in a time period; documentation
libghc-fold-debounce-prof (0.2.0.9-2+b2 [s390x], 0.2.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fold multiple events that happen in a time period; profiling libraries
libghc-fold-debounce-prof-0.2.0.9-26c4f
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-6b2d9
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-793f3
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-99b66
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-9e849
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-e9c14
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-ee6c8
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-fold-debounce-prof-0.2.0.9-f9156
本虛擬套件由這些套件填實: libghc-fold-debounce-prof
libghc-foldl-dev (1.4.6-1+b3 [s390x], 1.4.6-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.6-1+b1 [mips64el, mipsel])
composable, streaming, and efficient left folds
libghc-foldl-dev-1.4.6-0c4bb
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-2b931
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-3f7a3
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-59625
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-5b1f3
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-5d346
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-7da55
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-8c696
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-dev-1.4.6-dff1e
本虛擬套件由這些套件填實: libghc-foldl-dev
libghc-foldl-doc (1.4.6-1)
composable, streaming, and efficient left folds; documentation
libghc-foldl-prof (1.4.6-1+b3 [s390x], 1.4.6-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.4.6-1+b1 [mips64el, mipsel])
composable, streaming, and efficient left folds; profiling libraries
libghc-foldl-prof-1.4.6-0c4bb
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-2b931
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-3f7a3
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-59625
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-5b1f3
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-5d346
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-7da55
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-8c696
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-foldl-prof-1.4.6-dff1e
本虛擬套件由這些套件填實: libghc-foldl-prof
libghc-formatting-dev (6.3.7-2+b2 [s390x], 6.3.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
combinator-based type-safe formatting
libghc-formatting-dev-6.3.7-572fc
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-76df2
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-7e3f3
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-8f6df
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-92d50
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-b18af
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-c62a2
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-dev-6.3.7-f9ea5
本虛擬套件由這些套件填實: libghc-formatting-dev
libghc-formatting-doc (6.3.7-2)
combinator-based type-safe formatting; documentation
libghc-formatting-prof (6.3.7-2+b2 [s390x], 6.3.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
combinator-based type-safe formatting; profiling libraries
libghc-formatting-prof-6.3.7-572fc
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-76df2
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-7e3f3
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-8f6df
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-92d50
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-b18af
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-c62a2
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-formatting-prof-6.3.7-f9ea5
本虛擬套件由這些套件填實: libghc-formatting-prof
libghc-foundation-dev (0.0.25-1+b2 [s390x], 0.0.25-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
alternative prelude with batteries and no dependencies
libghc-foundation-dev-0.0.25-52a65
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-53457
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-679ad
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-88e6b
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-8dd93
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-aa1d3
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-ce925
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-dev-0.0.25-ffb6d
本虛擬套件由這些套件填實: libghc-foundation-dev
libghc-foundation-doc (0.0.25-1)
alternative prelude with batteries and no dependencies; documentation
libghc-foundation-prof (0.0.25-1+b2 [s390x], 0.0.25-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
alternative prelude with batteries and no dependencies; profiling libraries
libghc-foundation-prof-0.0.25-52a65
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-53457
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-679ad
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-88e6b
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-8dd93
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-aa1d3
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-ce925
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-foundation-prof-0.0.25-ffb6d
本虛擬套件由這些套件填實: libghc-foundation-prof
libghc-free-dev (5.1.3-1+b2 [s390x], 5.1.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Monads for free
libghc-free-dev-5.1.3-0cdf0
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-45bd2
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-54cd0
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-5f032
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-7630d
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-882b5
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-a7c5b
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-b36cb
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-dev-5.1.3-bf041
本虛擬套件由這些套件填實: libghc-free-dev
libghc-free-doc (5.1.3-1)
Monads for free; documentation
libghc-free-prof (5.1.3-1+b2 [s390x], 5.1.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Monads for free; profiling libraries
libghc-free-prof-5.1.3-0cdf0
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-45bd2
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-54cd0
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-5f032
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-7630d
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-882b5
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-a7c5b
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-b36cb
本虛擬套件由這些套件填實: libghc-free-prof
libghc-free-prof-5.1.3-bf041
本虛擬套件由這些套件填實: libghc-free-prof
libghc-from-sum-dev (0.2.3.0-1+b2 [s390x], 0.2.3.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
canonical fromMaybeM and fromEitherM functions
libghc-from-sum-dev-0.2.3.0-0d3dd
本虛擬套件由這些套件填實: libghc-from-sum-dev
libghc-from-sum-dev-0.2.3.0-2516d
本虛擬套件由這些套件填實: libghc-from-sum-dev
libghc-from-sum-dev-0.2.3.0-461ae
本虛擬套件由這些套件填實: libghc-from-sum-dev
libghc-from-sum-dev-0.2.3.0-54b47
本虛擬套件由這些套件填實: libghc-from-sum-dev
libghc-from-sum-dev-0.2.3.0-b8560
本虛擬套件由這些套件填實: libghc-from-sum-dev
libghc-from-sum-dev-0.2.3.0-e3d4f
本虛擬套件由這些套件填實: libghc-from-sum-dev
libghc-from-sum-doc (0.2.3.0-1)
canonical fromMaybeM and fromEitherM functions; documentation
libghc-from-sum-prof (0.2.3.0-1+b2 [s390x], 0.2.3.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
canonical fromMaybeM and fromEitherM functions; profiling libraries
libghc-from-sum-prof-0.2.3.0-0d3dd
本虛擬套件由這些套件填實: libghc-from-sum-prof
libghc-from-sum-prof-0.2.3.0-2516d
本虛擬套件由這些套件填實: libghc-from-sum-prof
libghc-from-sum-prof-0.2.3.0-461ae
本虛擬套件由這些套件填實: libghc-from-sum-prof
libghc-from-sum-prof-0.2.3.0-54b47
本虛擬套件由這些套件填實: libghc-from-sum-prof
libghc-from-sum-prof-0.2.3.0-b8560
本虛擬套件由這些套件填實: libghc-from-sum-prof
libghc-from-sum-prof-0.2.3.0-e3d4f
本虛擬套件由這些套件填實: libghc-from-sum-prof
libghc-fsnotify-dev (0.3.0.1-3+b3 [s390x], 0.3.0.1-3+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.3.0.1-3+b1 [mips64el])
file creation/modification/deletion notification
libghc-fsnotify-dev-0.3.0.1-17607
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-57caf
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-7479d
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-8eb64
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-94793
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-ad65b
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-ba8f8
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-dev-0.3.0.1-ef0ba
本虛擬套件由這些套件填實: libghc-fsnotify-dev
libghc-fsnotify-doc (0.3.0.1-3)
file creation/modification/deletion notification; documentation
libghc-fsnotify-prof (0.3.0.1-3+b3 [s390x], 0.3.0.1-3+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.3.0.1-3+b1 [mips64el])
file creation/modification/deletion notification; profiling libraries
libghc-fsnotify-prof-0.3.0.1-17607
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-57caf
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-7479d
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-8eb64
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-94793
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-ad65b
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-ba8f8
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-fsnotify-prof-0.3.0.1-ef0ba
本虛擬套件由這些套件填實: libghc-fsnotify-prof
libghc-gd-dev (3000.7.3-13+b2 [s390x], 3000.7.3-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to the GD graphics library
libghc-gd-dev-3000.7.3-20638
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-53226
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-acc15
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-c4bb7
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-c62e8
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-c940e
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-d7133
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-dev-3000.7.3-dc28f
本虛擬套件由這些套件填實: libghc-gd-dev
libghc-gd-doc (3000.7.3-13)
bindings to the GD graphics library; documentation
libghc-gd-prof (3000.7.3-13+b2 [s390x], 3000.7.3-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to the GD graphics library; profiling libraries
libghc-gd-prof-3000.7.3-20638
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-53226
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-acc15
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-c4bb7
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-c62e8
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-c940e
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-d7133
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-gd-prof-3000.7.3-dc28f
本虛擬套件由這些套件填實: libghc-gd-prof
libghc-generic-data-dev (0.8.3.0-1)
deriving instances with GHC.Generics and related utils
libghc-generic-data-dev-0.8.3.0-03c6c
本虛擬套件由這些套件填實: libghc-generic-data-dev
libghc-generic-data-dev-0.8.3.0-08887
本虛擬套件由這些套件填實: libghc-generic-data-dev
libghc-generic-data-dev-0.8.3.0-4c5e5
本虛擬套件由這些套件填實: libghc-generic-data-dev
libghc-generic-data-dev-0.8.3.0-663ac
本虛擬套件由這些套件填實: libghc-generic-data-dev
libghc-generic-data-dev-0.8.3.0-68171
本虛擬套件由這些套件填實: libghc-generic-data-dev
libghc-generic-data-dev-0.8.3.0-fd506
本虛擬套件由這些套件填實: libghc-generic-data-dev
libghc-generic-data-doc (0.8.3.0-1)
deriving instances with GHC.Generics and related utils; documentation
libghc-generic-data-prof (0.8.3.0-1)
deriving instances with GHC.Generics and related utils; profiling libraries
libghc-generic-data-prof-0.8.3.0-03c6c
本虛擬套件由這些套件填實: libghc-generic-data-prof
libghc-generic-data-prof-0.8.3.0-08887
本虛擬套件由這些套件填實: libghc-generic-data-prof
libghc-generic-data-prof-0.8.3.0-4c5e5
本虛擬套件由這些套件填實: libghc-generic-data-prof
libghc-generic-data-prof-0.8.3.0-663ac
本虛擬套件由這些套件填實: libghc-generic-data-prof
libghc-generic-data-prof-0.8.3.0-68171
本虛擬套件由這些套件填實: libghc-generic-data-prof
libghc-generic-data-prof-0.8.3.0-fd506
本虛擬套件由這些套件填實: libghc-generic-data-prof
libghc-generic-deriving-dev (1.13.1-1+b2 [s390x], 1.13.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic programming library for generalised deriving
libghc-generic-deriving-dev-1.13.1-3ada1
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-58f19
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-7e2f8
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-8a7ec
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-9fd86
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-de612
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-ea9f0
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-dev-1.13.1-ebfdc
本虛擬套件由這些套件填實: libghc-generic-deriving-dev
libghc-generic-deriving-doc (1.13.1-1)
generic programming library for generalised deriving; documentation
libghc-generic-deriving-prof (1.13.1-1+b2 [s390x], 1.13.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generic programming library for generalised deriving; profiling libraries
libghc-generic-deriving-prof-1.13.1-3ada1
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-58f19
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-7e2f8
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-8a7ec
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-9fd86
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-de612
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-ea9f0
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-deriving-prof-1.13.1-ebfdc
本虛擬套件由這些套件填實: libghc-generic-deriving-prof
libghc-generic-lens-core-dev (2.0.0.0-2)
Generically derive traversals, lenses and prisms
libghc-generic-lens-core-dev-2.0.0.0-02957
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-2fb34
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-4c73d
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-6ea7c
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-d1c79
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-d2cfd
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-ee518
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-dev-2.0.0.0-fde5b
本虛擬套件由這些套件填實: libghc-generic-lens-core-dev
libghc-generic-lens-core-doc (2.0.0.0-2)
Generically derive traversals, lenses and prisms; documentation
libghc-generic-lens-core-prof (2.0.0.0-2)
Generically derive traversals, lenses and prisms; profiling libraries
libghc-generic-lens-core-prof-2.0.0.0-02957
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-2fb34
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-4c73d
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-6ea7c
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-d1c79
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-d2cfd
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-ee518
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-core-prof-2.0.0.0-fde5b
本虛擬套件由這些套件填實: libghc-generic-lens-core-prof
libghc-generic-lens-dev (2.0.0.0-1)
generically derive traversals, lenses and prisms
libghc-generic-lens-dev-2.0.0.0-046f0
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-38b14
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-49b16
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-4ea26
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-52120
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-9529c
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-a1e32
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-dev-2.0.0.0-a7125
本虛擬套件由這些套件填實: libghc-generic-lens-dev
libghc-generic-lens-doc (2.0.0.0-1)
generically derive traversals, lenses and prisms; documentation
libghc-generic-lens-prof (2.0.0.0-1)
generically derive traversals, lenses and prisms; profiling libraries
libghc-generic-lens-prof-2.0.0.0-046f0
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-38b14
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-49b16
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-4ea26
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-52120
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-9529c
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-a1e32
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-lens-prof-2.0.0.0-a7125
本虛擬套件由這些套件填實: libghc-generic-lens-prof
libghc-generic-random-dev (1.3.0.1-1+b3 [s390x], 1.3.0.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.0.1-1+b1 [mips64el, mipsel])
generic random generators for QuickCheck
libghc-generic-random-dev-1.3.0.1-30423
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-5873d
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-69dc9
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-7a35e
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-86bb1
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-8f487
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-c3db4
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-dev-1.3.0.1-fff4e
本虛擬套件由這些套件填實: libghc-generic-random-dev
libghc-generic-random-doc (1.3.0.1-1)
generic random generators for QuickCheck; documentation
libghc-generic-random-prof (1.3.0.1-1+b3 [s390x], 1.3.0.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.0.1-1+b1 [mips64el, mipsel])
generic random generators for QuickCheck; profiling libraries
libghc-generic-random-prof-1.3.0.1-30423
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-5873d
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-69dc9
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-7a35e
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-86bb1
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-8f487
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-c3db4
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-random-prof-1.3.0.1-fff4e
本虛擬套件由這些套件填實: libghc-generic-random-prof
libghc-generic-trie-dev (0.3.1-5+b2 [s390x], 0.3.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a map, where the keys may be complex structured data
libghc-generic-trie-dev-0.3.1-1479b
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-62d25
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-79618
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-878fb
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-bbd28
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-c0297
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-cda5c
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-dev-0.3.1-df077
本虛擬套件由這些套件填實: libghc-generic-trie-dev
libghc-generic-trie-doc (0.3.1-5)
a map, where the keys may be complex structured data; documentation
libghc-generic-trie-prof (0.3.1-5+b2 [s390x], 0.3.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a map, where the keys may be complex structured data; profiling libraries
libghc-generic-trie-prof-0.3.1-1479b
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-62d25
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-79618
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-878fb
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-bbd28
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-c0297
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-cda5c
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generic-trie-prof-0.3.1-df077
本虛擬套件由這些套件填實: libghc-generic-trie-prof
libghc-generics-sop-dev (0.5.1.0-2+b2 [s390x], 0.5.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic Programming using True Sums of Products
libghc-generics-sop-dev-0.5.1.0-364de
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-420e6
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-8c1f2
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-91f86
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-943c0
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-944e4
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-f4dbf
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-dev-0.5.1.0-f8f5f
本虛擬套件由這些套件填實: libghc-generics-sop-dev
libghc-generics-sop-doc (0.5.1.0-2)
Generic Programming using True Sums of Products; documentation
libghc-generics-sop-prof (0.5.1.0-2+b2 [s390x], 0.5.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic Programming using True Sums of Products; profiling libraries
libghc-generics-sop-prof-0.5.1.0-364de
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-420e6
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-8c1f2
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-91f86
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-943c0
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-944e4
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-f4dbf
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-generics-sop-prof-0.5.1.0-f8f5f
本虛擬套件由這些套件填實: libghc-generics-sop-prof
libghc-geniplate-mirror-dev (0.7.7-1+b2 [s390x], 0.7.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Use Template Haskell to generate Uniplate-like functions.
libghc-geniplate-mirror-dev-0.7.7-6857d
本虛擬套件由這些套件填實: libghc-geniplate-mirror-dev
libghc-geniplate-mirror-dev-0.7.7-75b71
本虛擬套件由這些套件填實: libghc-geniplate-mirror-dev
libghc-geniplate-mirror-dev-0.7.7-86f15
本虛擬套件由這些套件填實: libghc-geniplate-mirror-dev
libghc-geniplate-mirror-dev-0.7.7-92aa7
本虛擬套件由這些套件填實: libghc-geniplate-mirror-dev
libghc-geniplate-mirror-dev-0.7.7-a1138
本虛擬套件由這些套件填實: libghc-geniplate-mirror-dev
libghc-geniplate-mirror-doc (0.7.7-1)
Use Template Haskell to generate Uniplate-like functions.; documentation
libghc-geniplate-mirror-prof (0.7.7-1+b2 [s390x], 0.7.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Use Template Haskell to generate Uniplate-like functions.; profiling libraries
libghc-geniplate-mirror-prof-0.7.7-6857d
本虛擬套件由這些套件填實: libghc-geniplate-mirror-prof
libghc-geniplate-mirror-prof-0.7.7-75b71
本虛擬套件由這些套件填實: libghc-geniplate-mirror-prof
libghc-geniplate-mirror-prof-0.7.7-86f15
本虛擬套件由這些套件填實: libghc-geniplate-mirror-prof
libghc-geniplate-mirror-prof-0.7.7-92aa7
本虛擬套件由這些套件填實: libghc-geniplate-mirror-prof
libghc-geniplate-mirror-prof-0.7.7-a1138
本虛擬套件由這些套件填實: libghc-geniplate-mirror-prof
libghc-genvalidity-containers-dev (0.8.0.2-2+b2 [amd64, arm64, ppc64el], 0.8.0.2-2+b1 [mips64el, s390x], 0.8.0.2-2 [armel, armhf, i386, mipsel])
GenValidity support for containers
libghc-genvalidity-containers-dev-0.8.0.2-2a04a
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-33946
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-36bf0
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-4c14b
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-68e5a
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-91822
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-aef85
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-ebc81
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-dev-0.8.0.2-ec4f8
本虛擬套件由這些套件填實: libghc-genvalidity-containers-dev
libghc-genvalidity-containers-doc (0.8.0.2-2)
GenValidity support for containers; documentation
libghc-genvalidity-containers-prof (0.8.0.2-2+b2 [amd64, arm64, ppc64el], 0.8.0.2-2+b1 [mips64el, s390x], 0.8.0.2-2 [armel, armhf, i386, mipsel])
GenValidity support for containers; profiling libraries
libghc-genvalidity-containers-prof-0.8.0.2-2a04a
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-33946
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-36bf0
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-4c14b
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-68e5a
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-91822
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-aef85
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-ebc81
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-containers-prof-0.8.0.2-ec4f8
本虛擬套件由這些套件填實: libghc-genvalidity-containers-prof
libghc-genvalidity-dev (0.11.0.0-1+b1 [amd64, arm64, mips64el, ppc64el, s390x], 0.11.0.0-1 [armel, armhf, i386, mipsel])
testing utilities for the validity library
libghc-genvalidity-dev-0.11.0.0-0ac2b
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-140cd
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-2aa6b
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-76c07
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-84319
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-90241
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-bd7c5
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-ce911
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-dev-0.11.0.0-ee3bd
本虛擬套件由這些套件填實: libghc-genvalidity-dev
libghc-genvalidity-doc (0.11.0.0-1)
testing utilities for the validity library; documentation
libghc-genvalidity-hspec-dev (0.7.0.4-1+b5 [s390x], 0.7.0.4-1+b4 [amd64, arm64, ppc64el], 0.7.0.4-1+b2 [armel, armhf, i386, mips64el], 0.7.0.4-1+b1 [mipsel])
standard specs for GenValidity instances
libghc-genvalidity-hspec-dev-0.7.0.4-1115d
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-26cfa
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-35686
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-4ff93
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-5e1d2
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-6b9b0
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-8d704
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-b09f9
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-dev-0.7.0.4-bd974
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-dev
libghc-genvalidity-hspec-doc (0.7.0.4-1)
standard specs for GenValidity instances; documentation
libghc-genvalidity-hspec-prof (0.7.0.4-1+b5 [s390x], 0.7.0.4-1+b4 [amd64, arm64, ppc64el], 0.7.0.4-1+b2 [armel, armhf, i386, mips64el], 0.7.0.4-1+b1 [mipsel])
standard specs for GenValidity instances; profiling libraries
libghc-genvalidity-hspec-prof-0.7.0.4-1115d
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-26cfa
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-35686
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-4ff93
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-5e1d2
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-6b9b0
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-8d704
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-b09f9
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-hspec-prof-0.7.0.4-bd974
本虛擬套件由這些套件填實: libghc-genvalidity-hspec-prof
libghc-genvalidity-prof (0.11.0.0-1+b1 [amd64, arm64, mips64el, ppc64el, s390x], 0.11.0.0-1 [armel, armhf, i386, mipsel])
testing utilities for the validity library; profiling libraries
libghc-genvalidity-prof-0.11.0.0-0ac2b
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-140cd
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-2aa6b
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-76c07
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-84319
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-90241
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-bd7c5
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-ce911
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-prof-0.11.0.0-ee3bd
本虛擬套件由這些套件填實: libghc-genvalidity-prof
libghc-genvalidity-property-dev (0.5.0.1-1+b5 [s390x], 0.5.0.1-1+b4 [amd64, arm64, ppc64el], 0.5.0.1-1+b2 [armel, armhf, i386, mips64el], 0.5.0.1-1+b1 [mipsel])
standard properties for functions on Validity types
libghc-genvalidity-property-dev-0.5.0.1-804bd
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-95214
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-aec21
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-b0e26
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-c44a5
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-e02fc
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-ea17e
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-f9f04
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-dev-0.5.0.1-febfa
本虛擬套件由這些套件填實: libghc-genvalidity-property-dev
libghc-genvalidity-property-doc (0.5.0.1-1)
standard properties for functions on Validity types; documentation
libghc-genvalidity-property-prof (0.5.0.1-1+b5 [s390x], 0.5.0.1-1+b4 [amd64, arm64, ppc64el], 0.5.0.1-1+b2 [armel, armhf, i386, mips64el], 0.5.0.1-1+b1 [mipsel])
standard properties for functions on Validity types; profiling libraries
libghc-genvalidity-property-prof-0.5.0.1-804bd
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-95214
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-aec21
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-b0e26
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-c44a5
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-e02fc
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-ea17e
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-f9f04
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-genvalidity-property-prof-0.5.0.1-febfa
本虛擬套件由這些套件填實: libghc-genvalidity-property-prof
libghc-getopt-generics-dev (0.13.0.4-1+b2 [s390x], 0.13.0.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
create command line interfaces with ease
libghc-getopt-generics-dev-0.13.0.4-29fbf
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-47253
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-586bb
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-65e15
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-a2b69
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-a4418
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-a66d9
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-dev-0.13.0.4-aa9b4
本虛擬套件由這些套件填實: libghc-getopt-generics-dev
libghc-getopt-generics-doc (0.13.0.4-1)
create command line interfaces with ease; documentation
libghc-getopt-generics-prof (0.13.0.4-1+b2 [s390x], 0.13.0.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
create command line interfaces with ease; profiling libraries
libghc-getopt-generics-prof-0.13.0.4-29fbf
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-47253
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-586bb
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-65e15
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-a2b69
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-a4418
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-a66d9
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-getopt-generics-prof-0.13.0.4-aa9b4
本虛擬套件由這些套件填實: libghc-getopt-generics-prof
libghc-ghc-boot-dev (= 8.8.4)
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-314ad
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-36928
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-584b3
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-5ce25
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-9a43d
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-af967
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-d35b3
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-dev-8.8.4-f4f44
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-doc (= 8.8.4)
本虛擬套件由這些套件填實: ghc-doc
libghc-ghc-boot-prof (= 8.8.4)
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-314ad
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-36928
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-584b3
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-5ce25
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-9a43d
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-af967
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-d35b3
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-prof-8.8.4-f4f44
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-th-dev (= 8.8.4)
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-th-dev-8.8.4-54c6a
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-th-dev-8.8.4-7a117
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-th-dev-8.8.4-b9b6a
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-th-dev-8.8.4-bbc02
本虛擬套件由這些套件填實: ghc
libghc-ghc-boot-th-doc (= 8.8.4)
本虛擬套件由這些套件填實: ghc-doc
libghc-ghc-boot-th-prof (= 8.8.4)
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-th-prof-8.8.4-54c6a
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-th-prof-8.8.4-7a117
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-th-prof-8.8.4-b9b6a
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-boot-th-prof-8.8.4-bbc02
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-dev (= 0.1.0.0)
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-0491b
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-11054
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-11c87
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-614d4
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-9700a
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-a500b
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-ad589
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-dev-0.1.0.0-cfce2
本虛擬套件由這些套件填實: ghc
libghc-ghc-compact-doc (= 0.1.0.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-ghc-compact-prof (= 0.1.0.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-0491b
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-11054
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-11c87
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-614d4
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-9700a
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-a500b
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-ad589
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-compact-prof-0.1.0.0-cfce2
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-dev-8.8.4-000d0
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-005c5
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-0817d
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-40d5f
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-48fa6
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-6724a
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-ab981
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-bc988
本虛擬套件由這些套件填實: ghc
libghc-ghc-dev-8.8.4-f0e29
本虛擬套件由這些套件填實: ghc
libghc-ghc-events-dev (0.13.0-1+b2 [s390x], 0.13.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library for parsing GHC event log files
libghc-ghc-events-dev-0.13.0-10739
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-4cee8
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-62237
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-75ecc
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-b801a
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-c0059
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-c3dc0
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-dev-0.13.0-ed4aa
本虛擬套件由這些套件填實: libghc-ghc-events-dev
libghc-ghc-events-doc (0.13.0-1)
Library for parsing GHC event log files; documentation
libghc-ghc-events-prof (0.13.0-1+b2 [s390x], 0.13.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library for parsing GHC event log files; profiling libraries
libghc-ghc-events-prof-0.13.0-10739
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-4cee8
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-62237
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-75ecc
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-b801a
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-c0059
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-c3dc0
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-events-prof-0.13.0-ed4aa
本虛擬套件由這些套件填實: libghc-ghc-events-prof
libghc-ghc-exactprint-dev (0.6.2-2+b2)
ExactPrint for GHC
libghc-ghc-exactprint-dev-0.6.2-13a39
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-5fb71
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-84c70
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-84f49
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-9fa3d
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-b4e40
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-b6783
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-c4670
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-dev-0.6.2-f108b
本虛擬套件由這些套件填實: libghc-ghc-exactprint-dev
libghc-ghc-exactprint-doc (0.6.2-2)
ExactPrint for GHC; documentation
libghc-ghc-exactprint-prof (0.6.2-2+b2)
ExactPrint for GHC; profiling libraries
libghc-ghc-exactprint-prof-0.6.2-13a39
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-5fb71
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-84c70
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-84f49
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-9fa3d
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-b4e40
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-b6783
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-c4670
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-exactprint-prof-0.6.2-f108b
本虛擬套件由這些套件填實: libghc-ghc-exactprint-prof
libghc-ghc-heap-dev (= 8.8.4)
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-dev-8.8.4-25a90
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-dev-8.8.4-2b5f3
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-dev-8.8.4-37c92
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-dev-8.8.4-4590f
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-dev-8.8.4-c6149
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-dev-8.8.4-f797c
本虛擬套件由這些套件填實: ghc
libghc-ghc-heap-doc (= 8.8.4)
本虛擬套件由這些套件填實: ghc-doc
libghc-ghc-heap-prof (= 8.8.4)
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-heap-prof-8.8.4-25a90
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-heap-prof-8.8.4-2b5f3
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-heap-prof-8.8.4-37c92
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-heap-prof-8.8.4-4590f
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-heap-prof-8.8.4-c6149
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-heap-prof-8.8.4-f797c
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-lib-parser-dev (8.10.2.20200808-1)
the GHC API, decoupled from GHC versions
libghc-ghc-lib-parser-dev-8.10.2.20200808-71317
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-dev
libghc-ghc-lib-parser-dev-8.10.2.20200808-b9231
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-dev
libghc-ghc-lib-parser-dev-8.10.2.20200808-e7eff
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-dev
libghc-ghc-lib-parser-dev-8.10.2.20200808-ee34a
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-dev
libghc-ghc-lib-parser-dev-8.10.2.20200808-fe6c9
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-dev
libghc-ghc-lib-parser-doc (8.10.2.20200808-1)
the GHC API, decoupled from GHC versions; documentation
libghc-ghc-lib-parser-ex-dev (8.10.0.16-1)
algorithms on GHC parse trees
libghc-ghc-lib-parser-ex-dev-8.10.0.16-32744
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-dev
libghc-ghc-lib-parser-ex-dev-8.10.0.16-7c3e6
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-dev
libghc-ghc-lib-parser-ex-dev-8.10.0.16-a18bd
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-dev
libghc-ghc-lib-parser-ex-dev-8.10.0.16-f810a
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-dev
libghc-ghc-lib-parser-ex-dev-8.10.0.16-f865a
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-dev
libghc-ghc-lib-parser-ex-doc (8.10.0.16-1)
algorithms on GHC parse trees; documentation
libghc-ghc-lib-parser-ex-prof (8.10.0.16-1)
algorithms on GHC parse trees; profiling libraries
libghc-ghc-lib-parser-ex-prof-8.10.0.16-32744
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-prof
libghc-ghc-lib-parser-ex-prof-8.10.0.16-7c3e6
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-prof
libghc-ghc-lib-parser-ex-prof-8.10.0.16-a18bd
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-prof
libghc-ghc-lib-parser-ex-prof-8.10.0.16-f810a
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-prof
libghc-ghc-lib-parser-ex-prof-8.10.0.16-f865a
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-ex-prof
libghc-ghc-lib-parser-prof (8.10.2.20200808-1)
the GHC API, decoupled from GHC versions; profiling libraries
libghc-ghc-lib-parser-prof-8.10.2.20200808-71317
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-prof
libghc-ghc-lib-parser-prof-8.10.2.20200808-b9231
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-prof
libghc-ghc-lib-parser-prof-8.10.2.20200808-e7eff
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-prof
libghc-ghc-lib-parser-prof-8.10.2.20200808-ee34a
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-prof
libghc-ghc-lib-parser-prof-8.10.2.20200808-fe6c9
本虛擬套件由這些套件填實: libghc-ghc-lib-parser-prof
libghc-ghc-mtl-dev (1.2.1.0-10+b3)
an mtl compatible version of the Ghc-Api monads
libghc-ghc-mtl-dev-1.2.1.0-1cff6
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-58d15
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-61c38
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-6ec0c
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-77f0c
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-a9c0e
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-ac1a5
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-bc59e
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-dev-1.2.1.0-e77af
本虛擬套件由這些套件填實: libghc-ghc-mtl-dev
libghc-ghc-mtl-doc (1.2.1.0-10)
an mtl compatible version of the Ghc-Api monads; documentation
libghc-ghc-mtl-prof (1.2.1.0-10+b3)
an mtl compatible version of the Ghc-Api monads; profiling libraries
libghc-ghc-mtl-prof-1.2.1.0-1cff6
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-58d15
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-61c38
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-6ec0c
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-77f0c
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-a9c0e
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-ac1a5
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-bc59e
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-mtl-prof-1.2.1.0-e77af
本虛擬套件由這些套件填實: libghc-ghc-mtl-prof
libghc-ghc-paths-dev (0.1.0.12-1+b2 [s390x], 0.1.0.12-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
knowledge of GHC's installation directories
libghc-ghc-paths-dev-0.1.0.12-5df29
本虛擬套件由這些套件填實: libghc-ghc-paths-dev
libghc-ghc-paths-dev-0.1.0.12-86095
本虛擬套件由這些套件填實: libghc-ghc-paths-dev
libghc-ghc-paths-dev-0.1.0.12-bb898
本虛擬套件由這些套件填實: libghc-ghc-paths-dev
libghc-ghc-paths-dev-0.1.0.12-d452a
本虛擬套件由這些套件填實: libghc-ghc-paths-dev
libghc-ghc-paths-doc (0.1.0.12-1)
knowledge of GHC's installation directories; documentation
libghc-ghc-paths-prof (0.1.0.12-1+b2 [s390x], 0.1.0.12-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
knowledge of GHC's installation directories; profiling libraries
libghc-ghc-paths-prof-0.1.0.12-5df29
本虛擬套件由這些套件填實: libghc-ghc-paths-prof
libghc-ghc-paths-prof-0.1.0.12-86095
本虛擬套件由這些套件填實: libghc-ghc-paths-prof
libghc-ghc-paths-prof-0.1.0.12-bb898
本虛擬套件由這些套件填實: libghc-ghc-paths-prof
libghc-ghc-paths-prof-0.1.0.12-d452a
本虛擬套件由這些套件填實: libghc-ghc-paths-prof
libghc-ghc-prim-dev (= 0.5.3)
本虛擬套件由這些套件填實: ghc
libghc-ghc-prim-dev-0.5.3-00322
本虛擬套件由這些套件填實: ghc
libghc-ghc-prim-dev-0.5.3-49209
本虛擬套件由這些套件填實: ghc
libghc-ghc-prim-doc (= 0.5.3)
本虛擬套件由這些套件填實: ghc-doc
libghc-ghc-prim-prof (= 0.5.3)
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prim-prof-0.5.3-00322
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prim-prof-0.5.3-49209
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-000d0
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-005c5
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-0817d
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-40d5f
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-48fa6
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-6724a
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-ab981
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-bc988
本虛擬套件由這些套件填實: ghc-prof
libghc-ghc-prof-8.8.4-f0e29
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-dev (= 8.8.4)
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-0085f
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-01e9d
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-0cb24
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-28bfa
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-527a0
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-8ccef
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-8fb1e
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-d4722
本虛擬套件由這些套件填實: ghc
libghc-ghci-dev-8.8.4-e2edf
本虛擬套件由這些套件填實: ghc
libghc-ghci-doc (= 8.8.4)
本虛擬套件由這些套件填實: ghc-doc
libghc-ghci-prof (= 8.8.4)
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-0085f
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-01e9d
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-0cb24
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-28bfa
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-527a0
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-8ccef
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-8fb1e
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-d4722
本虛擬套件由這些套件填實: ghc-prof
libghc-ghci-prof-8.8.4-e2edf
本虛擬套件由這些套件填實: ghc-prof
libghc-gi-atk-dev (2.0.22-1)
ATK bindings
libghc-gi-atk-dev-2.0.22-0615f
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-25c05
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-35a8a
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-5e6cb
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-92611
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-94240
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-95cbe
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-ba982
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-dev-2.0.22-bee82
本虛擬套件由這些套件填實: libghc-gi-atk-dev
libghc-gi-atk-doc (2.0.22-1)
ATK bindings; documentation
libghc-gi-atk-prof (2.0.22-1)
ATK bindings; profiling libraries
libghc-gi-atk-prof-2.0.22-0615f
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-25c05
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-35a8a
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-5e6cb
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-92611
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-94240
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-95cbe
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-ba982
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-atk-prof-2.0.22-bee82
本虛擬套件由這些套件填實: libghc-gi-atk-prof
libghc-gi-cairo-connector-dev (0.0.1-3+b4 [s390x], 0.0.1-3+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.0.1-3+b2 [mips64el])
GI friendly Binding to the Cairo library
libghc-gi-cairo-connector-dev-0.0.1-82f6d
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-877c9
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-8a65f
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-9860e
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-99002
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-a092d
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-a3a58
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-b85ba
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-dev-0.0.1-d6af9
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-dev
libghc-gi-cairo-connector-doc (0.0.1-3)
GI friendly Binding to the Cairo library; documentation
libghc-gi-cairo-connector-prof (0.0.1-3+b4 [s390x], 0.0.1-3+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.0.1-3+b2 [mips64el])
GI friendly Binding to the Cairo library; profiling libraries
libghc-gi-cairo-connector-prof-0.0.1-82f6d
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-877c9
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-8a65f
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-9860e
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-99002
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-a092d
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-a3a58
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-b85ba
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-connector-prof-0.0.1-d6af9
本虛擬套件由這些套件填實: libghc-gi-cairo-connector-prof
libghc-gi-cairo-dev (1.0.24-1)
Cairo bindings
libghc-gi-cairo-dev-1.0.24-46908
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-4efb4
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-573ba
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-600f1
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-7a749
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-a546e
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-c717d
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-e3c71
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-dev-1.0.24-f212c
本虛擬套件由這些套件填實: libghc-gi-cairo-dev
libghc-gi-cairo-doc (1.0.24-1)
Cairo bindings; documentation
libghc-gi-cairo-prof (1.0.24-1)
Cairo bindings; profiling libraries
libghc-gi-cairo-prof-1.0.24-46908
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-4efb4
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-573ba
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-600f1
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-7a749
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-a546e
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-c717d
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-e3c71
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-prof-1.0.24-f212c
本虛擬套件由這些套件填實: libghc-gi-cairo-prof
libghc-gi-cairo-render-dev (0.0.1-4)
GI friendly Binding to the Cairo library.
libghc-gi-cairo-render-dev-0.0.1-1c864
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-3df1c
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-94691
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-9ac6c
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-9ae99
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-a92c7
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-d8740
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-dev-0.0.1-fb546
本虛擬套件由這些套件填實: libghc-gi-cairo-render-dev
libghc-gi-cairo-render-doc (0.0.1-4)
GI friendly Binding to the Cairo library.; documentation
libghc-gi-cairo-render-prof (0.0.1-4)
GI friendly Binding to the Cairo library.; profiling libraries
libghc-gi-cairo-render-prof-0.0.1-1c864
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-3df1c
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-94691
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-9ac6c
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-9ae99
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-a92c7
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-d8740
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-cairo-render-prof-0.0.1-fb546
本虛擬套件由這些套件填實: libghc-gi-cairo-render-prof
libghc-gi-dbusmenu-dev (0.4.8-1)
DBusMenu bindings
libghc-gi-dbusmenu-dev-0.4.8-2cf9e
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-34db1
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-47140
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-75416
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-9372d
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-9d925
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-a20cd
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-b16b5
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-dev-0.4.8-c2d6c
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-dev
libghc-gi-dbusmenu-doc (0.4.8-1)
DBusMenu bindings; documentation
libghc-gi-dbusmenu-prof (0.4.8-1)
DBusMenu bindings; profiling libraries
libghc-gi-dbusmenu-prof-0.4.8-2cf9e
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-34db1
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-47140
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-75416
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-9372d
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-9d925
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-a20cd
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-b16b5
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenu-prof-0.4.8-c2d6c
本虛擬套件由這些套件填實: libghc-gi-dbusmenu-prof
libghc-gi-dbusmenugtk3-dev (0.4.9-1)
DBusMenu-GTK3 bindings
libghc-gi-dbusmenugtk3-dev-0.4.9-1aca9
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-2473a
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-5d934
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-71651
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-ae27b
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-e0f9d
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-e696c
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-dev-0.4.9-f6477
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-dev
libghc-gi-dbusmenugtk3-doc (0.4.9-1)
DBusMenu-GTK3 bindings; documentation
libghc-gi-dbusmenugtk3-prof (0.4.9-1)
DBusMenu-GTK3 bindings; profiling libraries
libghc-gi-dbusmenugtk3-prof-0.4.9-1aca9
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-2473a
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-5d934
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-71651
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-ae27b
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-e0f9d
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-e696c
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-dbusmenugtk3-prof-0.4.9-f6477
本虛擬套件由這些套件填實: libghc-gi-dbusmenugtk3-prof
libghc-gi-gdk-dev (3.0.23-1)
GDK bindings
libghc-gi-gdk-dev-3.0.23-279e5
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-79794
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-b48a1
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-ca066
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-d1ba0
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-d4054
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-eb614
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-efb37
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-dev-3.0.23-f66aa
本虛擬套件由這些套件填實: libghc-gi-gdk-dev
libghc-gi-gdk-doc (3.0.23-1)
GDK bindings; documentation
libghc-gi-gdk-prof (3.0.23-1)
GDK bindings; profiling libraries
libghc-gi-gdk-prof-3.0.23-279e5
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-79794
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-b48a1
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-ca066
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-d1ba0
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-d4054
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-eb614
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-efb37
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdk-prof-3.0.23-f66aa
本虛擬套件由這些套件填實: libghc-gi-gdk-prof
libghc-gi-gdkpixbuf-dev (2.0.24-1)
GdkPixbuf bindings
libghc-gi-gdkpixbuf-dev-2.0.24-06922
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-15789
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-20646
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-69625
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-a373d
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-a6ece
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-aad05
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-ca232
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-dev-2.0.24-d5831
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-dev
libghc-gi-gdkpixbuf-doc (2.0.24-1)
GdkPixbuf bindings; documentation
libghc-gi-gdkpixbuf-prof (2.0.24-1)
GdkPixbuf bindings; profiling libraries
libghc-gi-gdkpixbuf-prof-2.0.24-06922
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-15789
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-20646
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-69625
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-a373d
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-a6ece
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-aad05
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-ca232
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkpixbuf-prof-2.0.24-d5831
本虛擬套件由這些套件填實: libghc-gi-gdkpixbuf-prof
libghc-gi-gdkx11-dev (3.0.10-1)
GDKX11 bindings
libghc-gi-gdkx11-dev-3.0.10-0123f
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-076eb
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-09ab7
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-367b3
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-4fded
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-53a93
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-9396d
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-b371c
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-dev-3.0.10-d8862
本虛擬套件由這些套件填實: libghc-gi-gdkx11-dev
libghc-gi-gdkx11-doc (3.0.10-1)
GDKX11 bindings; documentation
libghc-gi-gdkx11-prof (3.0.10-1)
GDKX11 bindings; profiling libraries
libghc-gi-gdkx11-prof-3.0.10-0123f
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-076eb
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-09ab7
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-367b3
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-4fded
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-53a93
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-9396d
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-b371c
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gdkx11-prof-3.0.10-d8862
本虛擬套件由這些套件填實: libghc-gi-gdkx11-prof
libghc-gi-gio-dev (2.0.27-1)
Gio bindings
libghc-gi-gio-dev-2.0.27-0e4e7
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-2dee9
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-42e89
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-58b38
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-5caf5
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-6e501
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-7bca1
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-93dbe
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-dev-2.0.27-ad2d7
本虛擬套件由這些套件填實: libghc-gi-gio-dev
libghc-gi-gio-doc (2.0.27-1)
Gio bindings; documentation
libghc-gi-gio-prof (2.0.27-1)
Gio bindings; profiling libraries
libghc-gi-gio-prof-2.0.27-0e4e7
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-2dee9
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-42e89
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-58b38
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-5caf5
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-6e501
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-7bca1
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-93dbe
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-gio-prof-2.0.27-ad2d7
本虛擬套件由這些套件填實: libghc-gi-gio-prof
libghc-gi-glib-dev (2.0.24-1)
GLib bindings
libghc-gi-glib-dev-2.0.24-12c14
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-27b1f
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-4a8a4
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-51e3e
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-5edab
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-7d15a
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-8204b
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-adebc
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-dev-2.0.24-eb385
本虛擬套件由這些套件填實: libghc-gi-glib-dev
libghc-gi-glib-doc (2.0.24-1)
GLib bindings; documentation
libghc-gi-glib-prof (2.0.24-1)
GLib bindings; profiling libraries
libghc-gi-glib-prof-2.0.24-12c14
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-27b1f
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-4a8a4
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-51e3e
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-5edab
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-7d15a
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-8204b
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-adebc
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-glib-prof-2.0.24-eb385
本虛擬套件由這些套件填實: libghc-gi-glib-prof
libghc-gi-gobject-dev (2.0.24-1)
GObject bindings
libghc-gi-gobject-dev-2.0.24-61158
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-62779
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-67457
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-7e5c0
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-9de56
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-b6b94
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-fa6e7
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-fc5f5
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-dev-2.0.24-fde71
本虛擬套件由這些套件填實: libghc-gi-gobject-dev
libghc-gi-gobject-doc (2.0.24-1)
GObject bindings; documentation
libghc-gi-gobject-prof (2.0.24-1)
GObject bindings; profiling libraries
libghc-gi-gobject-prof-2.0.24-61158
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-62779
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-67457
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-7e5c0
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-9de56
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-b6b94
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-fa6e7
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-fc5f5
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gobject-prof-2.0.24-fde71
本虛擬套件由這些套件填實: libghc-gi-gobject-prof
libghc-gi-gtk-dev (3.0.36-1)
GTK bindings
libghc-gi-gtk-dev-3.0.36-15f68
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-1910b
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-47969
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-4e1ff
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-883fd
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-99675
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-d7c0c
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-dev-3.0.36-f38e6
本虛擬套件由這些套件填實: libghc-gi-gtk-dev
libghc-gi-gtk-doc (3.0.36-1)
GTK bindings; documentation
libghc-gi-gtk-hs-dev (0.3.9-1)
idiomatic API parts on top of gi-gtk
libghc-gi-gtk-hs-dev-0.3.9-03979
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-24228
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-3643d
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-638c7
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-96ee6
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-b4a94
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-c977d
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-dev-0.3.9-cc372
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-dev
libghc-gi-gtk-hs-doc (0.3.9-1)
idiomatic API parts on top of gi-gtk; documentation
libghc-gi-gtk-hs-prof (0.3.9-1)
idiomatic API parts on top of gi-gtk; profiling libraries
libghc-gi-gtk-hs-prof-0.3.9-03979
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-24228
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-3643d
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-638c7
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-96ee6
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-b4a94
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-c977d
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-hs-prof-0.3.9-cc372
本虛擬套件由這些套件填實: libghc-gi-gtk-hs-prof
libghc-gi-gtk-prof (3.0.36-1)
GTK bindings; profiling libraries
libghc-gi-gtk-prof-3.0.36-15f68
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-1910b
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-47969
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-4e1ff
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-883fd
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-99675
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-d7c0c
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-gtk-prof-3.0.36-f38e6
本虛擬套件由這些套件填實: libghc-gi-gtk-prof
libghc-gi-harfbuzz-dev (0.0.3-3)
HarfBuzz bindings
libghc-gi-harfbuzz-dev-0.0.3-05b39
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-0ce85
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-2b074
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-41990
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-44abe
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-733f8
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-baa37
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-d923a
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-dev-0.0.3-ef2a6
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-dev
libghc-gi-harfbuzz-doc (0.0.3-3)
HarfBuzz bindings; documentation
libghc-gi-harfbuzz-prof (0.0.3-3)
HarfBuzz bindings; profiling libraries
libghc-gi-harfbuzz-prof-0.0.3-05b39
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-0ce85
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-2b074
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-41990
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-44abe
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-733f8
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-baa37
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-d923a
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-harfbuzz-prof-0.0.3-ef2a6
本虛擬套件由這些套件填實: libghc-gi-harfbuzz-prof
libghc-gi-pango-dev (1.0.23-1)
Pango bindings
libghc-gi-pango-dev-1.0.23-003ae
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-2ff38
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-3ce44
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-3d985
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-74f22
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-b7668
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-c798c
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-d4d84
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-dev-1.0.23-dbde2
本虛擬套件由這些套件填實: libghc-gi-pango-dev
libghc-gi-pango-doc (1.0.23-1)
Pango bindings; documentation
libghc-gi-pango-prof (1.0.23-1)
Pango bindings; profiling libraries
libghc-gi-pango-prof-1.0.23-003ae
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-2ff38
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-3ce44
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-3d985
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-74f22
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-b7668
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-c798c
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-d4d84
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-pango-prof-1.0.23-dbde2
本虛擬套件由這些套件填實: libghc-gi-pango-prof
libghc-gi-vte-dev (2.91.27-1)
VTE bindings
libghc-gi-vte-dev-2.91.27-4ddf4
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-68fae
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-7dc59
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-a3f49
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-aade3
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-c21f8
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-e205b
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-dev-2.91.27-ff127
本虛擬套件由這些套件填實: libghc-gi-vte-dev
libghc-gi-vte-doc (2.91.27-1)
VTE bindings; documentation
libghc-gi-vte-prof (2.91.27-1)
VTE bindings; profiling libraries
libghc-gi-vte-prof-2.91.27-4ddf4
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-68fae
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-7dc59
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-a3f49
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-aade3
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-c21f8
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-e205b
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-vte-prof-2.91.27-ff127
本虛擬套件由這些套件填實: libghc-gi-vte-prof
libghc-gi-xlib-dev (2.0.9-1)
Xlib bindings
libghc-gi-xlib-dev-2.0.9-19584
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-319e1
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-31f0d
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-67ac6
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-900c0
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-97d56
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-ce36c
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-f3468
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-dev-2.0.9-fa306
本虛擬套件由這些套件填實: libghc-gi-xlib-dev
libghc-gi-xlib-doc (2.0.9-1)
Xlib bindings; documentation
libghc-gi-xlib-prof (2.0.9-1)
Xlib bindings; profiling libraries
libghc-gi-xlib-prof-2.0.9-19584
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-319e1
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-31f0d
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-67ac6
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-900c0
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-97d56
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-ce36c
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-f3468
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gi-xlib-prof-2.0.9-fa306
本虛擬套件由這些套件填實: libghc-gi-xlib-prof
libghc-gio-dev (0.13.8.1-1)
binding to the GIO
libghc-gio-dev-0.13.8.1-05270
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-152a3
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-292b3
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-2c03d
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-52fc4
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-71193
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-9c036
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-dev-0.13.8.1-d42c5
本虛擬套件由這些套件填實: libghc-gio-dev
libghc-gio-doc (0.13.8.1-1)
Binding to the GIO; documentation
libghc-gio-prof (0.13.8.1-1)
Binding to the GIO; profiling libraries
libghc-gio-prof-0.13.8.1-05270
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-152a3
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-292b3
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-2c03d
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-52fc4
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-71193
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-9c036
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-gio-prof-0.13.8.1-d42c5
本虛擬套件由這些套件填實: libghc-gio-prof
libghc-git-lfs-dev (1.1.0-3+b4 [mipsel], 1.1.0-3+b3 [amd64, arm64, ppc64el, s390x], 1.1.0-3+b2 [armel, armhf, i386, mips64el])
git-lfs protocol for Haskell
libghc-git-lfs-dev-1.1.0-15daa
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-567f6
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-83e01
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-94b8c
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-ba2a3
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-d2957
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-d9015
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-dev-1.1.0-fe5f2
本虛擬套件由這些套件填實: libghc-git-lfs-dev
libghc-git-lfs-doc (1.1.0-3)
git-lfs protocol for Haskell; documentation
libghc-git-lfs-prof (1.1.0-3+b4 [mipsel], 1.1.0-3+b3 [amd64, arm64, ppc64el, s390x], 1.1.0-3+b2 [armel, armhf, i386, mips64el])
git-lfs protocol for Haskell; profiling libraries
libghc-git-lfs-prof-1.1.0-15daa
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-567f6
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-83e01
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-94b8c
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-ba2a3
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-d2957
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-d9015
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-git-lfs-prof-1.1.0-fe5f2
本虛擬套件由這些套件填實: libghc-git-lfs-prof
libghc-githash-dev (0.1.4.0-1+b2 [s390x], 0.1.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Compile git revision info into Haskell projects
libghc-githash-dev-0.1.4.0-1c9c5
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-4fbdd
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-81e64
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-a0370
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-a4934
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-a8eca
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-aa4a3
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-dev-0.1.4.0-cc817
本虛擬套件由這些套件填實: libghc-githash-dev
libghc-githash-doc (0.1.4.0-1)
Compile git revision info into Haskell projects; documentation
libghc-githash-prof (0.1.4.0-1+b2 [s390x], 0.1.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Compile git revision info into Haskell projects; profiling libraries
libghc-githash-prof-0.1.4.0-1c9c5
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-4fbdd
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-81e64
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-a0370
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-a4934
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-a8eca
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-aa4a3
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-githash-prof-0.1.4.0-cc817
本虛擬套件由這些套件填實: libghc-githash-prof
libghc-github-dev (0.23-1)
Haskell Github API
libghc-github-dev-0.23-479bc
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-5cf9e
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-79290
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-9f601
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-b06ea
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-db614
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-dd12e
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-ee441
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-dev-0.23-ee4bc
本虛擬套件由這些套件填實: libghc-github-dev
libghc-github-doc (0.23-1)
Haskell Github API; documentation
libghc-github-prof (0.23-1)
Haskell Github API; profiling libraries
libghc-github-prof-0.23-479bc
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-5cf9e
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-79290
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-9f601
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-b06ea
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-db614
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-dd12e
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-ee441
本虛擬套件由這些套件填實: libghc-github-prof
libghc-github-prof-0.23-ee4bc
本虛擬套件由這些套件填實: libghc-github-prof
libghc-gitit-data (0.13.0.0+dfsg-2)
Wiki engine backed by a git or darcs filestore - Data files
libghc-gitit-dev (0.13.0.0+dfsg-2+b2)
Wiki engine backed by a git or darcs filestore
libghc-gitit-dev-0.13.0.0-226bc
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-23a9a
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-27a8b
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-5cbff
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-602bd
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-8249c
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-95fd6
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-c18c8
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-dev-0.13.0.0-c5794
本虛擬套件由這些套件填實: libghc-gitit-dev
libghc-gitit-doc (0.13.0.0+dfsg-2)
Wiki engine backed by a git or darcs filestore; documentation
libghc-gitit-prof (0.13.0.0+dfsg-2+b2)
Wiki engine backed by a git or darcs filestore; profiling libraries
libghc-gitit-prof-0.13.0.0-226bc
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-23a9a
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-27a8b
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-5cbff
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-602bd
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-8249c
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-95fd6
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-c18c8
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitit-prof-0.13.0.0-c5794
本虛擬套件由這些套件填實: libghc-gitit-prof
libghc-gitrev-dev (1.3.1-4+b2 [s390x], 1.3.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compile git revision info into Haskell projects
libghc-gitrev-dev-1.3.1-38431
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-7b660
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-7ccd5
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-94499
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-96288
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-cf49e
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-d5ab3
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-dev-1.3.1-d9438
本虛擬套件由這些套件填實: libghc-gitrev-dev
libghc-gitrev-doc (1.3.1-4)
compile git revision info into Haskell projects; documentation
libghc-gitrev-prof (1.3.1-4+b2 [s390x], 1.3.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compile git revision info into Haskell projects; profiling libraries
libghc-gitrev-prof-1.3.1-38431
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-7b660
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-7ccd5
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-94499
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-96288
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-cf49e
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-d5ab3
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-gitrev-prof-1.3.1-d9438
本虛擬套件由這些套件填實: libghc-gitrev-prof
libghc-glib-dev (0.13.8.1-1)
Binding to the GLIB library for Gtk2Hs
libghc-glib-dev-0.13.8.1-0e32e
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-59117
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-98f27
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-9a499
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-c2d30
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-e6676
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-e82dd
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-dev-0.13.8.1-f40f4
本虛擬套件由這些套件填實: libghc-glib-dev
libghc-glib-doc (0.13.8.1-1)
Binding to the GLIB library for Gtk2Hs; documentation
libghc-glib-prof (0.13.8.1-1)
Binding to the GLIB library for Gtk2Hs; profiling libraries
libghc-glib-prof-0.13.8.1-0e32e
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-59117
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-98f27
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-9a499
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-c2d30
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-e6676
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-e82dd
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glib-prof-0.13.8.1-f40f4
本虛擬套件由這些套件填實: libghc-glib-prof
libghc-glob-dev (0.10.1-1)
library matching glob patterns against file paths
libghc-glob-dev-0.10.1-313f9
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-49004
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-a1003
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-b319c
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-c04b3
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-cff2c
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-dff44
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-dev-0.10.1-e0b91
本虛擬套件由這些套件填實: libghc-glob-dev
libghc-glob-doc (0.10.1-1)
library matching glob patterns against file paths; documentation
libghc-glob-prof (0.10.1-1)
library matching glob patterns against file paths; profiling libraries
libghc-glob-prof-0.10.1-313f9
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-49004
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-a1003
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-b319c
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-c04b3
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-cff2c
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-dff44
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-glob-prof-0.10.1-e0b91
本虛擬套件由這些套件填實: libghc-glob-prof
libghc-gloss-dev (1.13.1.2-1)
Painless 2D vector graphics, animations and simulations.
libghc-gloss-dev-1.13.1.2-01db8
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-28cee
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-729a6
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-9661f
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-cf45f
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-d0dcd
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-ec54e
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-dev-1.13.1.2-efea4
本虛擬套件由這些套件填實: libghc-gloss-dev
libghc-gloss-doc (1.13.1.2-1)
Painless 2D vector graphics, animations and simulations.; documentation
libghc-gloss-prof (1.13.1.2-1)
Painless 2D vector graphics, animations and simulations.; profiling libraries
libghc-gloss-prof-1.13.1.2-01db8
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-28cee
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-729a6
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-9661f
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-cf45f
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-d0dcd
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-ec54e
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-prof-1.13.1.2-efea4
本虛擬套件由這些套件填實: libghc-gloss-prof
libghc-gloss-rendering-dev (1.13.1.1-2+b2 [s390x], 1.13.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Gloss picture data types and rendering functions.
libghc-gloss-rendering-dev-1.13.1.1-12fdd
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-14703
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-71aca
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-7f9e1
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-8ad7d
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-924a5
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-e0a13
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-dev-1.13.1.1-e8c80
本虛擬套件由這些套件填實: libghc-gloss-rendering-dev
libghc-gloss-rendering-doc (1.13.1.1-2)
Gloss picture data types and rendering functions.; documentation
libghc-gloss-rendering-prof (1.13.1.1-2+b2 [s390x], 1.13.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Gloss picture data types and rendering functions.; profiling libraries
libghc-gloss-rendering-prof-1.13.1.1-12fdd
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-14703
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-71aca
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-7f9e1
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-8ad7d
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-924a5
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-e0a13
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gloss-rendering-prof-1.13.1.1-e8c80
本虛擬套件由這些套件填實: libghc-gloss-rendering-prof
libghc-gluraw-dev (2.0.0.4-3+b2 [s390x], 2.0.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Raw binding for the OpenGL graphics system
libghc-gluraw-dev-2.0.0.4-003ff
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-27d28
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-5484c
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-5de7f
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-a8463
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-d4c51
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-dce73
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-dev-2.0.0.4-e54e4
本虛擬套件由這些套件填實: libghc-gluraw-dev
libghc-gluraw-doc (2.0.0.4-3)
Raw binding for the OpenGL graphics system; documentation
libghc-gluraw-prof (2.0.0.4-3+b2 [s390x], 2.0.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Raw binding for the OpenGL graphics system; profiling libraries
libghc-gluraw-prof-2.0.0.4-003ff
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-27d28
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-5484c
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-5de7f
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-a8463
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-d4c51
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-dce73
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-gluraw-prof-2.0.0.4-e54e4
本虛擬套件由這些套件填實: libghc-gluraw-prof
libghc-glut-dev (2.7.0.15-2+b2 [s390x], 2.7.0.15-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell GLUT binding for GHC
libghc-glut-dev-2.7.0.15-01d38
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-0f557
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-32431
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-3b43a
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-ca29b
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-cb1e9
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-e8c44
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-dev-2.7.0.15-fe85e
本虛擬套件由這些套件填實: libghc-glut-dev
libghc-glut-doc (2.7.0.15-2)
Haskell GLUT binding for GHC; documentation
libghc-glut-prof (2.7.0.15-2+b2 [s390x], 2.7.0.15-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell GLUT binding for GHC; profiling libraries
libghc-glut-prof-2.7.0.15-01d38
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-0f557
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-32431
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-3b43a
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-ca29b
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-cb1e9
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-e8c44
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-glut-prof-2.7.0.15-fe85e
本虛擬套件由這些套件填實: libghc-glut-prof
libghc-graphscc-dev (1.0.4-6+b2 [s390x], 1.0.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Tarjan's algorithm for computing the SCCs of a graph
libghc-graphscc-dev-1.0.4-35a01
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-3ab2b
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-3fa13
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-54146
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-58dbd
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-6565f
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-70f0d
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-dev-1.0.4-b54cc
本虛擬套件由這些套件填實: libghc-graphscc-dev
libghc-graphscc-doc (1.0.4-6)
Tarjan's algorithm for computing the SCCs of a graph; documentation
libghc-graphscc-prof (1.0.4-6+b2 [s390x], 1.0.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Tarjan's algorithm for computing the SCCs of a graph; profiling libraries
libghc-graphscc-prof-1.0.4-35a01
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-3ab2b
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-3fa13
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-54146
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-58dbd
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-6565f
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-70f0d
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphscc-prof-1.0.4-b54cc
本虛擬套件由這些套件填實: libghc-graphscc-prof
libghc-graphviz-dev (2999.20.0.4-1+b3 [s390x], 2999.20.0.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2999.20.0.4-1+b1 [mips64el, mipsel])
bindings to Graphviz for graph visualization
libghc-graphviz-dev-2999.20.0.4-06301
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-12e77
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-28828
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-3a90c
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-743cf
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-a4ba7
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-ee9d5
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-dev-2999.20.0.4-f8622
本虛擬套件由這些套件填實: libghc-graphviz-dev
libghc-graphviz-doc (2999.20.0.4-1)
bindings to Graphviz for graph visualization; documentation
libghc-graphviz-prof (2999.20.0.4-1+b3 [s390x], 2999.20.0.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2999.20.0.4-1+b1 [mips64el, mipsel])
bindings to Graphviz for graph visualization; profiling libraries
libghc-graphviz-prof-2999.20.0.4-06301
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-12e77
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-28828
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-3a90c
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-743cf
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-a4ba7
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-ee9d5
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-graphviz-prof-2999.20.0.4-f8622
本虛擬套件由這些套件填實: libghc-graphviz-prof
libghc-groups-dev (0.4.1.0-4+b2 [s390x], 0.4.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 groups
libghc-groups-dev-0.4.1.0-16d1f
本虛擬套件由這些套件填實: libghc-groups-dev
libghc-groups-dev-0.4.1.0-4eeca
本虛擬套件由這些套件填實: libghc-groups-dev
libghc-groups-dev-0.4.1.0-7b6b1
本虛擬套件由這些套件填實: libghc-groups-dev
libghc-groups-dev-0.4.1.0-f9ee9
本虛擬套件由這些套件填實: libghc-groups-dev
libghc-groups-doc (0.4.1.0-4)
Haskell 98 groups; documentation
libghc-groups-prof (0.4.1.0-4+b2 [s390x], 0.4.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 groups; profiling libraries
libghc-groups-prof-0.4.1.0-16d1f
本虛擬套件由這些套件填實: libghc-groups-prof
libghc-groups-prof-0.4.1.0-4eeca
本虛擬套件由這些套件填實: libghc-groups-prof
libghc-groups-prof-0.4.1.0-7b6b1
本虛擬套件由這些套件填實: libghc-groups-prof
libghc-groups-prof-0.4.1.0-f9ee9
本虛擬套件由這些套件填實: libghc-groups-prof
libghc-gsasl-dev (0.3.7-1+b2 [s390x], 0.3.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings for GNU SASL
libghc-gsasl-dev-0.3.7-8ed6f
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-9e57d
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-af2be
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-b786f
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-c350a
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-d2c94
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-ed6d8
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-dev-0.3.7-ee0c8
本虛擬套件由這些套件填實: libghc-gsasl-dev
libghc-gsasl-doc (0.3.7-1)
bindings for GNU SASL; documentation
libghc-gsasl-prof (0.3.7-1+b2 [s390x], 0.3.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings for GNU SASL; profiling libraries
libghc-gsasl-prof-0.3.7-8ed6f
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-9e57d
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-af2be
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-b786f
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-c350a
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-d2c94
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-ed6d8
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gsasl-prof-0.3.7-ee0c8
本虛擬套件由這些套件填實: libghc-gsasl-prof
libghc-gtk-dev (0.15.5-1)
binding to the Gtk+ graphical user interface library
libghc-gtk-dev-0.15.5-4ecfa
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-5d070
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-7a083
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-7c0b0
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-86625
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-d0d72
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-e1e3d
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-dev-0.15.5-f993b
本虛擬套件由這些套件填實: libghc-gtk-dev
libghc-gtk-doc (0.15.5-1)
Binding to the Gtk+ graphical user interface library; documentation
libghc-gtk-prof (0.15.5-1)
Binding to the Gtk+ graphical user interface library; profiling libraries
libghc-gtk-prof-0.15.5-4ecfa
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-5d070
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-7a083
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-7c0b0
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-86625
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-d0d72
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-e1e3d
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-prof-0.15.5-f993b
本虛擬套件由這些套件填實: libghc-gtk-prof
libghc-gtk-sni-tray-dev (0.1.6.0-2+b2 [mipsel], 0.1.6.0-2+b1 [amd64, arm64, armhf, i386, mips64el, ppc64el, s390x])
standalone StatusNotifierItem/AppIndicator tray
libghc-gtk-sni-tray-dev-0.1.6.0-17ea0
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-335f4
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-4e0bb
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-98687
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-a1545
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-a9927
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-b1fe5
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-dev-0.1.6.0-d47bb
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-dev
libghc-gtk-sni-tray-doc (0.1.6.0-2)
standalone StatusNotifierItem/AppIndicator tray; documentation
libghc-gtk-sni-tray-prof (0.1.6.0-2+b2 [mipsel], 0.1.6.0-2+b1 [amd64, arm64, armhf, i386, mips64el, ppc64el, s390x])
standalone StatusNotifierItem/AppIndicator tray; profiling libraries
libghc-gtk-sni-tray-prof-0.1.6.0-17ea0
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-335f4
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-4e0bb
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-98687
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-a1545
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-a9927
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-b1fe5
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-sni-tray-prof-0.1.6.0-d47bb
本虛擬套件由這些套件填實: libghc-gtk-sni-tray-prof
libghc-gtk-strut-dev (0.1.3.0-2+b2 [s390x], 0.1.3.0-2+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el])
create strut windows with gi-gtk
libghc-gtk-strut-dev-0.1.3.0-13148
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-21c75
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-366d4
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-4e63c
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-55bb6
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-6ffc8
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-b9fd8
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-dev-0.1.3.0-bffac
本虛擬套件由這些套件填實: libghc-gtk-strut-dev
libghc-gtk-strut-doc (0.1.3.0-2)
create strut windows with gi-gtk; documentation
libghc-gtk-strut-prof (0.1.3.0-2+b2 [s390x], 0.1.3.0-2+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el])
create strut windows with gi-gtk; profiling libraries
libghc-gtk-strut-prof-0.1.3.0-13148
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-21c75
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-366d4
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-4e63c
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-55bb6
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-6ffc8
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-b9fd8
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-strut-prof-0.1.3.0-bffac
本虛擬套件由這些套件填實: libghc-gtk-strut-prof
libghc-gtk-traymanager-dev (1.0.1-4+b4 [s390x], 1.0.1-4+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1-4+b1 [mips64el, mipsel])
bindings for eggtraymanager (GTK+)
libghc-gtk-traymanager-dev-1.0.1-0da5f
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-4e175
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-5f351
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-7058e
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-98621
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-bcabc
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-dd0e6
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-dev-1.0.1-fbf42
本虛擬套件由這些套件填實: libghc-gtk-traymanager-dev
libghc-gtk-traymanager-doc (1.0.1-4)
bindings for eggtraymanager (GTK+); documentation
libghc-gtk-traymanager-prof (1.0.1-4+b4 [s390x], 1.0.1-4+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1-4+b1 [mips64el, mipsel])
bindings for eggtraymanager (GTK+); profiling libraries
libghc-gtk-traymanager-prof-1.0.1-0da5f
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-4e175
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-5f351
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-7058e
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-98621
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-bcabc
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-dd0e6
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk-traymanager-prof-1.0.1-fbf42
本虛擬套件由這些套件填實: libghc-gtk-traymanager-prof
libghc-gtk2hs-buildtools-dev (0.13.8.0-1+b2 [s390x], 0.13.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
gtk2hs-buildtools libraries
libghc-gtk2hs-buildtools-dev-0.13.8.0-06471
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-41303
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-4b575
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-53793
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-70a0a
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-8ef5e
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-9716b
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-dev-0.13.8.0-ca230
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-dev
libghc-gtk2hs-buildtools-doc (0.13.8.0-1)
gtk2hs-buildtools libraries; documentation
libghc-gtk2hs-buildtools-prof (0.13.8.0-1+b2 [s390x], 0.13.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
gtk2hs-buildtools libraries; profiling libraries
libghc-gtk2hs-buildtools-prof-0.13.8.0-06471
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-41303
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-4b575
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-53793
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-70a0a
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-8ef5e
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-9716b
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk2hs-buildtools-prof-0.13.8.0-ca230
本虛擬套件由這些套件填實: libghc-gtk2hs-buildtools-prof
libghc-gtk3-dev (0.15.5-1)
binding to the Gtk+ graphical user interface library
libghc-gtk3-dev-0.15.5-00110
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-1e814
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-2d4a0
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-71c3f
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-7d514
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-a7b9b
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-c35b3
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-dev-0.15.5-de37b
本虛擬套件由這些套件填實: libghc-gtk3-dev
libghc-gtk3-doc (0.15.5-1)
binding to the Gtk+ graphical user interface library; documentation
libghc-gtk3-prof (0.15.5-1)
binding to the Gtk+ graphical user interface library; profiling libraries
libghc-gtk3-prof-0.15.5-00110
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-1e814
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-2d4a0
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-71c3f
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-7d514
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-a7b9b
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-c35b3
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-gtk3-prof-0.15.5-de37b
本虛擬套件由這些套件填實: libghc-gtk3-prof
libghc-hackage-security-dev (0.6.0.1-2+b3 [s390x], 0.6.0.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.6.0.1-2+b1 [mips64el, mipsel])
Hackage security library
libghc-hackage-security-dev-0.6.0.1-0edf2
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-31994
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-4d3d4
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-6a4bf
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-84325
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-85b61
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-986d8
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-e1649
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-dev-0.6.0.1-f2fca
本虛擬套件由這些套件填實: libghc-hackage-security-dev
libghc-hackage-security-doc (0.6.0.1-2)
Hackage security library; documentation
libghc-hackage-security-prof (0.6.0.1-2+b3 [s390x], 0.6.0.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.6.0.1-2+b1 [mips64el, mipsel])
Hackage security library; profiling libraries
libghc-hackage-security-prof-0.6.0.1-0edf2
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-31994
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-4d3d4
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-6a4bf
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-84325
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-85b61
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-986d8
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-e1649
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-hackage-security-prof-0.6.0.1-f2fca
本虛擬套件由這些套件填實: libghc-hackage-security-prof
libghc-haddock-library-dev (1.8.0-1+b2 [s390x], 1.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library exposing some functionality of Haddock
libghc-haddock-library-dev-1.8.0-21826
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-38fa5
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-3a0e8
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-4da33
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-adf05
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-ea169
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-f6e22
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-dev-1.8.0-fa9d0
本虛擬套件由這些套件填實: libghc-haddock-library-dev
libghc-haddock-library-doc (1.8.0-1)
library exposing some functionality of Haddock; documentation
libghc-haddock-library-prof (1.8.0-1+b2 [s390x], 1.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library exposing some functionality of Haddock; profiling libraries
libghc-haddock-library-prof-1.8.0-21826
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-38fa5
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-3a0e8
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-4da33
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-adf05
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-ea169
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-f6e22
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-haddock-library-prof-1.8.0-fa9d0
本虛擬套件由這些套件填實: libghc-haddock-library-prof
libghc-hakyll-dev (4.13.4.0-2+b2)
static website compiler library
libghc-hakyll-dev-4.13.4.0-03a82
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-0b114
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-3890a
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-3bf16
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-5fad8
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-7e265
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-86502
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-930b1
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-dev-4.13.4.0-c756e
本虛擬套件由這些套件填實: libghc-hakyll-dev
libghc-hakyll-doc (4.13.4.0-2)
static website compiler library; documentation
libghc-hakyll-prof (4.13.4.0-2+b2)
static website compiler library; profiling libraries
libghc-hakyll-prof-4.13.4.0-03a82
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-0b114
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-3890a
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-3bf16
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-5fad8
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-7e265
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-86502
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-930b1
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-hakyll-prof-4.13.4.0-c756e
本虛擬套件由這些套件填實: libghc-hakyll-prof
libghc-half-dev (0.3-2+b2 [s390x], 0.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
half-precision floating-point
libghc-half-dev-0.3-29551
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-587d4
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-79e45
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-a52df
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-afc5a
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-b7e2b
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-cdc84
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-dev-0.3-e1e09
本虛擬套件由這些套件填實: libghc-half-dev
libghc-half-doc (0.3-2)
half-precision floating-point; documentation
libghc-half-prof (0.3-2+b2 [s390x], 0.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
half-precision floating-point; profiling libraries
libghc-half-prof-0.3-29551
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-587d4
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-79e45
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-a52df
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-afc5a
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-b7e2b
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-cdc84
本虛擬套件由這些套件填實: libghc-half-prof
libghc-half-prof-0.3-e1e09
本虛擬套件由這些套件填實: libghc-half-prof
libghc-happstack-authenticate-dev (2.4.0.3-1+b3 [s390x], 2.4.0.3-1+b2 [arm64, armel, armhf, ppc64el], 2.4.0.3-1+b1 [amd64, i386, mips64el, mipsel])
Happstack authentication library
libghc-happstack-authenticate-dev-2.4.0.3-36270
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-73bd5
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-7488e
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-76901
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-80e88
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-84390
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-c57b4
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-e8c6e
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-dev-2.4.0.3-fb7d8
本虛擬套件由這些套件填實: libghc-happstack-authenticate-dev
libghc-happstack-authenticate-doc (2.4.0.3-1)
Happstack authentication library; documentation
libghc-happstack-authenticate-prof (2.4.0.3-1+b3 [s390x], 2.4.0.3-1+b2 [arm64, armel, armhf, ppc64el], 2.4.0.3-1+b1 [amd64, i386, mips64el, mipsel])
Happstack authentication library; profiling libraries
libghc-happstack-authenticate-prof-2.4.0.3-36270
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-73bd5
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-7488e
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-76901
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-80e88
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-84390
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-c57b4
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-e8c6e
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-authenticate-prof-2.4.0.3-fb7d8
本虛擬套件由這些套件填實: libghc-happstack-authenticate-prof
libghc-happstack-hsp-dev (7.3.7.4-1+b3 [armhf, s390x], 7.3.7.4-1+b2 [amd64, arm64, armel, i386, ppc64el], 7.3.7.4-1+b1 [mips64el, mipsel])
support for using HSP templates in Happstack
libghc-happstack-hsp-dev-7.3.7.4-3c194
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-46178
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-47fe5
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-7be14
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-9dccf
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-ae502
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-d51bc
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-e367a
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-dev-7.3.7.4-e8677
本虛擬套件由這些套件填實: libghc-happstack-hsp-dev
libghc-happstack-hsp-doc (7.3.7.4-1)
support for using HSP templates in Happstack; documentation
libghc-happstack-hsp-prof (7.3.7.4-1+b3 [armhf, s390x], 7.3.7.4-1+b2 [amd64, arm64, armel, i386, ppc64el], 7.3.7.4-1+b1 [mips64el, mipsel])
support for using HSP templates in Happstack; profiling libraries
libghc-happstack-hsp-prof-7.3.7.4-3c194
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-46178
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-47fe5
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-7be14
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-9dccf
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-ae502
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-d51bc
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-e367a
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-hsp-prof-7.3.7.4-e8677
本虛擬套件由這些套件填實: libghc-happstack-hsp-prof
libghc-happstack-jmacro-dev (7.0.12.2-1+b3 [armhf, s390x], 7.0.12.2-1+b2 [amd64, arm64, armel, i386, mipsel, ppc64el], 7.0.12.2-1+b1 [mips64el])
Support for using JMacro with Happstack
libghc-happstack-jmacro-dev-7.0.12.2-0edec
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-44bbf
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-70ccd
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-7a8e6
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-87a8e
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-957eb
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-ba163
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-db32a
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-dev-7.0.12.2-fec8a
本虛擬套件由這些套件填實: libghc-happstack-jmacro-dev
libghc-happstack-jmacro-doc (7.0.12.2-1)
Support for using JMacro with Happstack; documentation
libghc-happstack-jmacro-prof (7.0.12.2-1+b3 [armhf, s390x], 7.0.12.2-1+b2 [amd64, arm64, armel, i386, mipsel, ppc64el], 7.0.12.2-1+b1 [mips64el])
Support for using JMacro with Happstack; profiling libraries
libghc-happstack-jmacro-prof-7.0.12.2-0edec
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-44bbf
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-70ccd
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-7a8e6
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-87a8e
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-957eb
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-ba163
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-db32a
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-jmacro-prof-7.0.12.2-fec8a
本虛擬套件由這些套件填實: libghc-happstack-jmacro-prof
libghc-happstack-server-dev (7.6.1-1+b3 [s390x], 7.6.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 7.6.1-1+b1 [mips64el, mipsel])
Happstack web framework, HTTP server
libghc-happstack-server-dev-7.6.1-100b4
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-19761
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-2515c
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-39645
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-3b186
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-416e3
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-4a71c
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-85b79
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-dev-7.6.1-e02d9
本虛擬套件由這些套件填實: libghc-happstack-server-dev
libghc-happstack-server-doc (7.6.1-1)
Happstack web framework, HTTP server; documentation
libghc-happstack-server-prof (7.6.1-1+b3 [s390x], 7.6.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 7.6.1-1+b1 [mips64el, mipsel])
Happstack web framework, HTTP server; profiling libraries
libghc-happstack-server-prof-7.6.1-100b4
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-19761
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-2515c
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-39645
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-3b186
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-416e3
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-4a71c
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-85b79
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-happstack-server-prof-7.6.1-e02d9
本虛擬套件由這些套件填實: libghc-happstack-server-prof
libghc-harp-dev (0.4.3.4-1+b2 [s390x], 0.4.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell support for regexp based pattern matching
libghc-harp-dev-0.4.3.4-11209
本虛擬套件由這些套件填實: libghc-harp-dev
libghc-harp-dev-0.4.3.4-17fe2
本虛擬套件由這些套件填實: libghc-harp-dev
libghc-harp-dev-0.4.3.4-733ed
本虛擬套件由這些套件填實: libghc-harp-dev
libghc-harp-dev-0.4.3.4-a71f4
本虛擬套件由這些套件填實: libghc-harp-dev
libghc-harp-doc (0.4.3.4-1)
Haskell support for regexp based pattern matching; documentation
libghc-harp-prof (0.4.3.4-1+b2 [s390x], 0.4.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell support for regexp based pattern matching; profiling libraries
libghc-harp-prof-0.4.3.4-11209
本虛擬套件由這些套件填實: libghc-harp-prof
libghc-harp-prof-0.4.3.4-17fe2
本虛擬套件由這些套件填實: libghc-harp-prof
libghc-harp-prof-0.4.3.4-733ed
本虛擬套件由這些套件填實: libghc-harp-prof
libghc-harp-prof-0.4.3.4-a71f4
本虛擬套件由這些套件填實: libghc-harp-prof
libghc-hashable-dev (1.3.0.0-2+b2 [s390x], 1.3.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
class for types that can be converted to a hash value
libghc-hashable-dev-1.3.0.0-28b04
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-523f3
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-55d2a
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-565bd
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-659f0
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-6bde1
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-7bb3f
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-dev-1.3.0.0-d5f3c
本虛擬套件由這些套件填實: libghc-hashable-dev
libghc-hashable-doc (1.3.0.0-2)
class for types that can be converted to a hash value; documentation
libghc-hashable-prof (1.3.0.0-2+b2 [s390x], 1.3.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
class for types that can be converted to a hash value; profiling libraries
libghc-hashable-prof-1.3.0.0-28b04
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-523f3
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-55d2a
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-565bd
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-659f0
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-6bde1
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-7bb3f
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-prof-1.3.0.0-d5f3c
本虛擬套件由這些套件填實: libghc-hashable-prof
libghc-hashable-time-dev (0.2.0.2-2+b2 [s390x], 0.2.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Hashable instances for Data.Time
libghc-hashable-time-dev-0.2.0.2-04fbf
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-3da50
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-40e91
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-41f0d
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-511f6
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-6f79b
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-a31d2
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-dev-0.2.0.2-d71e8
本虛擬套件由這些套件填實: libghc-hashable-time-dev
libghc-hashable-time-doc (0.2.0.2-2)
Hashable instances for Data.Time; documentation
libghc-hashable-time-prof (0.2.0.2-2+b2 [s390x], 0.2.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Hashable instances for Data.Time; profiling libraries
libghc-hashable-time-prof-0.2.0.2-04fbf
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-3da50
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-40e91
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-41f0d
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-511f6
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-6f79b
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-a31d2
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashable-time-prof-0.2.0.2-d71e8
本虛擬套件由這些套件填實: libghc-hashable-time-prof
libghc-hashmap-dev (1.3.3-3+b2 [s390x], 1.3.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
persistent containers Map and Set based on hashing
libghc-hashmap-dev-1.3.3-0909f
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-25363
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-3bccf
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-430e8
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-725c0
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-989a9
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-dfaaf
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-dev-1.3.3-f8bf7
本虛擬套件由這些套件填實: libghc-hashmap-dev
libghc-hashmap-doc (1.3.3-3)
persistent containers Map and Set based on hashing; documentation
libghc-hashmap-prof (1.3.3-3+b2 [s390x], 1.3.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
persistent containers Map and Set based on hashing; profiling libraries
libghc-hashmap-prof-1.3.3-0909f
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-25363
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-3bccf
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-430e8
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-725c0
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-989a9
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-dfaaf
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashmap-prof-1.3.3-f8bf7
本虛擬套件由這些套件填實: libghc-hashmap-prof
libghc-hashtables-dev (1.2.3.4-1+b2 [s390x], 1.2.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mutable hash tables
libghc-hashtables-dev-1.2.3.4-057b0
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-16de1
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-2c923
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-2e76b
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-362ea
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-6e20f
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-80bc2
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-dev-1.2.3.4-fd777
本虛擬套件由這些套件填實: libghc-hashtables-dev
libghc-hashtables-doc (1.2.3.4-1)
mutable hash tables -- documentation; documentation
libghc-hashtables-prof (1.2.3.4-1+b2 [s390x], 1.2.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mutable hash tables -- profiling libraries; profiling libraries
libghc-hashtables-prof-1.2.3.4-057b0
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-16de1
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-2c923
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-2e76b
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-362ea
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-6e20f
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-80bc2
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-hashtables-prof-1.2.3.4-fd777
本虛擬套件由這些套件填實: libghc-hashtables-prof
libghc-haskeline-dev (= 0.7.5.0)
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-01122
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-079ce
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-29185
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-4cbf7
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-52a07
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-cceb8
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-d1275
本虛擬套件由這些套件填實: ghc
libghc-haskeline-dev-0.7.5.0-d92dd
本虛擬套件由這些套件填實: ghc
libghc-haskeline-doc (= 0.7.5.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-haskeline-prof (= 0.7.5.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-01122
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-079ce
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-29185
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-4cbf7
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-52a07
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-cceb8
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-d1275
本虛擬套件由這些套件填實: ghc-prof
libghc-haskeline-prof-0.7.5.0-d92dd
本虛擬套件由這些套件填實: ghc-prof
libghc-haskell-gi-base-dev (0.24.2-1)
Foundation for libraries generated by haskell-gi
libghc-haskell-gi-base-dev-0.24.2-2fca2
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-30f91
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-3594f
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-8d4e5
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-909c3
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-a4bdf
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-a5e12
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-d04f8
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-dev-0.24.2-d4bc4
本虛擬套件由這些套件填實: libghc-haskell-gi-base-dev
libghc-haskell-gi-base-doc (0.24.2-1)
Foundation for libraries generated by haskell-gi; documentation
libghc-haskell-gi-base-prof (0.24.2-1)
Foundation for libraries generated by haskell-gi; profiling libraries
libghc-haskell-gi-base-prof-0.24.2-2fca2
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-30f91
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-3594f
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-8d4e5
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-909c3
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-a4bdf
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-a5e12
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-d04f8
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-base-prof-0.24.2-d4bc4
本虛擬套件由這些套件填實: libghc-haskell-gi-base-prof
libghc-haskell-gi-dev (0.24.4-2)
generate Haskell bindings for GI-capable libraries
libghc-haskell-gi-dev-0.24.4-26c1a
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-34550
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-53bd8
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-61202
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-76c5f
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-8ada6
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-90886
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-d4a3e
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-dev-0.24.4-e02c7
本虛擬套件由這些套件填實: libghc-haskell-gi-dev
libghc-haskell-gi-doc (0.24.4-2)
generate Haskell bindings for GI-capable libraries; documentation
libghc-haskell-gi-prof (0.24.4-2)
generate Haskell bindings for GI-capable libraries; profiling libraries
libghc-haskell-gi-prof-0.24.4-26c1a
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-34550
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-53bd8
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-61202
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-76c5f
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-8ada6
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-90886
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-d4a3e
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-gi-prof-0.24.4-e02c7
本虛擬套件由這些套件填實: libghc-haskell-gi-prof
libghc-haskell-lexer-dev (1.1-1+b2 [s390x], 1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A fully compliant Haskell 98 lexer
libghc-haskell-lexer-dev-1.1-1b423
本虛擬套件由這些套件填實: libghc-haskell-lexer-dev
libghc-haskell-lexer-dev-1.1-2ff46
本虛擬套件由這些套件填實: libghc-haskell-lexer-dev
libghc-haskell-lexer-dev-1.1-da394
本虛擬套件由這些套件填實: libghc-haskell-lexer-dev
libghc-haskell-lexer-dev-1.1-f1eea
本虛擬套件由這些套件填實: libghc-haskell-lexer-dev
libghc-haskell-lexer-doc (1.1-1)
Documentation for a fully compliant Haskell 98 lexer; documentation
libghc-haskell-lexer-prof (1.1-1+b2 [s390x], 1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling libraries for a fully compliant Haskell 98 lexer; profiling libraries
libghc-haskell-lexer-prof-1.1-1b423
本虛擬套件由這些套件填實: libghc-haskell-lexer-prof
libghc-haskell-lexer-prof-1.1-2ff46
本虛擬套件由這些套件填實: libghc-haskell-lexer-prof
libghc-haskell-lexer-prof-1.1-da394
本虛擬套件由這些套件填實: libghc-haskell-lexer-prof
libghc-haskell-lexer-prof-1.1-f1eea
本虛擬套件由這些套件填實: libghc-haskell-lexer-prof
libghc-haskell-qrencode-dev-1.0.4-27469
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-2c61d
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-31b5d
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-75415
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-76c5f
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-cdd89
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-dcb25
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-dev-1.0.4-f2f36
本虛擬套件由這些套件填實: libghc-qrencode-dev
libghc-haskell-qrencode-prof-1.0.4-27469
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-2c61d
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-31b5d
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-75415
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-76c5f
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-cdd89
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-dcb25
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-qrencode-prof-1.0.4-f2f36
本虛擬套件由這些套件填實: libghc-qrencode-prof
libghc-haskell-src-dev (1.0.3.1-1+b2 [s390x], 1.0.3.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell module to manipulate Haskell source
libghc-haskell-src-dev-1.0.3.1-04743
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-0b0c0
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-11499
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-4899e
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-b477b
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-c14d9
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-c514b
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-dev-1.0.3.1-f9bf1
本虛擬套件由這些套件填實: libghc-haskell-src-dev
libghc-haskell-src-doc (1.0.3.1-1)
Haskell module to manipulate Haskell source; documentation
libghc-haskell-src-exts-dev-1.23.1-1a3da
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-26f39
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-3319c
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-3e4b8
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-692e1
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-bffad
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-c8571
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-cc7df
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-dev-1.23.1-ededc
本虛擬套件由這些套件填實: libghc-src-exts-dev
libghc-haskell-src-exts-prof-1.23.1-1a3da
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-26f39
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-3319c
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-3e4b8
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-692e1
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-bffad
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-c8571
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-cc7df
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-prof-1.23.1-ededc
本虛擬套件由這些套件填實: libghc-src-exts-prof
libghc-haskell-src-exts-simple-dev-1.23.0.0-0a159
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-30d89
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-315d3
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-33d6d
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-876de
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-88de5
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-cf075
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-dev-1.23.0.0-f373b
本虛擬套件由這些套件填實: libghc-src-exts-simple-dev
libghc-haskell-src-exts-simple-prof-1.23.0.0-0a159
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-30d89
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-315d3
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-33d6d
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-876de
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-88de5
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-cf075
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-simple-prof-1.23.0.0-f373b
本虛擬套件由這些套件填實: libghc-src-exts-simple-prof
libghc-haskell-src-exts-util-dev-0.2.5-12182
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-20808
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-2e144
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-5937a
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-96843
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-9a472
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-a832c
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-c7d81
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-dev-0.2.5-d9fc7
本虛擬套件由這些套件填實: libghc-src-exts-util-dev
libghc-haskell-src-exts-util-prof-0.2.5-12182
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-20808
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-2e144
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-5937a
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-96843
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-9a472
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-a832c
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-c7d81
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-exts-util-prof-0.2.5-d9fc7
本虛擬套件由這些套件填實: libghc-src-exts-util-prof
libghc-haskell-src-meta-dev-0.8.5-08036
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-0f04a
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-13f0f
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-24f30
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-73ecf
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-7eedb
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-83611
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-99081
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-dev-0.8.5-f0498
本虛擬套件由這些套件填實: libghc-src-meta-dev
libghc-haskell-src-meta-prof-0.8.5-08036
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-0f04a
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-13f0f
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-24f30
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-73ecf
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-7eedb
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-83611
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-99081
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-meta-prof-0.8.5-f0498
本虛擬套件由這些套件填實: libghc-src-meta-prof
libghc-haskell-src-prof (1.0.3.1-1+b2 [s390x], 1.0.3.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell module to manipulate Haskell source; profiling libraries
libghc-haskell-src-prof-1.0.3.1-04743
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-0b0c0
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-11499
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-4899e
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-b477b
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-c14d9
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-c514b
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskell-src-prof-1.0.3.1-f9bf1
本虛擬套件由這些套件填實: libghc-haskell-src-prof
libghc-haskellformaths-dev-0.4.9-112a6
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-409fa
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-4f354
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-68525
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-779ba
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-90e1b
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-da599
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-dev-0.4.9-f701d
本虛擬套件由這些套件填實: libghc-maths-dev
libghc-haskellformaths-prof-0.4.9-112a6
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-409fa
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-4f354
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-68525
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-779ba
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-90e1b
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-da599
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haskellformaths-prof-0.4.9-f701d
本虛擬套件由這些套件填實: libghc-maths-prof
libghc-haxml-dev (1:1.25.5-2+b2 [s390x], 1:1.25.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC libraries for using XML documents with Haskell
libghc-haxml-dev-1.25.5-139da
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-3d615
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-4d96c
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-61da6
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-69b7a
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-c2d01
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-ce93e
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-dev-1.25.5-df67e
本虛擬套件由這些套件填實: libghc-haxml-dev
libghc-haxml-doc (1:1.25.5-2)
Documentation of HaXml
libghc-haxml-prof (1:1.25.5-2+b2 [s390x], 1:1.25.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling libraries for the haskell haxml library
libghc-haxml-prof-1.25.5-139da
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-3d615
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-4d96c
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-61da6
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-69b7a
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-c2d01
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-ce93e
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxml-prof-1.25.5-df67e
本虛擬套件由這些套件填實: libghc-haxml-prof
libghc-haxr-dev (3000.11.4.1-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 3000.11.4.1-1+b2 [mipsel], 3000.11.4.1-1+b1 [mips64el])
XML-RPC client and server library for Haskell
libghc-haxr-dev-3000.11.4.1-53ed8
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-5dcb9
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-724f9
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-81249
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-b5e0b
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-cff9a
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-d33c3
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-ede4e
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-dev-3000.11.4.1-fb98d
本虛擬套件由這些套件填實: libghc-haxr-dev
libghc-haxr-doc (3000.11.4.1-1)
XML-RPC client and server library for Haskell; documentation
libghc-haxr-prof (3000.11.4.1-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 3000.11.4.1-1+b2 [mipsel], 3000.11.4.1-1+b1 [mips64el])
XML-RPC client and server library for Haskell; profiling library
libghc-haxr-prof-3000.11.4.1-53ed8
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-5dcb9
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-724f9
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-81249
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-b5e0b
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-cff9a
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-d33c3
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-ede4e
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-haxr-prof-3000.11.4.1-fb98d
本虛擬套件由這些套件填實: libghc-haxr-prof
libghc-hclip-dev (3.0.0.4-7+b2 [s390x], 3.0.0.4-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library to read/modify the system clipboard
libghc-hclip-dev-3.0.0.4-03cf2
本虛擬套件由這些套件填實: libghc-hclip-dev
libghc-hclip-dev-3.0.0.4-6dedf
本虛擬套件由這些套件填實: libghc-hclip-dev
libghc-hclip-dev-3.0.0.4-711ec
本虛擬套件由這些套件填實: libghc-hclip-dev
libghc-hclip-dev-3.0.0.4-9ebe9
本虛擬套件由這些套件填實: libghc-hclip-dev
libghc-hclip-dev-3.0.0.4-dc44e
本虛擬套件由這些套件填實: libghc-hclip-dev
libghc-hclip-doc (3.0.0.4-7)
library to read/modify the system clipboard; documentation
libghc-hclip-prof (3.0.0.4-7+b2 [s390x], 3.0.0.4-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library to read/modify the system clipboard; profiling libraries
libghc-hclip-prof-3.0.0.4-03cf2
本虛擬套件由這些套件填實: libghc-hclip-prof
libghc-hclip-prof-3.0.0.4-6dedf
本虛擬套件由這些套件填實: libghc-hclip-prof
libghc-hclip-prof-3.0.0.4-711ec
本虛擬套件由這些套件填實: libghc-hclip-prof
libghc-hclip-prof-3.0.0.4-9ebe9
本虛擬套件由這些套件填實: libghc-hclip-prof
libghc-hclip-prof-3.0.0.4-dc44e
本虛擬套件由這些套件填實: libghc-hclip-prof
libghc-hcwiid-dev (0.0.6.1-4+b2 [s390x], 0.0.6.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to interface with the wiimote
libghc-hcwiid-dev-0.0.6.1-155f9
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-dev-0.0.6.1-18f34
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-dev-0.0.6.1-5bd6e
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-dev-0.0.6.1-a43b1
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-dev-0.0.6.1-c6337
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-dev-0.0.6.1-d2b89
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-dev-0.0.6.1-ef2bf
本虛擬套件由這些套件填實: libghc-hcwiid-dev
libghc-hcwiid-doc (0.0.6.1-4)
Library to interface with the wiimote; documentation
libghc-hcwiid-prof (0.0.6.1-4+b2 [s390x], 0.0.6.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to interface with the wiimote; profiling libraries
libghc-hcwiid-prof-0.0.6.1-155f9
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hcwiid-prof-0.0.6.1-18f34
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hcwiid-prof-0.0.6.1-5bd6e
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hcwiid-prof-0.0.6.1-a43b1
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hcwiid-prof-0.0.6.1-c6337
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hcwiid-prof-0.0.6.1-d2b89
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hcwiid-prof-0.0.6.1-ef2bf
本虛擬套件由這些套件填實: libghc-hcwiid-prof
libghc-hdbc-dev (2.4.0.3-1+b2 [s390x], 2.4.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell Database Connectivity, GHC package
libghc-hdbc-dev-2.4.0.3-0da16
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-5309e
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-530b2
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-7d87e
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-812c7
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-890c1
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-9d346
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-dev-2.4.0.3-9f2d6
本虛擬套件由這些套件填實: libghc-hdbc-dev
libghc-hdbc-doc (2.4.0.3-1)
Haskell Database Connectivity, Documentation
libghc-hdbc-postgresql-dev (2.3.2.7-1+b2 [s390x], 2.3.2.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
PostgreSQL HDBC (Haskell Database Connectivity) Driver for GHC
libghc-hdbc-postgresql-dev-2.3.2.7-09883
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-54050
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-72fda
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-ae58f
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-e0dde
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-f29bc
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-f8292
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-dev-2.3.2.7-fa727
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-dev
libghc-hdbc-postgresql-doc (2.3.2.7-1)
PostgreSQL HDBC (Haskell Database Connectivity) documentation
libghc-hdbc-postgresql-prof (2.3.2.7-1+b2 [s390x], 2.3.2.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
PostgreSQL HDBC Driver for GHC; profiling libraries
libghc-hdbc-postgresql-prof-2.3.2.7-09883
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-54050
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-72fda
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-ae58f
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-e0dde
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-f29bc
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-f8292
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-postgresql-prof-2.3.2.7-fa727
本虛擬套件由這些套件填實: libghc-hdbc-postgresql-prof
libghc-hdbc-prof (2.4.0.3-1+b2 [s390x], 2.4.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell Database Connectivity, GHC profiling data
libghc-hdbc-prof-2.4.0.3-0da16
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-5309e
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-530b2
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-7d87e
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-812c7
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-890c1
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-9d346
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-prof-2.4.0.3-9f2d6
本虛擬套件由這些套件填實: libghc-hdbc-prof
libghc-hdbc-session-dev (0.1.2.0-3+b2 [s390x], 0.1.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bracketed connection for HDBC
libghc-hdbc-session-dev-0.1.2.0-02873
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-0a800
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-12b4b
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-33421
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-47e29
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-5a12f
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-5cf86
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-dev-0.1.2.0-90ccd
本虛擬套件由這些套件填實: libghc-hdbc-session-dev
libghc-hdbc-session-doc (0.1.2.0-3)
bracketed connection for HDBC; documentation
libghc-hdbc-session-prof (0.1.2.0-3+b2 [s390x], 0.1.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bracketed connection for HDBC; profiling libraries
libghc-hdbc-session-prof-0.1.2.0-02873
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-0a800
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-12b4b
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-33421
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-47e29
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-5a12f
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-5cf86
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-session-prof-0.1.2.0-90ccd
本虛擬套件由這些套件填實: libghc-hdbc-session-prof
libghc-hdbc-sqlite3-dev (2.3.3.1-8+b2 [s390x], 2.3.3.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Sqlite v3 HDBC (Haskell Database Connectivity) Driver for GHC
libghc-hdbc-sqlite3-dev-2.3.3.1-025ad
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-15d41
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-2bc2a
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-2bd90
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-4aa27
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-91d18
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-941f5
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-dev-2.3.3.1-a0f89
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-dev
libghc-hdbc-sqlite3-doc (2.3.3.1-8)
Sqlite v3 HDBC (Haskell Database Connectivity) Documentation
libghc-hdbc-sqlite3-prof (2.3.3.1-8+b2 [s390x], 2.3.3.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Sqlite v3 HDBC Driver for GHC; profiling libraries
libghc-hdbc-sqlite3-prof-2.3.3.1-025ad
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-15d41
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-2bc2a
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-2bd90
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-4aa27
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-91d18
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-941f5
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-hdbc-sqlite3-prof-2.3.3.1-a0f89
本虛擬套件由這些套件填實: libghc-hdbc-sqlite3-prof
libghc-heaps-dev (0.3.6.1-3+b2 [s390x], 0.3.6.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
asymptotically optimal Brodal/Okasaki heaps.
libghc-heaps-dev-0.3.6.1-09527
本虛擬套件由這些套件填實: libghc-heaps-dev
libghc-heaps-dev-0.3.6.1-5eb42
本虛擬套件由這些套件填實: libghc-heaps-dev
libghc-heaps-dev-0.3.6.1-b10fd
本虛擬套件由這些套件填實: libghc-heaps-dev
libghc-heaps-dev-0.3.6.1-c5b03
本虛擬套件由這些套件填實: libghc-heaps-dev
libghc-heaps-dev-0.3.6.1-ff80b
本虛擬套件由這些套件填實: libghc-heaps-dev
libghc-heaps-doc (0.3.6.1-3)
asymptotically optimal Brodal/Okasaki heaps.; documentation
libghc-heaps-prof (0.3.6.1-3+b2 [s390x], 0.3.6.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
asymptotically optimal Brodal/Okasaki heaps.; profiling libraries
libghc-heaps-prof-0.3.6.1-09527
本虛擬套件由這些套件填實: libghc-heaps-prof
libghc-heaps-prof-0.3.6.1-5eb42
本虛擬套件由這些套件填實: libghc-heaps-prof
libghc-heaps-prof-0.3.6.1-b10fd
本虛擬套件由這些套件填實: libghc-heaps-prof
libghc-heaps-prof-0.3.6.1-c5b03
本虛擬套件由這些套件填實: libghc-heaps-prof
libghc-heaps-prof-0.3.6.1-ff80b
本虛擬套件由這些套件填實: libghc-heaps-prof
libghc-hedgehog-dev (1.0.3-1)
Hedgehog will eat all your bugs
libghc-hedgehog-dev-1.0.3-09f6d
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-0bcca
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-3dd72
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-7bb9e
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-b7dc1
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-c4483
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-c5d80
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-dev-1.0.3-dd1ed
本虛擬套件由這些套件填實: libghc-hedgehog-dev
libghc-hedgehog-doc (1.0.3-1)
Hedgehog will eat all your bugs; documentation
libghc-hedgehog-prof (1.0.3-1)
Hedgehog will eat all your bugs; profiling libraries
libghc-hedgehog-prof-1.0.3-09f6d
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-0bcca
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-3dd72
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-7bb9e
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-b7dc1
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-c4483
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-c5d80
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedgehog-prof-1.0.3-dd1ed
本虛擬套件由這些套件填實: libghc-hedgehog-prof
libghc-hedis-dev (0.12.14-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.12.14-1 [mips64el, mipsel])
client library for the Redis datastore
libghc-hedis-dev-0.12.14-59e7b
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-82031
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-8ac1d
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-917ee
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-9e8a7
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-a987f
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-b71e7
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-c5205
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-dev-0.12.14-eee8a
本虛擬套件由這些套件填實: libghc-hedis-dev
libghc-hedis-doc (0.12.14-1)
client library for the Redis datastore; documentation
libghc-hedis-prof (0.12.14-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.12.14-1 [mips64el, mipsel])
client library for the Redis datastore; profiling libraries
libghc-hedis-prof-0.12.14-59e7b
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-82031
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-8ac1d
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-917ee
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-9e8a7
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-a987f
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-b71e7
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-c5205
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-hedis-prof-0.12.14-eee8a
本虛擬套件由這些套件填實: libghc-hedis-prof
libghc-heist-dev (1.1.0.1-3+b3 [s390x], 1.1.0.1-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.1.0.1-3+b1 [mips64el, mipsel])
template system supporting both HTML5 and XML
libghc-heist-dev-1.1.0.1-11f91
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-13c52
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-7dd73
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-86e65
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-8dbdb
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-9f582
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-b161f
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-dev-1.1.0.1-db6bd
本虛擬套件由這些套件填實: libghc-heist-dev
libghc-heist-doc (1.1.0.1-3)
template system supporting both HTML5 and XML; documentation
libghc-heist-prof (1.1.0.1-3+b3 [s390x], 1.1.0.1-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.1.0.1-3+b1 [mips64el, mipsel])
template system supporting both HTML5 and XML; profiling libraries
libghc-heist-prof-1.1.0.1-11f91
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-13c52
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-7dd73
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-86e65
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-8dbdb
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-9f582
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-b161f
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-heist-prof-1.1.0.1-db6bd
本虛擬套件由這些套件填實: libghc-heist-prof
libghc-here-dev (1.2.13-5+b3 [armhf, s390x], 1.2.13-5+b2 [amd64, arm64, armel, i386, ppc64el], 1.2.13-5+b1 [mips64el, mipsel])
Here documents and interpolated strings via quasiquotation
libghc-here-dev-1.2.13-14471
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-2a492
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-2c486
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-30405
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-3094d
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-397c3
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-528c9
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-ea5bc
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-dev-1.2.13-f79b5
本虛擬套件由這些套件填實: libghc-here-dev
libghc-here-doc (1.2.13-5)
Here documents and interpolated strings via quasiquotation; documentation
libghc-here-prof (1.2.13-5+b3 [armhf, s390x], 1.2.13-5+b2 [amd64, arm64, armel, i386, ppc64el], 1.2.13-5+b1 [mips64el, mipsel])
Here documents and interpolated strings via quasiquotation; profiling libraries
libghc-here-prof-1.2.13-14471
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-2a492
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-2c486
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-30405
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-3094d
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-397c3
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-528c9
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-ea5bc
本虛擬套件由這些套件填實: libghc-here-prof
libghc-here-prof-1.2.13-f79b5
本虛擬套件由這些套件填實: libghc-here-prof
libghc-heredoc-dev (0.2.0.0-6+b2 [s390x], 0.2.0.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
multi-line string / here document using QuasiQuotes
libghc-heredoc-dev-0.2.0.0-1b090
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-dev-0.2.0.0-47f04
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-dev-0.2.0.0-58741
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-dev-0.2.0.0-6aa36
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-dev-0.2.0.0-95b4a
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-dev-0.2.0.0-96af7
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-dev-0.2.0.0-9bce4
本虛擬套件由這些套件填實: libghc-heredoc-dev
libghc-heredoc-doc (0.2.0.0-6)
multi-line string / here document using QuasiQuotes; documentation
libghc-heredoc-prof (0.2.0.0-6+b2 [s390x], 0.2.0.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
multi-line string / here document using QuasiQuotes; profiling libraries
libghc-heredoc-prof-0.2.0.0-1b090
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heredoc-prof-0.2.0.0-47f04
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heredoc-prof-0.2.0.0-58741
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heredoc-prof-0.2.0.0-6aa36
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heredoc-prof-0.2.0.0-95b4a
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heredoc-prof-0.2.0.0-96af7
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heredoc-prof-0.2.0.0-9bce4
本虛擬套件由這些套件填實: libghc-heredoc-prof
libghc-heterocephalus-dev (1.0.5.3-3+b4 [s390x], 1.0.5.3-3+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.5.3-3+b2 [mipsel], 1.0.5.3-3+b1 [mips64el])
type-safe template engine for popular front-end tools
libghc-heterocephalus-dev-1.0.5.3-00e9d
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-0c476
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-3aa13
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-3c9f0
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-8cc7b
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-9038a
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-91781
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-dev-1.0.5.3-e89f5
本虛擬套件由這些套件填實: libghc-heterocephalus-dev
libghc-heterocephalus-doc (1.0.5.3-3)
type-safe template engine for popular front-end tools; documentation
libghc-heterocephalus-prof (1.0.5.3-3+b4 [s390x], 1.0.5.3-3+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.5.3-3+b2 [mipsel], 1.0.5.3-3+b1 [mips64el])
type-safe template engine for popular front-end tools; profiling libraries
libghc-heterocephalus-prof-1.0.5.3-00e9d
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-0c476
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-3aa13
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-3c9f0
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-8cc7b
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-9038a
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-91781
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-heterocephalus-prof-1.0.5.3-e89f5
本虛擬套件由這些套件填實: libghc-heterocephalus-prof
libghc-hex-dev (0.1.2-8+b2 [s390x], 0.1.2-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convert strings into hexadecimal and back
libghc-hex-dev-0.1.2-215c1
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-70499
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-a2749
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-c034c
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-d1891
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-e21a5
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-e7f68
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-dev-0.1.2-eda60
本虛擬套件由這些套件填實: libghc-hex-dev
libghc-hex-doc (0.1.2-8)
convert strings into hexadecimal and back; documentation
libghc-hex-prof (0.1.2-8+b2 [s390x], 0.1.2-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convert strings into hexadecimal and back; profiling libraries
libghc-hex-prof-0.1.2-215c1
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-70499
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-a2749
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-c034c
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-d1891
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-e21a5
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-e7f68
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hex-prof-0.1.2-eda60
本虛擬套件由這些套件填實: libghc-hex-prof
libghc-hgettext-dev (0.1.31.0-8)
Gettext bindings for haskell
libghc-hgettext-dev-0.1.31.0-6af3e
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-7da0b
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-af2de
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-c6d44
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-da651
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-ed04c
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-f88cb
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-dev-0.1.31.0-ffb00
本虛擬套件由這些套件填實: libghc-hgettext-dev
libghc-hgettext-doc (0.1.31.0-8)
Gettext bindings for haskell; documentation
libghc-hgettext-prof (0.1.31.0-8)
Gettext bindings for haskell; profiling libraries
libghc-hgettext-prof-0.1.31.0-6af3e
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-7da0b
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-af2de
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-c6d44
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-da651
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-ed04c
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-f88cb
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hgettext-prof-0.1.31.0-ffb00
本虛擬套件由這些套件填實: libghc-hgettext-prof
libghc-hi-file-parser-dev (0.1.0.0-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.0.0-2+b2 [mipsel], 0.1.0.0-2+b1 [mips64el])
Parser for GHC's hi files
libghc-hi-file-parser-dev-0.1.0.0-04cd0
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-1ab4f
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-225b3
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-40d24
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-5138f
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-55972
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-66f3b
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-de305
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-dev-0.1.0.0-fa964
本虛擬套件由這些套件填實: libghc-hi-file-parser-dev
libghc-hi-file-parser-doc (0.1.0.0-2)
Parser for GHC's hi files; documentation
libghc-hi-file-parser-prof (0.1.0.0-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.0.0-2+b2 [mipsel], 0.1.0.0-2+b1 [mips64el])
Parser for GHC's hi files; profiling libraries
libghc-hi-file-parser-prof-0.1.0.0-04cd0
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-1ab4f
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-225b3
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-40d24
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-5138f
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-55972
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-66f3b
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-de305
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hi-file-parser-prof-0.1.0.0-fa964
本虛擬套件由這些套件填實: libghc-hi-file-parser-prof
libghc-hierarchical-clustering-dev (0.4.7-1+b2 [s390x], 0.4.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast algorithms for single, average/UPGMA and complete linkage clustering
libghc-hierarchical-clustering-dev-0.4.7-1366b
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-dev
libghc-hierarchical-clustering-dev-0.4.7-1bc71
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-dev
libghc-hierarchical-clustering-dev-0.4.7-bf841
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-dev
libghc-hierarchical-clustering-dev-0.4.7-c7c96
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-dev
libghc-hierarchical-clustering-dev-0.4.7-d7cde
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-dev
libghc-hierarchical-clustering-doc (0.4.7-1)
fast algorithms for single, average/UPGMA and complete linkage clustering; documentation
libghc-hierarchical-clustering-prof (0.4.7-1+b2 [s390x], 0.4.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast algorithms for single, average/UPGMA and complete linkage clustering; profiling libraries
libghc-hierarchical-clustering-prof-0.4.7-1366b
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-prof
libghc-hierarchical-clustering-prof-0.4.7-1bc71
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-prof
libghc-hierarchical-clustering-prof-0.4.7-bf841
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-prof
libghc-hierarchical-clustering-prof-0.4.7-c7c96
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-prof
libghc-hierarchical-clustering-prof-0.4.7-d7cde
本虛擬套件由這些套件填實: libghc-hierarchical-clustering-prof
libghc-hindent-dev (5.3.1-2+b3 [amd64, arm64, armhf, ppc64el, s390x], 5.3.1-2+b2 [armel, i386], 5.3.1-2+b1 [mips64el, mipsel])
Extensible Haskell pretty printer
libghc-hindent-dev-5.3.1-182e6
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-2855f
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-770f4
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-94c95
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-9cdc8
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-b6071
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-ed3fa
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-f4aa2
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-dev-5.3.1-f72a5
本虛擬套件由這些套件填實: libghc-hindent-dev
libghc-hindent-doc (5.3.1-2)
Extensible Haskell pretty printer; documentation
libghc-hindent-prof (5.3.1-2+b3 [amd64, arm64, armhf, ppc64el, s390x], 5.3.1-2+b2 [armel, i386], 5.3.1-2+b1 [mips64el, mipsel])
Extensible Haskell pretty printer; profiling libraries
libghc-hindent-prof-5.3.1-182e6
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-2855f
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-770f4
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-94c95
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-9cdc8
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-b6071
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-ed3fa
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-f4aa2
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hindent-prof-5.3.1-f72a5
本虛擬套件由這些套件填實: libghc-hindent-prof
libghc-hinotify-dev (0.4-2+b2 [s390x], 0.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell inotify library
libghc-hinotify-dev-0.4-48c94
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-6daf1
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-86b2e
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-b7528
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-cfb1b
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-e8b82
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-fb90d
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-dev-0.4-fd85a
本虛擬套件由這些套件填實: libghc-hinotify-dev
libghc-hinotify-doc (0.4-2)
Haskell inotify library; documentation
libghc-hinotify-prof (0.4-2+b2 [s390x], 0.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell inotify library; profiling libraries
libghc-hinotify-prof-0.4-48c94
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-6daf1
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-86b2e
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-b7528
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-cfb1b
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-e8b82
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-fb90d
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hinotify-prof-0.4-fd85a
本虛擬套件由這些套件填實: libghc-hinotify-prof
libghc-hint-dev (0.9.0.3-1+b3)
runtime Haskell interpreter (GHC API wrapper)
libghc-hint-dev-0.9.0.3-01c8a
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-203b5
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-3ca9a
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-8f996
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-929b1
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-b7146
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-cb73e
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-d20a9
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-dev-0.9.0.3-ede7b
本虛擬套件由這些套件填實: libghc-hint-dev
libghc-hint-doc (0.9.0.3-1)
runtime Haskell interpreter (GHC API wrapper); documentation
libghc-hint-prof (0.9.0.3-1+b3)
runtime Haskell interpreter (GHC API wrapper); profiling libraries
libghc-hint-prof-0.9.0.3-01c8a
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-203b5
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-3ca9a
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-8f996
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-929b1
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-b7146
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-cb73e
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-d20a9
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hint-prof-0.9.0.3-ede7b
本虛擬套件由這些套件填實: libghc-hint-prof
libghc-hjsmin-dev (0.2.0.4-1+b2 [s390x], 0.2.0.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
JavaScript minifier
libghc-hjsmin-dev-0.2.0.4-4f7b1
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-5f939
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-988e7
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-c95ff
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-e196d
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-e542f
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-e77fa
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-dev-0.2.0.4-ffb98
本虛擬套件由這些套件填實: libghc-hjsmin-dev
libghc-hjsmin-doc (0.2.0.4-1)
JavaScript minifier; documentation
libghc-hjsmin-prof (0.2.0.4-1+b2 [s390x], 0.2.0.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
JavaScript minifier; profiling libraries
libghc-hjsmin-prof-0.2.0.4-4f7b1
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-5f939
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-988e7
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-c95ff
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-e196d
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-e542f
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-e77fa
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hjsmin-prof-0.2.0.4-ffb98
本虛擬套件由這些套件填實: libghc-hjsmin-prof
libghc-hledger-dev (1.18.1-1+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1.18.1-1 [mips64el])
CLI libraries for hledger
libghc-hledger-dev-1.18.1-1a6fc
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-352c2
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-3ffdb
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-54fca
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-648e7
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-9ee4e
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-e7dc3
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-dev-1.18.1-f1ccf
本虛擬套件由這些套件填實: libghc-hledger-dev
libghc-hledger-doc (1.18.1-1)
CLI libraries for hledger; documentation
libghc-hledger-lib-dev (1.18.1-1)
core data types, parsers and utilities for the hledger accounting tool
libghc-hledger-lib-dev-1.18.1-45981
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-6018c
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-634c4
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-983f3
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-afa90
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-cc9ff
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-d6621
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-dev-1.18.1-e47ea
本虛擬套件由這些套件填實: libghc-hledger-lib-dev
libghc-hledger-lib-doc (1.18.1-1)
core data types, parsers and utilities for hledger; documentation
libghc-hledger-lib-prof (1.18.1-1)
core data types, parsers and utilities for hledger; profiling libraries
libghc-hledger-lib-prof-1.18.1-45981
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-6018c
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-634c4
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-983f3
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-afa90
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-cc9ff
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-d6621
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-lib-prof-1.18.1-e47ea
本虛擬套件由這些套件填實: libghc-hledger-lib-prof
libghc-hledger-prof (1.18.1-1+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1.18.1-1 [mips64el])
CLI libraries for hledger; profiling libraries
libghc-hledger-prof-1.18.1-1a6fc
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-352c2
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-3ffdb
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-54fca
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-648e7
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-9ee4e
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-e7dc3
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hledger-prof-1.18.1-f1ccf
本虛擬套件由這些套件填實: libghc-hledger-prof
libghc-hlint-dev (3.1.6-1)
Haskell source code suggestions
libghc-hlint-dev-3.1.6-6910b
本虛擬套件由這些套件填實: libghc-hlint-dev
libghc-hlint-dev-3.1.6-7be36
本虛擬套件由這些套件填實: libghc-hlint-dev
libghc-hlint-dev-3.1.6-861cb
本虛擬套件由這些套件填實: libghc-hlint-dev
libghc-hlint-dev-3.1.6-9fb26
本虛擬套件由這些套件填實: libghc-hlint-dev
libghc-hlint-dev-3.1.6-c01f4
本虛擬套件由這些套件填實: libghc-hlint-dev
libghc-hlint-doc (3.1.6-1)
Haskell source code suggestions; documentation
libghc-hlint-prof (3.1.6-1)
Haskell source code suggestions; profiling libraries
libghc-hlint-prof-3.1.6-6910b
本虛擬套件由這些套件填實: libghc-hlint-prof
libghc-hlint-prof-3.1.6-7be36
本虛擬套件由這些套件填實: libghc-hlint-prof
libghc-hlint-prof-3.1.6-861cb
本虛擬套件由這些套件填實: libghc-hlint-prof
libghc-hlint-prof-3.1.6-9fb26
本虛擬套件由這些套件填實: libghc-hlint-prof
libghc-hlint-prof-3.1.6-c01f4
本虛擬套件由這些套件填實: libghc-hlint-prof
libghc-hmatrix-dev (0.20.0.0-1+b2 [s390x], 0.20.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Linear algebra in Haskell
libghc-hmatrix-dev-0.20.0.0-2aa36
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-5a758
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-6a0e8
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-6abaa
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-9471a
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-aeb4b
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-c7bea
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-dev-0.20.0.0-d5175
本虛擬套件由這些套件填實: libghc-hmatrix-dev
libghc-hmatrix-doc (0.20.0.0-1)
Linear algebra in Haskell; documentation
libghc-hmatrix-gsl-dev (0.19.0.1-2+b2 [s390x], 0.19.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
numerical computation
libghc-hmatrix-gsl-dev-0.19.0.1-16cf6
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-51503
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-70a21
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-828d6
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-912c0
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-b6f77
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-d6c36
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-dev-0.19.0.1-efa91
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-dev
libghc-hmatrix-gsl-doc (0.19.0.1-2)
numerical computation; documentation
libghc-hmatrix-gsl-prof (0.19.0.1-2+b2 [s390x], 0.19.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
numerical computation; profiling libraries
libghc-hmatrix-gsl-prof-0.19.0.1-16cf6
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-51503
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-70a21
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-828d6
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-912c0
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-b6f77
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-d6c36
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-gsl-prof-0.19.0.1-efa91
本虛擬套件由這些套件填實: libghc-hmatrix-gsl-prof
libghc-hmatrix-prof (0.20.0.0-1+b2 [s390x], 0.20.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Linear algebra in Haskell; profiling libraries
libghc-hmatrix-prof-0.20.0.0-2aa36
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-5a758
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-6a0e8
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-6abaa
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-9471a
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-aeb4b
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-c7bea
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hmatrix-prof-0.20.0.0-d5175
本虛擬套件由這些套件填實: libghc-hmatrix-prof
libghc-hoauth2-dev (1.14.0-1)
OAuth2 authentication for Haskell
libghc-hoauth2-dev-1.14.0-33482
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-3853b
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-42121
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-8b804
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-a97aa
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-b5ed4
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-bb3d9
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-cbe8c
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-dev-1.14.0-e6919
本虛擬套件由這些套件填實: libghc-hoauth2-dev
libghc-hoauth2-doc (1.14.0-1)
OAuth2 authentication for Haskell; documentation
libghc-hoauth2-prof (1.14.0-1)
OAuth2 authentication for Haskell; profiling libraries
libghc-hoauth2-prof-1.14.0-33482
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-3853b
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-42121
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-8b804
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-a97aa
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-b5ed4
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-bb3d9
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-cbe8c
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hoauth2-prof-1.14.0-e6919
本虛擬套件由這些套件填實: libghc-hoauth2-prof
libghc-hookup-dev (0.4-2)
abstraction over network connections with SOCKS5 and TLS
libghc-hookup-dev-0.4-1006f
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-7ba63
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-7fcde
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-83af7
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-a8c97
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-b70c2
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-db329
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-dev-0.4-e5502
本虛擬套件由這些套件填實: libghc-hookup-dev
libghc-hookup-doc (0.4-2)
abstraction over network connections with SOCKS5 and TLS; documentation
libghc-hookup-prof (0.4-2)
abstraction over network connections with SOCKS5 and TLS; profiling libraries
libghc-hookup-prof-0.4-1006f
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-7ba63
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-7fcde
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-83af7
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-a8c97
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-b70c2
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-db329
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hookup-prof-0.4-e5502
本虛擬套件由這些套件填實: libghc-hookup-prof
libghc-hopenpgp-dev (2.9.5-1)
implementation of OpenPGP (RFC4880)
libghc-hopenpgp-dev-2.9.5-028e7
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-44db3
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-4a5ef
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-974aa
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-b7f73
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-c2562
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-d78a1
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-dc7c0
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-dev-2.9.5-ebe5e
本虛擬套件由這些套件填實: libghc-hopenpgp-dev
libghc-hopenpgp-doc (2.9.5-1)
implementation of OpenPGP (RFC4880); documentation
libghc-hopenpgp-prof (2.9.5-1)
OpenPGP (RFC4880); profiling libraries
libghc-hopenpgp-prof-2.9.5-028e7
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-44db3
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-4a5ef
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-974aa
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-b7f73
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-c2562
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-d78a1
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-dc7c0
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hopenpgp-prof-2.9.5-ebe5e
本虛擬套件由這些套件填實: libghc-hopenpgp-prof
libghc-hosc-dev (0.17-2+b2 [s390x], 0.17-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell Open Sound Control
libghc-hosc-dev-0.17-06692
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-3c695
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-64fe9
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-75c1a
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-84b07
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-99ead
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-d81b7
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-dev-0.17-f6365
本虛擬套件由這些套件填實: libghc-hosc-dev
libghc-hosc-doc (0.17-2)
Haskell Open Sound Control; documentation
libghc-hosc-prof (0.17-2+b2 [s390x], 0.17-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell Open Sound Control; profiling libraries
libghc-hosc-prof-0.17-06692
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-3c695
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-64fe9
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-75c1a
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-84b07
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-99ead
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-d81b7
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hosc-prof-0.17-f6365
本虛擬套件由這些套件填實: libghc-hosc-prof
libghc-hostname-dev (1.0-15+b2 [s390x], 1.0-15+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
providing a cross-platform means of determining the hostname
libghc-hostname-dev-1.0-1df0c
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-dev-1.0-2c935
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-dev-1.0-5b514
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-dev-1.0-85dff
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-dev-1.0-8c16c
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-dev-1.0-c71c1
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-dev-1.0-dec64
本虛擬套件由這些套件填實: libghc-hostname-dev
libghc-hostname-doc (1.0-15)
providing a cross-platform means of determining the hostname; documentation
libghc-hostname-prof (1.0-15+b2 [s390x], 1.0-15+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
providing a cross-platform means of determining the hostname; profiling lib
libghc-hostname-prof-1.0-1df0c
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hostname-prof-1.0-2c935
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hostname-prof-1.0-5b514
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hostname-prof-1.0-85dff
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hostname-prof-1.0-8c16c
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hostname-prof-1.0-c71c1
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hostname-prof-1.0-dec64
本虛擬套件由這些套件填實: libghc-hostname-prof
libghc-hourglass-dev (0.2.12-3+b2 [s390x], 0.2.12-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple performant time-related library
libghc-hourglass-dev-0.2.12-2129b
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-25176
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-29646
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-68d20
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-95f7a
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-95f84
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-a65fe
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-dev-0.2.12-f1b19
本虛擬套件由這些套件填實: libghc-hourglass-dev
libghc-hourglass-doc (0.2.12-3)
simple performant time-related library; documentation
libghc-hourglass-prof (0.2.12-3+b2 [s390x], 0.2.12-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple performant time-related library; profiling libraries
libghc-hourglass-prof-0.2.12-2129b
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-25176
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-29646
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-68d20
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-95f7a
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-95f84
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-a65fe
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hourglass-prof-0.2.12-f1b19
本虛擬套件由這些套件填實: libghc-hourglass-prof
libghc-hpack-dev (0.34.2-1)
alternative format for Haskell packages
libghc-hpack-dev-0.34.2-8be40
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-95ba1
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-b2e18
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-ba93c
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-c31a4
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-d2c85
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-fc090
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-fc89a
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-dev-0.34.2-fcf24
本虛擬套件由這些套件填實: libghc-hpack-dev
libghc-hpack-doc (0.34.2-1)
alternative format for Haskell packages; documentation
libghc-hpack-prof (0.34.2-1)
alternative format for Haskell packages; profiling libraries
libghc-hpack-prof-0.34.2-8be40
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-95ba1
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-b2e18
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-ba93c
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-c31a4
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-d2c85
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-fc090
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-fc89a
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpack-prof-0.34.2-fcf24
本虛擬套件由這些套件填實: libghc-hpack-prof
libghc-hpc-dev (= 0.6.0.3)
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-0630e
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-06e14
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-0bb10
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-0eb47
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-24af7
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-58ac1
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-a6c5e
本虛擬套件由這些套件填實: ghc
libghc-hpc-dev-0.6.0.3-cdc37
本虛擬套件由這些套件填實: ghc
libghc-hpc-doc (= 0.6.0.3)
本虛擬套件由這些套件填實: ghc-doc
libghc-hpc-prof (= 0.6.0.3)
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-0630e
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-06e14
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-0bb10
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-0eb47
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-24af7
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-58ac1
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-a6c5e
本虛擬套件由這些套件填實: ghc-prof
libghc-hpc-prof-0.6.0.3-cdc37
本虛擬套件由這些套件填實: ghc-prof
libghc-hs-bibutils-dev (6.10.0.0-3+b2 [s390x], 6.10.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to bibutils library
libghc-hs-bibutils-dev-6.10.0.0-08d17
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-dev-6.10.0.0-1833c
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-dev-6.10.0.0-56c8f
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-dev-6.10.0.0-63813
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-dev-6.10.0.0-70879
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-dev-6.10.0.0-8f6ec
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-dev-6.10.0.0-ef083
本虛擬套件由這些套件填實: libghc-hs-bibutils-dev
libghc-hs-bibutils-doc (6.10.0.0-3)
Haskell bindings to bibutils library; documentation
libghc-hs-bibutils-prof (6.10.0.0-3+b2 [s390x], 6.10.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to bibutils library; profiling libraries
libghc-hs-bibutils-prof-6.10.0.0-08d17
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hs-bibutils-prof-6.10.0.0-1833c
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hs-bibutils-prof-6.10.0.0-56c8f
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hs-bibutils-prof-6.10.0.0-63813
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hs-bibutils-prof-6.10.0.0-70879
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hs-bibutils-prof-6.10.0.0-8f6ec
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hs-bibutils-prof-6.10.0.0-ef083
本虛擬套件由這些套件填實: libghc-hs-bibutils-prof
libghc-hscolour-dev (1.24.4-3+b2 [s390x], 1.24.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC libraries for colourising Haskell code
libghc-hscolour-dev-1.24.4-0ccb3
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-3381c
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-6e809
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-88d2b
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-a8012
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-c45a6
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-d17db
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-dev-1.24.4-f0683
本虛擬套件由這些套件填實: libghc-hscolour-dev
libghc-hscolour-doc (1.24.4-3)
Documentation for libghc-hscolour-dev; documentation
libghc-hscolour-prof (1.24.4-3+b2 [s390x], 1.24.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling libraries for colourising Haskell code; profiling libraries
libghc-hscolour-prof-1.24.4-0ccb3
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-3381c
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-6e809
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-88d2b
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-a8012
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-c45a6
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-d17db
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hscolour-prof-1.24.4-f0683
本虛擬套件由這些套件填實: libghc-hscolour-prof
libghc-hsemail-dev (2.2.0-1+b2 [s390x], 2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell parser for emails and SMTP conversations
libghc-hsemail-dev-2.2.0-1a070
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-2ac9f
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-36839
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-55d9a
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-79013
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-8fa90
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-98881
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-dev-2.2.0-dd060
本虛擬套件由這些套件填實: libghc-hsemail-dev
libghc-hsemail-doc (2.2.0-1)
Haskell parser for emails and SMTP conversations; documentation
libghc-hsemail-prof (2.2.0-1+b2 [s390x], 2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell parser for emails and SMTP conversations; profiling libraries
libghc-hsemail-prof-2.2.0-1a070
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-2ac9f
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-36839
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-55d9a
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-79013
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-8fa90
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-98881
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsemail-prof-2.2.0-dd060
本虛擬套件由這些套件填實: libghc-hsemail-prof
libghc-hsh-dev (2.1.3-4+b2 [s390x], 2.1.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to mix shell scripting with Haskell programs
libghc-hsh-dev-2.1.3-7dd00
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-8a911
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-9c5b1
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-9f579
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-ad6dd
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-bd630
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-c4d95
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-dev-2.1.3-f5398
本虛擬套件由這些套件填實: libghc-hsh-dev
libghc-hsh-doc (2.1.3-4)
Library to mix shell scripting with Haskell programs; documentation
libghc-hsh-prof (2.1.3-4+b2 [s390x], 2.1.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to mix shell scripting with Haskell programs; profiling libraries
libghc-hsh-prof-2.1.3-7dd00
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-8a911
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-9c5b1
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-9f579
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-ad6dd
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-bd630
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-c4d95
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsh-prof-2.1.3-f5398
本虛擬套件由這些套件填實: libghc-hsh-prof
libghc-hsini-dev (0.5.1.2-6+b2 [s390x], 0.5.1.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
user configuration files (INI) parser
libghc-hsini-dev-0.5.1.2-01503
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-3aed9
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-45099
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-80e07
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-9f739
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-de30b
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-e62f8
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-dev-0.5.1.2-fe894
本虛擬套件由這些套件填實: libghc-hsini-dev
libghc-hsini-doc (0.5.1.2-6)
user configuration files (INI) parser; documentation
libghc-hsini-prof (0.5.1.2-6+b2 [s390x], 0.5.1.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
user configuration files (INI) parser; profiling libraries
libghc-hsini-prof-0.5.1.2-01503
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-3aed9
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-45099
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-80e07
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-9f739
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-de30b
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-e62f8
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hsini-prof-0.5.1.2-fe894
本虛擬套件由這些套件填實: libghc-hsini-prof
libghc-hslogger-dev (1.3.1.0-1+b2 [s390x], 1.3.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
logging framework for Haskell
libghc-hslogger-dev-1.3.1.0-00165
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-0c59b
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-190b5
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-1e063
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-21fd8
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-73db3
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-af380
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-dev-1.3.1.0-e15c7
本虛擬套件由這些套件填實: libghc-hslogger-dev
libghc-hslogger-doc (1.3.1.0-1)
logging framework for Haskell; documentation
libghc-hslogger-prof (1.3.1.0-1+b2 [s390x], 1.3.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
logging framework for Haskell; profiling libraries
libghc-hslogger-prof-1.3.1.0-00165
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-0c59b
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-190b5
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-1e063
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-21fd8
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-73db3
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-af380
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslogger-prof-1.3.1.0-e15c7
本虛擬套件由這些套件填實: libghc-hslogger-prof
libghc-hslua-dev (1.0.3.2-1+b2 [s390x], 1.0.3.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lua language interpreter embedding in Haskell
libghc-hslua-dev-1.0.3.2-28249
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-36b86
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-56d00
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-7389e
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-89b1f
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-983a8
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-dea12
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-dev-1.0.3.2-e2efb
本虛擬套件由這些套件填實: libghc-hslua-dev
libghc-hslua-doc (1.0.3.2-1)
Lua language interpreter embedding in Haskell; documentation
libghc-hslua-module-system-dev (0.2.1-2+b1)
Lua module wrapper around Haskell's System module
libghc-hslua-module-system-dev-0.2.1-1dd79
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-2152d
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-21ae9
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-2a7fe
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-707da
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-af3af
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-d6872
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-dev-0.2.1-fbc0a
本虛擬套件由這些套件填實: libghc-hslua-module-system-dev
libghc-hslua-module-system-doc (0.2.1-2)
Lua module wrapper around Haskell's System module; documentation
libghc-hslua-module-system-prof (0.2.1-2+b1)
Lua module wrapper around Haskell's System module; profiling libraries
libghc-hslua-module-system-prof-0.2.1-1dd79
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-2152d
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-21ae9
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-2a7fe
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-707da
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-af3af
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-d6872
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-system-prof-0.2.1-fbc0a
本虛擬套件由這些套件填實: libghc-hslua-module-system-prof
libghc-hslua-module-text-dev (0.2.1-2+b2 [s390x], 0.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lua module for text
libghc-hslua-module-text-dev-0.2.1-154ba
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-62fb4
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-7e4e3
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-9facd
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-ad796
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-dcf09
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-e18ee
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-dev-0.2.1-fea8c
本虛擬套件由這些套件填實: libghc-hslua-module-text-dev
libghc-hslua-module-text-doc (0.2.1-2)
Lua module for text; documentation
libghc-hslua-module-text-prof (0.2.1-2+b2 [s390x], 0.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lua module for text; profiling libraries
libghc-hslua-module-text-prof-0.2.1-154ba
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-62fb4
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-7e4e3
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-9facd
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-ad796
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-dcf09
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-e18ee
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-module-text-prof-0.2.1-fea8c
本虛擬套件由這些套件填實: libghc-hslua-module-text-prof
libghc-hslua-prof (1.0.3.2-1+b2 [s390x], 1.0.3.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lua language interpreter embedding in Haskell; profiling libraries
libghc-hslua-prof-1.0.3.2-28249
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-36b86
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-56d00
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-7389e
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-89b1f
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-983a8
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-dea12
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hslua-prof-1.0.3.2-e2efb
本虛擬套件由這些套件填實: libghc-hslua-prof
libghc-hsopenssl-dev (0.11.4.18-1+b2 [s390x], 0.11.4.18-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
partial OpenSSL binding for Haskell
libghc-hsopenssl-dev-0.11.4.18-16928
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-1f2fb
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-4be26
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-506c6
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-6523c
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-9d851
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-d0063
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-dev-0.11.4.18-f0509
本虛擬套件由這些套件填實: libghc-hsopenssl-dev
libghc-hsopenssl-doc (0.11.4.18-1)
partial OpenSSL binding for Haskell; documentation
libghc-hsopenssl-prof (0.11.4.18-1+b2 [s390x], 0.11.4.18-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
partial OpenSSL binding for Haskell; profiling libraries
libghc-hsopenssl-prof-0.11.4.18-16928
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-1f2fb
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-4be26
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-506c6
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-6523c
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-9d851
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-d0063
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-prof-0.11.4.18-f0509
本虛擬套件由這些套件填實: libghc-hsopenssl-prof
libghc-hsopenssl-x509-system-dev (0.1.0.3-5+b2 [s390x], 0.1.0.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
use system's native CA certificate store with HsOpenSSL
libghc-hsopenssl-x509-system-dev-0.1.0.3-0411c
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-14507
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-1a15f
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-30c8a
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-47749
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-bc328
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-d5347
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-dev-0.1.0.3-ff325
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-dev
libghc-hsopenssl-x509-system-doc (0.1.0.3-5)
use system's native CA certificate store with HsOpenSSL; documentation
libghc-hsopenssl-x509-system-prof (0.1.0.3-5+b2 [s390x], 0.1.0.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
use system's native CA certificate store with HsOpenSSL; profiling libraries
libghc-hsopenssl-x509-system-prof-0.1.0.3-0411c
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-14507
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-1a15f
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-30c8a
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-47749
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-bc328
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-d5347
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsopenssl-x509-system-prof-0.1.0.3-ff325
本虛擬套件由這些套件填實: libghc-hsopenssl-x509-system-prof
libghc-hsp-dev (0.10.0-9+b2 [s390x], 0.10.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for dynamic server-side web pages
libghc-hsp-dev-0.10.0-56330
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-922a0
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-961b6
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-b9fe3
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-c81d8
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-de33a
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-eabc8
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-dev-0.10.0-f15b0
本虛擬套件由這些套件填實: libghc-hsp-dev
libghc-hsp-doc (0.10.0-9)
Haskell library for dynamic server-side web pages; documentation
libghc-hsp-prof (0.10.0-9+b2 [s390x], 0.10.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for dynamic server-side web pages; profiling libraries
libghc-hsp-prof-0.10.0-56330
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-922a0
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-961b6
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-b9fe3
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-c81d8
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-de33a
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-eabc8
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hsp-prof-0.10.0-f15b0
本虛擬套件由這些套件填實: libghc-hsp-prof
libghc-hspec-attoparsec-dev (0.1.0.2-7+b2 [s390x], 0.1.0.2-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utility functions for testing your attoparsec parsers with hspec
libghc-hspec-attoparsec-dev-0.1.0.2-025a3
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-1def2
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-4dc7b
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-4f6d1
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-9d266
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-a809f
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-bf355
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-dev-0.1.0.2-fcb89
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-dev
libghc-hspec-attoparsec-doc (0.1.0.2-7)
utility functions for testing your attoparsec parsers with hspec; documentation
libghc-hspec-attoparsec-prof (0.1.0.2-7+b2 [s390x], 0.1.0.2-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utility functions for testing your attoparsec parsers with hspec; profiling libraries
libghc-hspec-attoparsec-prof-0.1.0.2-025a3
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-1def2
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-4dc7b
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-4f6d1
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-9d266
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-a809f
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-bf355
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-attoparsec-prof-0.1.0.2-fcb89
本虛擬套件由這些套件填實: libghc-hspec-attoparsec-prof
libghc-hspec-contrib-dev (0.5.1-2+b3 [s390x], 0.5.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.1-2+b1 [mips64el, mipsel])
contributed functionality for Hspec
libghc-hspec-contrib-dev-0.5.1-1230e
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-51e9e
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-6cac7
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-6e4a7
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-9a806
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-a2191
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-d986d
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-dev-0.5.1-de699
本虛擬套件由這些套件填實: libghc-hspec-contrib-dev
libghc-hspec-contrib-doc (0.5.1-2)
contributed functionality for Hspec; documentation
libghc-hspec-contrib-prof (0.5.1-2+b3 [s390x], 0.5.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.1-2+b1 [mips64el, mipsel])
contributed functionality for Hspec; profiling libraries
libghc-hspec-contrib-prof-0.5.1-1230e
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-51e9e
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-6cac7
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-6e4a7
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-9a806
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-a2191
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-d986d
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-contrib-prof-0.5.1-de699
本虛擬套件由這些套件填實: libghc-hspec-contrib-prof
libghc-hspec-core-dev (2.7.1-1+b3 [s390x], 2.7.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.7.1-1+b1 [mips64el, mipsel])
testing Framework for Haskell
libghc-hspec-core-dev-2.7.1-0487f
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-2a15a
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-2d956
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-3cb58
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-9328a
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-94036
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-a144e
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-dev-2.7.1-e13a4
本虛擬套件由這些套件填實: libghc-hspec-core-dev
libghc-hspec-core-doc (2.7.1-1)
testing Framework for Haskell; documentation
libghc-hspec-core-prof (2.7.1-1+b3 [s390x], 2.7.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.7.1-1+b1 [mips64el, mipsel])
testing Framework for Haskell; profiling libraries
libghc-hspec-core-prof-2.7.1-0487f
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-2a15a
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-2d956
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-3cb58
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-9328a
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-94036
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-a144e
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-core-prof-2.7.1-e13a4
本虛擬套件由這些套件填實: libghc-hspec-core-prof
libghc-hspec-dev (2.7.1-2+b3 [s390x], 2.7.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.7.1-2+b1 [mips64el, mipsel])
behavior-driven development for Haskell
libghc-hspec-dev-2.7.1-1fb84
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-315d1
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-3c571
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-529ec
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-58dd0
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-8fdf5
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-bb0d5
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-dev-2.7.1-f437f
本虛擬套件由這些套件填實: libghc-hspec-dev
libghc-hspec-doc (2.7.1-2)
behavior-driven development for Haskell; documentation
libghc-hspec-expectations-dev (0.8.2-4+b2 [s390x], 0.8.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
catchy combinators for HUnit
libghc-hspec-expectations-dev-0.8.2-1f343
本虛擬套件由這些套件填實: libghc-hspec-expectations-dev
libghc-hspec-expectations-dev-0.8.2-61adf
本虛擬套件由這些套件填實: libghc-hspec-expectations-dev
libghc-hspec-expectations-dev-0.8.2-823fb
本虛擬套件由這些套件填實: libghc-hspec-expectations-dev
libghc-hspec-expectations-dev-0.8.2-a7050
本虛擬套件由這些套件填實: libghc-hspec-expectations-dev
libghc-hspec-expectations-dev-0.8.2-f90b7
本虛擬套件由這些套件填實: libghc-hspec-expectations-dev
libghc-hspec-expectations-doc (0.8.2-4)
catchy combinators for HUnit; documentation
libghc-hspec-expectations-prof (0.8.2-4+b2 [s390x], 0.8.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
catchy combinators for HUnit; profiling libraries
libghc-hspec-expectations-prof-0.8.2-1f343
本虛擬套件由這些套件填實: libghc-hspec-expectations-prof
libghc-hspec-expectations-prof-0.8.2-61adf
本虛擬套件由這些套件填實: libghc-hspec-expectations-prof
libghc-hspec-expectations-prof-0.8.2-823fb
本虛擬套件由這些套件填實: libghc-hspec-expectations-prof
libghc-hspec-expectations-prof-0.8.2-a7050
本虛擬套件由這些套件填實: libghc-hspec-expectations-prof
libghc-hspec-expectations-prof-0.8.2-f90b7
本虛擬套件由這些套件填實: libghc-hspec-expectations-prof
libghc-hspec-prof (2.7.1-2+b3 [s390x], 2.7.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.7.1-2+b1 [mips64el, mipsel])
behavior-driven development for Haskell; profiling libraries
libghc-hspec-prof-2.7.1-1fb84
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-315d1
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-3c571
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-529ec
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-58dd0
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-8fdf5
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-bb0d5
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-prof-2.7.1-f437f
本虛擬套件由這些套件填實: libghc-hspec-prof
libghc-hspec-smallcheck-dev (0.5.2-2+b3 [s390x], 0.5.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.2-2+b1 [mips64el, mipsel])
SmallCheck support for the Hspec testing framework
libghc-hspec-smallcheck-dev-0.5.2-25fa5
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-62372
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-8189b
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-a4248
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-a7850
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-e1810
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-e45a6
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-dev-0.5.2-ebf2c
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-dev
libghc-hspec-smallcheck-doc (0.5.2-2)
SmallCheck support for the Hspec testing framework; documentation
libghc-hspec-smallcheck-prof (0.5.2-2+b3 [s390x], 0.5.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.2-2+b1 [mips64el, mipsel])
SmallCheck support for the Hspec testing framework; profiling libraries
libghc-hspec-smallcheck-prof-0.5.2-25fa5
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-62372
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-8189b
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-a4248
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-a7850
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-e1810
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-e45a6
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-smallcheck-prof-0.5.2-ebf2c
本虛擬套件由這些套件填實: libghc-hspec-smallcheck-prof
libghc-hspec-wai-dev (0.10.1-1+b4 [s390x], 0.10.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.10.1-1+b1 [mips64el])
hspec support for testing WAI applications
libghc-hspec-wai-dev-0.10.1-0dcf4
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-171ba
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-4ad72
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-4d795
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-7745d
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-9289f
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-97bdc
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-a8efe
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-dev-0.10.1-c1d68
本虛擬套件由這些套件填實: libghc-hspec-wai-dev
libghc-hspec-wai-doc (0.10.1-1)
hspec support for testing WAI applications; documentation
libghc-hspec-wai-prof (0.10.1-1+b4 [s390x], 0.10.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.10.1-1+b1 [mips64el])
hspec support for testing WAI applications; profiling libraries
libghc-hspec-wai-prof-0.10.1-0dcf4
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-171ba
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-4ad72
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-4d795
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-7745d
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-9289f
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-97bdc
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-a8efe
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hspec-wai-prof-0.10.1-c1d68
本虛擬套件由這些套件填實: libghc-hspec-wai-prof
libghc-hstringtemplate-dev (0.8.7-5+b2 [s390x], 0.8.7-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell port of the Java library StringTemplate
libghc-hstringtemplate-dev-0.8.7-3f096
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-80361
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-815ad
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-92f1c
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-99f18
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-a7625
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-e39ab
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-dev-0.8.7-efbd4
本虛擬套件由這些套件填實: libghc-hstringtemplate-dev
libghc-hstringtemplate-doc (0.8.7-5)
Haskell port of the Java library StringTemplate; documentation
libghc-hstringtemplate-prof (0.8.7-5+b2 [s390x], 0.8.7-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell port of the Java library StringTemplate; profiling libraries
libghc-hstringtemplate-prof-0.8.7-3f096
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-80361
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-815ad
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-92f1c
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-99f18
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-a7625
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-e39ab
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hstringtemplate-prof-0.8.7-efbd4
本虛擬套件由這些套件填實: libghc-hstringtemplate-prof
libghc-hsx-jmacro-dev (7.3.8.1-4+b3 [armhf, s390x], 7.3.8.1-4+b2 [amd64, arm64, armel, i386, mipsel, ppc64el], 7.3.8.1-4+b1 [mips64el])
HSP/JMacro integration
libghc-hsx-jmacro-dev-7.3.8.1-1506b
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-5821d
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-68c93
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-868f8
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-a009a
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-c7500
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-d01b5
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-e9e07
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-dev-7.3.8.1-f0740
本虛擬套件由這些套件填實: libghc-hsx-jmacro-dev
libghc-hsx-jmacro-doc (7.3.8.1-4)
HSP/JMacro integration; documentation
libghc-hsx-jmacro-prof (7.3.8.1-4+b3 [armhf, s390x], 7.3.8.1-4+b2 [amd64, arm64, armel, i386, mipsel, ppc64el], 7.3.8.1-4+b1 [mips64el])
HSP/JMacro integration; profiling libraries
libghc-hsx-jmacro-prof-7.3.8.1-1506b
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-5821d
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-68c93
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-868f8
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-a009a
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-c7500
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-d01b5
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-e9e07
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx-jmacro-prof-7.3.8.1-f0740
本虛擬套件由這些套件填實: libghc-hsx-jmacro-prof
libghc-hsx2hs-dev (0.14.1.8-1+b3 [armhf, s390x], 0.14.1.8-1+b2 [amd64, arm64, armel, i386, ppc64el], 0.14.1.8-1+b1 [mips64el, mipsel])
literal XML syntax in Haskell source code
libghc-hsx2hs-dev-0.14.1.8-2f45d
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-dev-0.14.1.8-3de2c
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-dev-0.14.1.8-4a17f
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-dev-0.14.1.8-8e9c2
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-dev-0.14.1.8-b25c3
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-dev-0.14.1.8-b2738
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-dev-0.14.1.8-b3ef8
本虛擬套件由這些套件填實: libghc-hsx2hs-dev
libghc-hsx2hs-doc (0.14.1.8-1)
literal XML syntax in Haskell source code; documentation
libghc-hsx2hs-prof (0.14.1.8-1+b3 [armhf, s390x], 0.14.1.8-1+b2 [amd64, arm64, armel, i386, ppc64el], 0.14.1.8-1+b1 [mips64el, mipsel])
literal XML syntax in Haskell source code; profiling libraries
libghc-hsx2hs-prof-0.14.1.8-2f45d
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsx2hs-prof-0.14.1.8-3de2c
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsx2hs-prof-0.14.1.8-4a17f
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsx2hs-prof-0.14.1.8-8e9c2
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsx2hs-prof-0.14.1.8-b25c3
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsx2hs-prof-0.14.1.8-b2738
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsx2hs-prof-0.14.1.8-b3ef8
本虛擬套件由這些套件填實: libghc-hsx2hs-prof
libghc-hsyaml-aeson-dev (0.2.0.0-2+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.2.0.0-2+b1 [armel, armhf, i386])
JSON to YAML Adapter
libghc-hsyaml-aeson-dev-0.2.0.0-0dda3
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-0ef93
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-0fdda
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-615a0
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-61edd
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-981fc
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-c2e94
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-dev-0.2.0.0-fd1a5
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-dev
libghc-hsyaml-aeson-doc (0.2.0.0-2)
JSON to YAML Adapter; documentation
libghc-hsyaml-aeson-prof (0.2.0.0-2+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.2.0.0-2+b1 [armel, armhf, i386])
JSON to YAML Adapter; profiling libraries
libghc-hsyaml-aeson-prof-0.2.0.0-0dda3
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-0ef93
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-0fdda
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-615a0
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-61edd
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-981fc
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-c2e94
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-aeson-prof-0.2.0.0-fd1a5
本虛擬套件由這些套件填實: libghc-hsyaml-aeson-prof
libghc-hsyaml-dev (0.2.1.0-2+b2 [s390x], 0.2.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure Haskell YAML 1.2 processor - libraries
libghc-hsyaml-dev-0.2.1.0-0318f
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-795de
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-a6f32
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-ab085
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-b97ec
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-cd47b
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-cf71d
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-dev-0.2.1.0-d86b8
本虛擬套件由這些套件填實: libghc-hsyaml-dev
libghc-hsyaml-doc (0.2.1.0-2)
pure Haskell YAML 1.2 parser - library documentation
libghc-hsyaml-prof (0.2.1.0-2+b2 [s390x], 0.2.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure Haskell YAML 1.2 processor - profiling libraries
libghc-hsyaml-prof-0.2.1.0-0318f
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-795de
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-a6f32
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-ab085
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-b97ec
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-cd47b
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-cf71d
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyaml-prof-0.2.1.0-d86b8
本虛擬套件由這些套件填實: libghc-hsyaml-prof
libghc-hsyslog-dev (5.0.2-2+b2 [s390x], 5.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
syslog bindings for Haskell
libghc-hsyslog-dev-5.0.2-122dd
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-dev-5.0.2-25bc6
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-dev-5.0.2-89eb6
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-dev-5.0.2-b0120
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-dev-5.0.2-e2255
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-dev-5.0.2-f032a
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-dev-5.0.2-fcbea
本虛擬套件由這些套件填實: libghc-hsyslog-dev
libghc-hsyslog-doc (5.0.2-2)
syslog bindings for Haskell; documentation
libghc-hsyslog-prof (5.0.2-2+b2 [s390x], 5.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
syslog bindings for Haskell; profiling libraries
libghc-hsyslog-prof-5.0.2-122dd
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-hsyslog-prof-5.0.2-25bc6
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-hsyslog-prof-5.0.2-89eb6
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-hsyslog-prof-5.0.2-b0120
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-hsyslog-prof-5.0.2-e2255
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-hsyslog-prof-5.0.2-f032a
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-hsyslog-prof-5.0.2-fcbea
本虛擬套件由這些套件填實: libghc-hsyslog-prof
libghc-html-conduit-dev (1.3.2.1-1+b4 [s390x], 1.3.2.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.3.2.1-1+b1 [mips64el])
parse HTML documents using xml-conduit datatypes
libghc-html-conduit-dev-1.3.2.1-14398
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-3a949
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-3e26e
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-6a074
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-8e415
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-8f5ad
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-99316
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-bd666
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-dev-1.3.2.1-f6cdf
本虛擬套件由這些套件填實: libghc-html-conduit-dev
libghc-html-conduit-doc (1.3.2.1-1)
parse HTML documents using xml-conduit datatypes; documentation
libghc-html-conduit-prof (1.3.2.1-1+b4 [s390x], 1.3.2.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.3.2.1-1+b1 [mips64el])
parse HTML documents using xml-conduit datatypes; profiling libraries
libghc-html-conduit-prof-1.3.2.1-14398
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-3a949
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-3e26e
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-6a074
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-8e415
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-8f5ad
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-99316
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-bd666
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-conduit-prof-1.3.2.1-f6cdf
本虛擬套件由這些套件填實: libghc-html-conduit-prof
libghc-html-dev (1.0.1.2-16+b2 [s390x], 1.0.1.2-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell html library for GHC
libghc-html-dev-1.0.1.2-2cbd8
本虛擬套件由這些套件填實: libghc-html-dev
libghc-html-dev-1.0.1.2-a080d
本虛擬套件由這些套件填實: libghc-html-dev
libghc-html-dev-1.0.1.2-aef42
本虛擬套件由這些套件填實: libghc-html-dev
libghc-html-dev-1.0.1.2-e44a3
本虛擬套件由這些套件填實: libghc-html-dev
libghc-html-doc (1.0.1.2-16)
Haskell html library for GHC; documentation
libghc-html-prof (1.0.1.2-16+b2 [s390x], 1.0.1.2-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell html library for GHC; profiling libraries
libghc-html-prof-1.0.1.2-2cbd8
本虛擬套件由這些套件填實: libghc-html-prof
libghc-html-prof-1.0.1.2-a080d
本虛擬套件由這些套件填實: libghc-html-prof
libghc-html-prof-1.0.1.2-aef42
本虛擬套件由這些套件填實: libghc-html-prof
libghc-html-prof-1.0.1.2-e44a3
本虛擬套件由這些套件填實: libghc-html-prof
libghc-http-api-data-dev (0.4.1.1-2+b2 [s390x], 0.4.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
converting to/from HTTP API data
libghc-http-api-data-dev-0.4.1.1-08e4b
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-393b0
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-60120
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-6e24b
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-73ce1
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-822bc
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-8f954
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-dev-0.4.1.1-a0a88
本虛擬套件由這些套件填實: libghc-http-api-data-dev
libghc-http-api-data-doc (0.4.1.1-2)
converting to/from HTTP API data; documentation
libghc-http-api-data-prof (0.4.1.1-2+b2 [s390x], 0.4.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
converting to/from HTTP API data; profiling libraries
libghc-http-api-data-prof-0.4.1.1-08e4b
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-393b0
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-60120
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-6e24b
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-73ce1
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-822bc
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-8f954
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-api-data-prof-0.4.1.1-a0a88
本虛擬套件由這些套件填實: libghc-http-api-data-prof
libghc-http-client-dev (0.6.4.1-2+b5 [s390x], 0.6.4.1-2+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.6.4.1-2+b3 [mipsel], 0.6.4.1-2+b2 [mips64el])
HTTP client engine
libghc-http-client-dev-0.6.4.1-0db73
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-365cd
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-3e148
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-52261
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-9b2a8
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-c5688
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-d12b0
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-dev-0.6.4.1-dd74e
本虛擬套件由這些套件填實: libghc-http-client-dev
libghc-http-client-doc (0.6.4.1-2)
HTTP client engine; documentation
libghc-http-client-prof (0.6.4.1-2+b5 [s390x], 0.6.4.1-2+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.6.4.1-2+b3 [mipsel], 0.6.4.1-2+b2 [mips64el])
HTTP client engine; profiling libraries
libghc-http-client-prof-0.6.4.1-0db73
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-365cd
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-3e148
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-52261
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-9b2a8
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-c5688
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-d12b0
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-prof-0.6.4.1-dd74e
本虛擬套件由這些套件填實: libghc-http-client-prof
libghc-http-client-restricted-dev (0.0.3-2+b2 [mipsel], 0.0.3-2+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.0.3-2 [mips64el])
restrict the servers that Haskell's http-client will use
libghc-http-client-restricted-dev-0.0.3-854b6
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-862e2
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-86a3d
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-8ba50
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-9628f
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-a12e1
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-b7fc4
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-b8e64
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-dev-0.0.3-db8be
本虛擬套件由這些套件填實: libghc-http-client-restricted-dev
libghc-http-client-restricted-doc (0.0.3-2)
restrict the servers that Haskell's http-client will use; documentation
libghc-http-client-restricted-prof (0.0.3-2+b2 [mipsel], 0.0.3-2+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.0.3-2 [mips64el])
restrict the servers that Haskell's http-client will use; profiling libraries
libghc-http-client-restricted-prof-0.0.3-854b6
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-862e2
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-86a3d
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-8ba50
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-9628f
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-a12e1
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-b7fc4
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-b8e64
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-restricted-prof-0.0.3-db8be
本虛擬套件由這些套件填實: libghc-http-client-restricted-prof
libghc-http-client-tls-dev (0.3.5.3-4+b3 [mipsel, s390x], 0.3.5.3-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.5.3-4+b1 [mips64el])
http-client backend using connection and tls libraries
libghc-http-client-tls-dev-0.3.5.3-05730
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-2e09c
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-80cec
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-9bc00
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-9f5b0
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-a87d6
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-ae18c
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-ccd35
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-dev-0.3.5.3-ebdea
本虛擬套件由這些套件填實: libghc-http-client-tls-dev
libghc-http-client-tls-doc (0.3.5.3-4)
http-client backend using connection and tls libraries; documentation
libghc-http-client-tls-prof (0.3.5.3-4+b3 [mipsel, s390x], 0.3.5.3-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.5.3-4+b1 [mips64el])
http-client backend using connection and tls libraries; profiling libraries
libghc-http-client-tls-prof-0.3.5.3-05730
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-2e09c
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-80cec
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-9bc00
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-9f5b0
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-a87d6
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-ae18c
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-ccd35
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-client-tls-prof-0.3.5.3-ebdea
本虛擬套件由這些套件填實: libghc-http-client-tls-prof
libghc-http-common-dev (0.8.2.1-1)
common types for HTTP clients and servers
libghc-http-common-dev-0.8.2.1-00e43
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-24f9b
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-3b39a
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-4c011
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-4d85a
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-8c8dc
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-90271
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-ec21d
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-dev-0.8.2.1-f698d
本虛擬套件由這些套件填實: libghc-http-common-dev
libghc-http-common-doc (0.8.2.1-1)
common types for HTTP clients and servers; documentation
libghc-http-common-prof (0.8.2.1-1)
common types for HTTP clients and servers; profiling libraries
libghc-http-common-prof-0.8.2.1-00e43
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-24f9b
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-3b39a
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-4c011
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-4d85a
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-8c8dc
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-90271
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-ec21d
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-common-prof-0.8.2.1-f698d
本虛擬套件由這些套件填實: libghc-http-common-prof
libghc-http-conduit-dev (2.3.7.3-2+b3 [mipsel, s390x], 2.3.7.3-2+b2 [arm64, armel, ppc64el], 2.3.7.3-2+b1 [amd64, armhf, i386, mips64el])
HTTP client package with conduit interface and HTTPS support
libghc-http-conduit-dev-2.3.7.3-01407
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-19b65
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-414e5
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-8e43d
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-8ec89
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-a36b4
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-b406f
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-dfad1
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-dev-2.3.7.3-e9540
本虛擬套件由這些套件填實: libghc-http-conduit-dev
libghc-http-conduit-doc (2.3.7.3-2)
HTTP client package with conduit interface and HTTPS support; documentation
libghc-http-conduit-prof (2.3.7.3-2+b3 [mipsel, s390x], 2.3.7.3-2+b2 [arm64, armel, ppc64el], 2.3.7.3-2+b1 [amd64, armhf, i386, mips64el])
HTTP/HTTPS client package with conduit interface, profiling libraries; profiling libraries
libghc-http-conduit-prof-2.3.7.3-01407
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-19b65
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-414e5
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-8e43d
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-8ec89
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-a36b4
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-b406f
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-dfad1
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-conduit-prof-2.3.7.3-e9540
本虛擬套件由這些套件填實: libghc-http-conduit-prof
libghc-http-date-dev (0.0.8-2+b2 [s390x], 0.0.8-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTTP Date parser/formatter
libghc-http-date-dev-0.0.8-08e68
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-24bfd
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-53138
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-9109b
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-bf214
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-cb097
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-cff1b
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-dev-0.0.8-dd893
本虛擬套件由這些套件填實: libghc-http-date-dev
libghc-http-date-doc (0.0.8-2)
HTTP Date parser/formatter; documentation
libghc-http-date-prof (0.0.8-2+b2 [s390x], 0.0.8-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTTP Date parser/formatter; profiling libraries
libghc-http-date-prof-0.0.8-08e68
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-24bfd
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-53138
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-9109b
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-bf214
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-cb097
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-cff1b
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-date-prof-0.0.8-dd893
本虛擬套件由這些套件填實: libghc-http-date-prof
libghc-http-dev (1:4000.3.14-2+b2 [s390x], 1:4000.3.14-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC libraries for the Haskell HTTP client library
libghc-http-dev-4000.3.14-42f06
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-48c66
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-58c44
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-9089e
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-96920
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-c6289
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-e73ab
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-dev-4000.3.14-eb9c7
本虛擬套件由這些套件填實: libghc-http-dev
libghc-http-doc (1:4000.3.14-2)
GHC libraries for the Haskell HTTP client library; documentation
libghc-http-download-dev (0.2.0.0-2)
Verified downloads with retries
libghc-http-download-dev-0.2.0.0-0d4c7
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-2de51
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-3294d
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-45cff
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-558d1
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-69224
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-7e777
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-9760d
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-dev-0.2.0.0-bf51f
本虛擬套件由這些套件填實: libghc-http-download-dev
libghc-http-download-doc (0.2.0.0-2)
Verified downloads with retries; documentation
libghc-http-download-prof (0.2.0.0-2)
Verified downloads with retries; profiling libraries
libghc-http-download-prof-0.2.0.0-0d4c7
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-2de51
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-3294d
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-45cff
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-558d1
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-69224
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-7e777
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-9760d
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-download-prof-0.2.0.0-bf51f
本虛擬套件由這些套件填實: libghc-http-download-prof
libghc-http-link-header-dev (1.0.3.1-4)
parser/writer for RFC 5988's HTTP Link header
libghc-http-link-header-dev-1.0.3.1-12630
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-1d1d3
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-1dfe2
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-44922
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-d6c73
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-ed35f
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-ee116
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-dev-1.0.3.1-eecbd
本虛擬套件由這些套件填實: libghc-http-link-header-dev
libghc-http-link-header-doc (1.0.3.1-4)
parser/writer for RFC 5988's HTTP Link header; documentation
libghc-http-link-header-prof (1.0.3.1-4)
parser/writer for RFC 5988's HTTP Link header; profiling libraries
libghc-http-link-header-prof-1.0.3.1-12630
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-1d1d3
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-1dfe2
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-44922
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-d6c73
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-ed35f
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-ee116
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-link-header-prof-1.0.3.1-eecbd
本虛擬套件由這些套件填實: libghc-http-link-header-prof
libghc-http-media-dev (0.8.0.0-2+b2 [s390x], 0.8.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
processing HTTP Content-Type and Accept headers
libghc-http-media-dev-0.8.0.0-256f6
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-2c35b
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-867be
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-9f358
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-a2115
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-a92be
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-edd9d
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-dev-0.8.0.0-fa0f8
本虛擬套件由這些套件填實: libghc-http-media-dev
libghc-http-media-doc (0.8.0.0-2)
processing HTTP Content-Type and Accept headers; documentation
libghc-http-media-prof (0.8.0.0-2+b2 [s390x], 0.8.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
processing HTTP Content-Type and Accept headers; profiling libraries
libghc-http-media-prof-0.8.0.0-256f6
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-2c35b
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-867be
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-9f358
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-a2115
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-a92be
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-edd9d
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-media-prof-0.8.0.0-fa0f8
本虛擬套件由這些套件填實: libghc-http-media-prof
libghc-http-prof (1:4000.3.14-2+b2 [s390x], 1:4000.3.14-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC libraries for the Haskell HTTP client library; profiling libraries
libghc-http-prof-4000.3.14-42f06
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-48c66
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-58c44
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-9089e
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-96920
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-c6289
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-e73ab
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-prof-4000.3.14-eb9c7
本虛擬套件由這些套件填實: libghc-http-prof
libghc-http-reverse-proxy-dev (0.6.0-3+b5 [s390x], 0.6.0-3+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.6.0-3+b3 [mipsel], 0.6.0-3+b2 [mips64el])
reverse-proxy HTTP requests, either over raw sockets or with WAI
libghc-http-reverse-proxy-dev-0.6.0-11581
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-a3855
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-b3b58
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-bd5c2
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-c5d9e
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-c8fcc
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-cd80e
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-cec4a
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-dev-0.6.0-ec9e8
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-dev
libghc-http-reverse-proxy-doc (0.6.0-3)
reverse-proxy HTTP requests, over raw sockets or with WAI; documentation
libghc-http-reverse-proxy-prof (0.6.0-3+b5 [s390x], 0.6.0-3+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.6.0-3+b3 [mipsel], 0.6.0-3+b2 [mips64el])
reverse-proxy HTTP requests, over raw sockets or with WAI; profiling libraries
libghc-http-reverse-proxy-prof-0.6.0-11581
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-a3855
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-b3b58
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-bd5c2
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-c5d9e
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-c8fcc
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-cd80e
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-cec4a
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-reverse-proxy-prof-0.6.0-ec9e8
本虛擬套件由這些套件填實: libghc-http-reverse-proxy-prof
libghc-http-streams-dev (0.8.7.2-1)
An HTTP client using io-streams
libghc-http-streams-dev-0.8.7.2-0c47f
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-0cf79
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-1cf54
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-38905
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-6bdb2
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-9caa9
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-bbef7
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-c6d92
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-dev-0.8.7.2-f28a1
本虛擬套件由這些套件填實: libghc-http-streams-dev
libghc-http-streams-doc (0.8.7.2-1)
An HTTP client using io-streams; documentation
libghc-http-streams-prof (0.8.7.2-1)
An HTTP client using io-streams; profiling libraries
libghc-http-streams-prof-0.8.7.2-0c47f
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-0cf79
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-1cf54
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-38905
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-6bdb2
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-9caa9
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-bbef7
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-c6d92
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-streams-prof-0.8.7.2-f28a1
本虛擬套件由這些套件填實: libghc-http-streams-prof
libghc-http-types-dev (0.12.3-4+b2 [s390x], 0.12.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic HTTP types (for both client and server code)
libghc-http-types-dev-0.12.3-0e23b
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-247d1
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-44678
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-68e9c
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-7cdc8
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-bf5cb
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-c1723
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-dev-0.12.3-c3e38
本虛擬套件由這些套件填實: libghc-http-types-dev
libghc-http-types-doc (0.12.3-4)
Generic HTTP types (for both client and server code); documentation
libghc-http-types-prof (0.12.3-4+b2 [s390x], 0.12.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic HTTP types (for both client and server code); profiling libraries
libghc-http-types-prof-0.12.3-0e23b
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-247d1
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-44678
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-68e9c
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-7cdc8
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-bf5cb
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-c1723
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http-types-prof-0.12.3-c3e38
本虛擬套件由這些套件填實: libghc-http-types-prof
libghc-http2-dev (2.0.5-1)
HTTP/2.0 library including frames and HPACK
libghc-http2-dev-2.0.5-143cc
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-1674f
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-37294
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-39b58
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-51719
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-9b72e
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-ca05c
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-dev-2.0.5-dedec
本虛擬套件由這些套件填實: libghc-http2-dev
libghc-http2-doc (2.0.5-1)
HTTP/2.0 library including frames and HPACK; documentation
libghc-http2-prof (2.0.5-1)
HTTP/2.0 library including frames and HPACK; profiling libraries
libghc-http2-prof-2.0.5-143cc
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-1674f
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-37294
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-39b58
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-51719
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-9b72e
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-ca05c
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-http2-prof-2.0.5-dedec
本虛擬套件由這些套件填實: libghc-http2-prof
libghc-hunit-dev (1.6.0.0-3+b2 [s390x], 1.6.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell unit testing framework for GHC
libghc-hunit-dev-1.6.0.0-1065e
本虛擬套件由這些套件填實: libghc-hunit-dev
libghc-hunit-dev-1.6.0.0-30379
本虛擬套件由這些套件填實: libghc-hunit-dev
libghc-hunit-dev-1.6.0.0-8e4ed
本虛擬套件由這些套件填實: libghc-hunit-dev
libghc-hunit-dev-1.6.0.0-8ef03
本虛擬套件由這些套件填實: libghc-hunit-dev
libghc-hunit-dev-1.6.0.0-b61ee
本虛擬套件由這些套件填實: libghc-hunit-dev
libghc-hunit-doc (1.6.0.0-3)
Haskell unit testing framework for GHC; documentation
libghc-hunit-prof (1.6.0.0-3+b2 [s390x], 1.6.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell unit testing framework for GHC; profiling libraries
libghc-hunit-prof-1.6.0.0-1065e
本虛擬套件由這些套件填實: libghc-hunit-prof
libghc-hunit-prof-1.6.0.0-30379
本虛擬套件由這些套件填實: libghc-hunit-prof
libghc-hunit-prof-1.6.0.0-8e4ed
本虛擬套件由這些套件填實: libghc-hunit-prof
libghc-hunit-prof-1.6.0.0-8ef03
本虛擬套件由這些套件填實: libghc-hunit-prof
libghc-hunit-prof-1.6.0.0-b61ee
本虛擬套件由這些套件填實: libghc-hunit-prof
libghc-hxt-charproperties-dev (9.4.0.0-1+b2 [s390x], 9.4.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Character properties and classes for XML and Unicode
libghc-hxt-charproperties-dev-9.4.0.0-0f44e
本虛擬套件由這些套件填實: libghc-hxt-charproperties-dev
libghc-hxt-charproperties-dev-9.4.0.0-b9db5
本虛擬套件由這些套件填實: libghc-hxt-charproperties-dev
libghc-hxt-charproperties-dev-9.4.0.0-d0540
本虛擬套件由這些套件填實: libghc-hxt-charproperties-dev
libghc-hxt-charproperties-dev-9.4.0.0-fa676
本虛擬套件由這些套件填實: libghc-hxt-charproperties-dev
libghc-hxt-charproperties-doc (9.4.0.0-1)
Character properties and classes for XML and Unicode; documentation
libghc-hxt-charproperties-prof (9.4.0.0-1+b2 [s390x], 9.4.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Character properties and classes for XML and Unicode; profiling libraries
libghc-hxt-charproperties-prof-9.4.0.0-0f44e
本虛擬套件由這些套件填實: libghc-hxt-charproperties-prof
libghc-hxt-charproperties-prof-9.4.0.0-b9db5
本虛擬套件由這些套件填實: libghc-hxt-charproperties-prof
libghc-hxt-charproperties-prof-9.4.0.0-d0540
本虛擬套件由這些套件填實: libghc-hxt-charproperties-prof
libghc-hxt-charproperties-prof-9.4.0.0-fa676
本虛擬套件由這些套件填實: libghc-hxt-charproperties-prof
libghc-hxt-curl-dev (9.1.1.1-10+b3 [s390x], 9.1.1.1-10+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
LibCurl interface for HXT
libghc-hxt-curl-dev-9.1.1.1-10960
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-27505
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-444a1
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-5434c
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-78ece
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-96781
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-ad1fb
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-dev-9.1.1.1-fa28b
本虛擬套件由這些套件填實: libghc-hxt-curl-dev
libghc-hxt-curl-doc (9.1.1.1-10)
LibCurl interface for HXT; documentation
libghc-hxt-curl-prof (9.1.1.1-10+b3 [s390x], 9.1.1.1-10+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
LibCurl interface for HXT; profiling libraries
libghc-hxt-curl-prof-9.1.1.1-10960
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-27505
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-444a1
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-5434c
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-78ece
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-96781
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-ad1fb
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-curl-prof-9.1.1.1-fa28b
本虛擬套件由這些套件填實: libghc-hxt-curl-prof
libghc-hxt-dev (9.3.1.18-2+b3 [s390x], 9.3.1.18-2+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
collection of tools for processing XML with Haskell
libghc-hxt-dev-9.3.1.18-3a158
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-6024e
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-983b5
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-9cbb3
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-9e5f4
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-a5cc3
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-aa2ff
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-dev-9.3.1.18-b8b72
本虛擬套件由這些套件填實: libghc-hxt-dev
libghc-hxt-doc (9.3.1.18-2)
collection of tools for processing XML with Haskell; documentation
libghc-hxt-http-dev (9.1.5.2-11+b3 [s390x], 9.1.5.2-11+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Interface to native Haskell HTTP package HTTP
libghc-hxt-http-dev-9.1.5.2-2b4ed
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-4c9d2
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-4cc4d
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-5c27c
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-81f74
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-d9e56
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-f756b
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-dev-9.1.5.2-fd103
本虛擬套件由這些套件填實: libghc-hxt-http-dev
libghc-hxt-http-doc (9.1.5.2-11)
Interface to native Haskell HTTP package HTTP; documentation
libghc-hxt-http-prof (9.1.5.2-11+b3 [s390x], 9.1.5.2-11+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Interface to native Haskell HTTP package HTTP; profiling libraries
libghc-hxt-http-prof-9.1.5.2-2b4ed
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-4c9d2
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-4cc4d
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-5c27c
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-81f74
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-d9e56
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-f756b
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-http-prof-9.1.5.2-fd103
本虛擬套件由這些套件填實: libghc-hxt-http-prof
libghc-hxt-prof (9.3.1.18-2+b3 [s390x], 9.3.1.18-2+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
collection of tools for processing XML with Haskell; profiling libraries
libghc-hxt-prof-9.3.1.18-3a158
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-6024e
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-983b5
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-9cbb3
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-9e5f4
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-a5cc3
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-aa2ff
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-prof-9.3.1.18-b8b72
本虛擬套件由這些套件填實: libghc-hxt-prof
libghc-hxt-regex-xmlschema-dev (9.2.0.3-7+b3 [s390x], 9.2.0.3-7+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
W3C XML Schema regular expressions library
libghc-hxt-regex-xmlschema-dev-9.2.0.3-161d1
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-339c8
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-8c712
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-a76d4
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-b58eb
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-bce25
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-e654e
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-dev-9.2.0.3-fcea3
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-dev
libghc-hxt-regex-xmlschema-doc (9.2.0.3-7)
W3C XML Schema regular expressions library; documentation
libghc-hxt-regex-xmlschema-prof (9.2.0.3-7+b3 [s390x], 9.2.0.3-7+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
W3C XML Schema regular expressions library; profiling libraries
libghc-hxt-regex-xmlschema-prof-9.2.0.3-161d1
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-339c8
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-8c712
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-a76d4
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-b58eb
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-bce25
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-e654e
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-regex-xmlschema-prof-9.2.0.3-fcea3
本虛擬套件由這些套件填實: libghc-hxt-regex-xmlschema-prof
libghc-hxt-relaxng-dev (9.1.5.5-12+b3 [s390x], 9.1.5.5-12+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HXT RelaxNG validator
libghc-hxt-relaxng-dev-9.1.5.5-5b4e2
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-622a3
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-9ecc3
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-a4a4d
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-ac543
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-b6561
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-dbb80
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-dev-9.1.5.5-e7ffd
本虛擬套件由這些套件填實: libghc-hxt-relaxng-dev
libghc-hxt-relaxng-doc (9.1.5.5-12)
HXT RelaxNG validator; documentation
libghc-hxt-relaxng-prof (9.1.5.5-12+b3 [s390x], 9.1.5.5-12+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HXT RelaxNG validator; profiling libraries
libghc-hxt-relaxng-prof-9.1.5.5-5b4e2
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-622a3
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-9ecc3
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-a4a4d
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-ac543
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-b6561
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-dbb80
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-relaxng-prof-9.1.5.5-e7ffd
本虛擬套件由這些套件填實: libghc-hxt-relaxng-prof
libghc-hxt-tagsoup-dev (9.1.4-6+b3 [s390x], 9.1.4-6+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
TagSoup parser for HXT
libghc-hxt-tagsoup-dev-9.1.4-0e4d5
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-0ff3f
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-221f9
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-2c426
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-2edaa
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-4b8dc
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-59391
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-dev-9.1.4-c22ef
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-dev
libghc-hxt-tagsoup-doc (9.1.4-6)
TagSoup parser for HXT; documentation
libghc-hxt-tagsoup-prof (9.1.4-6+b3 [s390x], 9.1.4-6+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
TagSoup parser for HXT; profiling libraries
libghc-hxt-tagsoup-prof-9.1.4-0e4d5
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-0ff3f
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-221f9
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-2c426
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-2edaa
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-4b8dc
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-59391
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-tagsoup-prof-9.1.4-c22ef
本虛擬套件由這些套件填實: libghc-hxt-tagsoup-prof
libghc-hxt-unicode-dev (9.0.2.4-9+b3 [s390x], 9.0.2.4-9+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unicode en-/decoding functions
libghc-hxt-unicode-dev-9.0.2.4-5b361
本虛擬套件由這些套件填實: libghc-hxt-unicode-dev
libghc-hxt-unicode-dev-9.0.2.4-5eff3
本虛擬套件由這些套件填實: libghc-hxt-unicode-dev
libghc-hxt-unicode-dev-9.0.2.4-96c57
本虛擬套件由這些套件填實: libghc-hxt-unicode-dev
libghc-hxt-unicode-dev-9.0.2.4-b36c9
本虛擬套件由這些套件填實: libghc-hxt-unicode-dev
libghc-hxt-unicode-doc (9.0.2.4-9)
Unicode en-/decoding functions; documentation
libghc-hxt-unicode-prof (9.0.2.4-9+b3 [s390x], 9.0.2.4-9+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unicode en-/decoding functions; profiling libraries
libghc-hxt-unicode-prof-9.0.2.4-5b361
本虛擬套件由這些套件填實: libghc-hxt-unicode-prof
libghc-hxt-unicode-prof-9.0.2.4-5eff3
本虛擬套件由這些套件填實: libghc-hxt-unicode-prof
libghc-hxt-unicode-prof-9.0.2.4-96c57
本虛擬套件由這些套件填實: libghc-hxt-unicode-prof
libghc-hxt-unicode-prof-9.0.2.4-b36c9
本虛擬套件由這些套件填實: libghc-hxt-unicode-prof
libghc-iconv-dev (0.4.1.3-8+b2 [s390x], 0.4.1.3-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
string-encoding conversion
libghc-iconv-dev-0.4.1.3-58277
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-5f379
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-63113
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-75f86
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-9b59f
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-c1ebc
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-c3b1e
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-dev-0.4.1.3-cbddd
本虛擬套件由這些套件填實: libghc-iconv-dev
libghc-iconv-doc (0.4.1.3-8)
string-encoding conversion; documentation
libghc-iconv-prof (0.4.1.3-8+b2 [s390x], 0.4.1.3-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
string-encoding conversion; profiling libraries
libghc-iconv-prof-0.4.1.3-58277
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-5f379
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-63113
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-75f86
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-9b59f
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-c1ebc
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-c3b1e
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-iconv-prof-0.4.1.3-cbddd
本虛擬套件由這些套件填實: libghc-iconv-prof
libghc-idna-dev (0.3.0-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.3.0-11+b1 [mips64el, mipsel])
Implements IDNA (RFC 3490)
libghc-idna-dev-0.3.0-0047c
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-2d1a1
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-65c26
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-68034
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-72371
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-c302e
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-e896c
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-dev-0.3.0-f9ad1
本虛擬套件由這些套件填實: libghc-idna-dev
libghc-idna-doc (0.3.0-11)
Implements IDNA (RFC 3490); documentation
libghc-idna-prof (0.3.0-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.3.0-11+b1 [mips64el, mipsel])
Implements IDNA (RFC 3490); profiling libraries
libghc-idna-prof-0.3.0-0047c
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-2d1a1
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-65c26
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-68034
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-72371
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-c302e
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-e896c
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-idna-prof-0.3.0-f9ad1
本虛擬套件由這些套件填實: libghc-idna-prof
libghc-ieee754-dev (0.8.0-4+b2 [s390x], 0.8.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Utilities for dealing with IEEE floating point numbers
libghc-ieee754-dev-0.8.0-1798e
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-383a0
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-3d59a
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-9dc14
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-b4e76
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-bdf5a
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-c3a7f
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-dev-0.8.0-cdd27
本虛擬套件由這些套件填實: libghc-ieee754-dev
libghc-ieee754-doc (0.8.0-4)
Utilities for dealing with IEEE floating point numbers; documentation
libghc-ieee754-prof (0.8.0-4+b2 [s390x], 0.8.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Utilities for dealing with IEEE floating point numbers; profiling libraries
libghc-ieee754-prof-0.8.0-1798e
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-383a0
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-3d59a
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-9dc14
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-b4e76
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-bdf5a
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-c3a7f
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ieee754-prof-0.8.0-cdd27
本虛擬套件由這些套件填實: libghc-ieee754-prof
libghc-ifelse-dev (0.85-16+b2 [s390x], 0.85-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
anaphoric and miscellaneous useful control-flow
libghc-ifelse-dev-0.85.0.0.1-1c424
本虛擬套件由這些套件填實: libghc-ifelse-dev
libghc-ifelse-dev-0.85.0.0.1-73d69
本虛擬套件由這些套件填實: libghc-ifelse-dev
libghc-ifelse-dev-0.85.0.0.1-d6cdf
本虛擬套件由這些套件填實: libghc-ifelse-dev
libghc-ifelse-dev-0.85.0.0.1-f5f5a
本虛擬套件由這些套件填實: libghc-ifelse-dev
libghc-ifelse-doc (0.85-16)
anaphoric and miscellaneous useful control-flow; documentation
libghc-ifelse-prof (0.85-16+b2 [s390x], 0.85-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
anaphoric and miscellaneous useful control-flow; profiling libraries
libghc-ifelse-prof-0.85.0.0.1-1c424
本虛擬套件由這些套件填實: libghc-ifelse-prof
libghc-ifelse-prof-0.85.0.0.1-73d69
本虛擬套件由這些套件填實: libghc-ifelse-prof
libghc-ifelse-prof-0.85.0.0.1-d6cdf
本虛擬套件由這些套件填實: libghc-ifelse-prof
libghc-ifelse-prof-0.85.0.0.1-f5f5a
本虛擬套件由這些套件填實: libghc-ifelse-prof
libghc-incremental-parser-dev (0.4.0.2-1+b2 [amd64, armhf, i386, s390x], 0.4.0.2-1+b1 [arm64, armel, mips64el, mipsel, ppc64el])
generic incremental parsers
libghc-incremental-parser-dev-0.4.0.2-0e73f
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-19a3f
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-6974c
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-7ffaa
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-9412a
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-afc78
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-b2148
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-dev-0.4.0.2-c23cf
本虛擬套件由這些套件填實: libghc-incremental-parser-dev
libghc-incremental-parser-doc (0.4.0.2-1)
generic incremental parsers; documentation
libghc-incremental-parser-prof (0.4.0.2-1+b2 [amd64, armhf, i386, s390x], 0.4.0.2-1+b1 [arm64, armel, mips64el, mipsel, ppc64el])
generic incremental parsers; profiling libraries
libghc-incremental-parser-prof-0.4.0.2-0e73f
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-19a3f
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-6974c
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-7ffaa
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-9412a
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-afc78
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-b2148
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-incremental-parser-prof-0.4.0.2-c23cf
本虛擬套件由這些套件填實: libghc-incremental-parser-prof
libghc-indexed-profunctors-dev (0.1-2)
Utilities for indexed profunctors
libghc-indexed-profunctors-dev-0.1-13c85
本虛擬套件由這些套件填實: libghc-indexed-profunctors-dev
libghc-indexed-profunctors-dev-0.1-4094f
本虛擬套件由這些套件填實: libghc-indexed-profunctors-dev
libghc-indexed-profunctors-dev-0.1-50a9a
本虛擬套件由這些套件填實: libghc-indexed-profunctors-dev
libghc-indexed-profunctors-dev-0.1-d2b8a
本虛擬套件由這些套件填實: libghc-indexed-profunctors-dev
libghc-indexed-profunctors-dev-0.1-ffd9d
本虛擬套件由這些套件填實: libghc-indexed-profunctors-dev
libghc-indexed-profunctors-doc (0.1-2)
Utilities for indexed profunctors; documentation
libghc-indexed-profunctors-prof (0.1-2)
Utilities for indexed profunctors; profiling libraries
libghc-indexed-profunctors-prof-0.1-13c85
本虛擬套件由這些套件填實: libghc-indexed-profunctors-prof
libghc-indexed-profunctors-prof-0.1-4094f
本虛擬套件由這些套件填實: libghc-indexed-profunctors-prof
libghc-indexed-profunctors-prof-0.1-50a9a
本虛擬套件由這些套件填實: libghc-indexed-profunctors-prof
libghc-indexed-profunctors-prof-0.1-d2b8a
本虛擬套件由這些套件填實: libghc-indexed-profunctors-prof
libghc-indexed-profunctors-prof-0.1-ffd9d
本虛擬套件由這些套件填實: libghc-indexed-profunctors-prof
libghc-infer-license-dev (0.2.0-3+b2 [s390x], 0.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
infer software license from a given license file
libghc-infer-license-dev-0.2.0-3408e
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-55d8a
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-610fa
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-77ddc
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-a41e0
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-ca90b
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-cc42f
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-dev-0.2.0-d8fbf
本虛擬套件由這些套件填實: libghc-infer-license-dev
libghc-infer-license-doc (0.2.0-3)
infer software license from a given license file; documentation
libghc-infer-license-prof (0.2.0-3+b2 [s390x], 0.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
infer software license from a given license file; profiling libraries
libghc-infer-license-prof-0.2.0-3408e
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-55d8a
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-610fa
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-77ddc
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-a41e0
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-ca90b
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-cc42f
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-infer-license-prof-0.2.0-d8fbf
本虛擬套件由這些套件填實: libghc-infer-license-prof
libghc-ini-dev (0.4.1-1+b2 [s390x], 0.4.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
quick and easy configuration files in the INI format
libghc-ini-dev-0.4.1-18f8b
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-3047f
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-3a251
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-534c5
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-537cd
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-983d6
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-f32e0
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-dev-0.4.1-fa1d6
本虛擬套件由這些套件填實: libghc-ini-dev
libghc-ini-doc (0.4.1-1)
quick and easy configuration files in the INI format; documentation
libghc-ini-prof (0.4.1-1+b2 [s390x], 0.4.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
quick and easy configuration files in the INI format; profiling libraries
libghc-ini-prof-0.4.1-18f8b
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-3047f
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-3a251
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-534c5
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-537cd
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-983d6
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-f32e0
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-ini-prof-0.4.1-fa1d6
本虛擬套件由這些套件填實: libghc-ini-prof
libghc-inline-c-dev (0.9.1.0-2+b1 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el], 0.9.1.0-2 [s390x])
inline C code without FFI in Haskell source
libghc-inline-c-dev-0.9.1.0-02b91
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-dev-0.9.1.0-075cb
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-dev-0.9.1.0-3e75b
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-dev-0.9.1.0-44af6
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-dev-0.9.1.0-63cb8
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-dev-0.9.1.0-c9f5e
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-dev-0.9.1.0-f5d02
本虛擬套件由這些套件填實: libghc-inline-c-dev
libghc-inline-c-doc (0.9.1.0-2)
inline C code without FFI in Haskell source; documentation
libghc-inline-c-prof (0.9.1.0-2+b1 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el], 0.9.1.0-2 [s390x])
inline C code without FFI in Haskell source; profiling libraries
libghc-inline-c-prof-0.9.1.0-02b91
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inline-c-prof-0.9.1.0-075cb
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inline-c-prof-0.9.1.0-3e75b
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inline-c-prof-0.9.1.0-44af6
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inline-c-prof-0.9.1.0-63cb8
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inline-c-prof-0.9.1.0-c9f5e
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inline-c-prof-0.9.1.0-f5d02
本虛擬套件由這些套件填實: libghc-inline-c-prof
libghc-inspection-testing-dev (0.4.2.4-1+b3)
GHC plugin to do inspection testing
libghc-inspection-testing-dev-0.4.2.4-2f019
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-35b7b
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-46d4d
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-6350c
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-7592e
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-8f8d8
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-99c34
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-dev-0.4.2.4-c2871
本虛擬套件由這些套件填實: libghc-inspection-testing-dev
libghc-inspection-testing-doc (0.4.2.4-1)
GHC plugin to do inspection testing; documentation
libghc-inspection-testing-prof (0.4.2.4-1+b3)
GHC plugin to do inspection testing; profiling libraries
libghc-inspection-testing-prof-0.4.2.4-2f019
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-35b7b
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-46d4d
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-6350c
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-7592e
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-8f8d8
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-99c34
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-inspection-testing-prof-0.4.2.4-c2871
本虛擬套件由這些套件填實: libghc-inspection-testing-prof
libghc-integer-gmp-dev (= 1.0.2.0)
本虛擬套件由這些套件填實: ghc
libghc-integer-gmp-dev-1.0.2.0-0b5a2
本虛擬套件由這些套件填實: ghc
libghc-integer-gmp-dev-1.0.2.0-431ce
本虛擬套件由這些套件填實: ghc
libghc-integer-gmp-dev-1.0.2.0-4db70
本虛擬套件由這些套件填實: ghc
libghc-integer-gmp-dev-1.0.2.0-fa0ae
本虛擬套件由這些套件填實: ghc
libghc-integer-gmp-doc (= 1.0.2.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-integer-gmp-prof (= 1.0.2.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-integer-gmp-prof-1.0.2.0-0b5a2
本虛擬套件由這些套件填實: ghc-prof
libghc-integer-gmp-prof-1.0.2.0-431ce
本虛擬套件由這些套件填實: ghc-prof
libghc-integer-gmp-prof-1.0.2.0-4db70
本虛擬套件由這些套件填實: ghc-prof
libghc-integer-gmp-prof-1.0.2.0-fa0ae
本虛擬套件由這些套件填實: ghc-prof
libghc-integer-logarithms-dev (1.0.3-3+b2 [s390x], 1.0.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
integer logarithms
libghc-integer-logarithms-dev-1.0.3-278a1
本虛擬套件由這些套件填實: libghc-integer-logarithms-dev
libghc-integer-logarithms-dev-1.0.3-39d60
本虛擬套件由這些套件填實: libghc-integer-logarithms-dev
libghc-integer-logarithms-dev-1.0.3-7ef01
本虛擬套件由這些套件填實: libghc-integer-logarithms-dev
libghc-integer-logarithms-dev-1.0.3-c8a87
本虛擬套件由這些套件填實: libghc-integer-logarithms-dev
libghc-integer-logarithms-dev-1.0.3-ef687
本虛擬套件由這些套件填實: libghc-integer-logarithms-dev
libghc-integer-logarithms-doc (1.0.3-3)
integer logarithms; documentation
libghc-integer-logarithms-prof (1.0.3-3+b2 [s390x], 1.0.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
integer logarithms; profiling libraries
libghc-integer-logarithms-prof-1.0.3-278a1
本虛擬套件由這些套件填實: libghc-integer-logarithms-prof
libghc-integer-logarithms-prof-1.0.3-39d60
本虛擬套件由這些套件填實: libghc-integer-logarithms-prof
libghc-integer-logarithms-prof-1.0.3-7ef01
本虛擬套件由這些套件填實: libghc-integer-logarithms-prof
libghc-integer-logarithms-prof-1.0.3-c8a87
本虛擬套件由這些套件填實: libghc-integer-logarithms-prof
libghc-integer-logarithms-prof-1.0.3-ef687
本虛擬套件由這些套件填實: libghc-integer-logarithms-prof
libghc-intern-dev (0.9.3-1)
hash consing for data types - libraries
libghc-intern-dev-0.9.3-29680
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-63374
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-ad8c6
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-affaf
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-cb38e
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-e9a07
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-f4850
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-dev-0.9.3-fd8b6
本虛擬套件由這些套件填實: libghc-intern-dev
libghc-intern-doc (0.9.3-1)
hash consing for data types - library documentation
libghc-intern-prof (0.9.3-1)
hash consing for data types - profiling libraries
libghc-intern-prof-0.9.3-29680
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-63374
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-ad8c6
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-affaf
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-cb38e
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-e9a07
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-f4850
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-intern-prof-0.9.3-fd8b6
本虛擬套件由這些套件填實: libghc-intern-prof
libghc-interpolate-dev (0.2.1-1+b1 [armhf], 0.2.1-1 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el, s390x])
string interpolation done right
libghc-interpolate-dev-0.2.1-018ab
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-dev-0.2.1-1f960
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-dev-0.2.1-4a6b6
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-dev-0.2.1-8b8fd
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-dev-0.2.1-b65d8
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-dev-0.2.1-b9f95
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-dev-0.2.1-c6607
本虛擬套件由這些套件填實: libghc-interpolate-dev
libghc-interpolate-doc (0.2.1-1)
string interpolation done right; documentation
libghc-interpolate-prof (0.2.1-1+b1 [armhf], 0.2.1-1 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el, s390x])
string interpolation done right; profiling libraries
libghc-interpolate-prof-0.2.1-018ab
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-interpolate-prof-0.2.1-1f960
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-interpolate-prof-0.2.1-4a6b6
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-interpolate-prof-0.2.1-8b8fd
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-interpolate-prof-0.2.1-b65d8
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-interpolate-prof-0.2.1-b9f95
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-interpolate-prof-0.2.1-c6607
本虛擬套件由這些套件填實: libghc-interpolate-prof
libghc-intervals-dev (0.9.1-1+b2 [s390x], 0.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell data type for intervals
libghc-intervals-dev-0.9.1-33b55
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-4877d
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-4a2e8
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-52297
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-6c33c
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-6d2d2
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-b7c24
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-dev-0.9.1-f9fe0
本虛擬套件由這些套件填實: libghc-intervals-dev
libghc-intervals-doc (0.9.1-1)
Haskell data type for intervals; documentation
libghc-intervals-prof (0.9.1-1+b2 [s390x], 0.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell data type for intervals; profiling libraries
libghc-intervals-prof-0.9.1-33b55
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-4877d
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-4a2e8
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-52297
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-6c33c
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-6d2d2
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-b7c24
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-intervals-prof-0.9.1-f9fe0
本虛擬套件由這些套件填實: libghc-intervals-prof
libghc-invariant-dev (0.5.3-2+b2 [s390x], 0.5.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell98 invariant functors
libghc-invariant-dev-0.5.3-0da4d
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-10e59
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-18a7c
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-2aaea
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-70c21
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-8c8b0
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-c00f4
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-dev-0.5.3-d6bf4
本虛擬套件由這些套件填實: libghc-invariant-dev
libghc-invariant-doc (0.5.3-2)
Haskell98 invariant functors; documentation
libghc-invariant-prof (0.5.3-2+b2 [s390x], 0.5.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell98 invariant functors; profiling libraries
libghc-invariant-prof-0.5.3-0da4d
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-10e59
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-18a7c
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-2aaea
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-70c21
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-8c8b0
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-c00f4
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-invariant-prof-0.5.3-d6bf4
本虛擬套件由這些套件填實: libghc-invariant-prof
libghc-io-storage-dev (0.3-14+b2 [s390x], 0.3-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
key-value store in the IO monad
libghc-io-storage-dev-0.3-131c9
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-464d7
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-523a9
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-61ad9
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-6a5be
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-bc74c
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-e6b3b
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-dev-0.3-f8514
本虛擬套件由這些套件填實: libghc-io-storage-dev
libghc-io-storage-doc (0.3-14)
key-value store in the IO monad; documentation
libghc-io-storage-prof (0.3-14+b2 [s390x], 0.3-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
key-value store in the IO monad; profiling libraries
libghc-io-storage-prof-0.3-131c9
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-464d7
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-523a9
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-61ad9
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-6a5be
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-bc74c
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-e6b3b
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-storage-prof-0.3-f8514
本虛擬套件由這些套件填實: libghc-io-storage-prof
libghc-io-streams-dev (1.5.1.0-4+b3 [s390x], 1.5.1.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.5.1.0-4+b1 [mips64el, mipsel])
simple, composable, and easy-to-use stream I/O
libghc-io-streams-dev-1.5.1.0-44489
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-6276c
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-67ca2
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-8d48c
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-b24e4
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-bdb6e
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-cf7bd
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-dev-1.5.1.0-d792b
本虛擬套件由這些套件填實: libghc-io-streams-dev
libghc-io-streams-doc (1.5.1.0-4)
simple, composable, and easy-to-use stream I/O; documentation
libghc-io-streams-haproxy-dev (1.0.1.0-3+b3 [s390x], 1.0.1.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1.0-3+b1 [mips64el, mipsel])
HAProxy protocol 1.5 support for io-streams
libghc-io-streams-haproxy-dev-1.0.1.0-0ebd0
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-10580
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-3c654
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-53e69
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-6f405
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-87000
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-a5f2a
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-dev-1.0.1.0-e5f0c
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-dev
libghc-io-streams-haproxy-doc (1.0.1.0-3)
HAProxy protocol 1.5 support for io-streams; documentation
libghc-io-streams-haproxy-prof (1.0.1.0-3+b3 [s390x], 1.0.1.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1.0-3+b1 [mips64el, mipsel])
HAProxy protocol 1.5 support for io-streams; profiling libraries
libghc-io-streams-haproxy-prof-1.0.1.0-0ebd0
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-10580
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-3c654
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-53e69
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-6f405
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-87000
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-a5f2a
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-haproxy-prof-1.0.1.0-e5f0c
本虛擬套件由這些套件填實: libghc-io-streams-haproxy-prof
libghc-io-streams-prof (1.5.1.0-4+b3 [s390x], 1.5.1.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.5.1.0-4+b1 [mips64el, mipsel])
simple, composable, and easy-to-use stream I/O; profiling libraries
libghc-io-streams-prof-1.5.1.0-44489
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-6276c
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-67ca2
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-8d48c
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-b24e4
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-bdb6e
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-cf7bd
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-io-streams-prof-1.5.1.0-d792b
本虛擬套件由這些套件填實: libghc-io-streams-prof
libghc-iospec-dev (0.3.1.1-2+b3 [s390x], 0.3.1.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.1.1-2+b1 [mips64el, mipsel])
Pure specification of the IO monad
libghc-iospec-dev-0.3.1.1-101cd
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-134bc
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-35588
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-54005
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-5dbc8
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-8b8f2
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-d8d0a
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-dev-0.3.1.1-df0e0
本虛擬套件由這些套件填實: libghc-iospec-dev
libghc-iospec-doc (0.3.1.1-2)
Pure specification of the IO monad; documentation
libghc-iospec-prof (0.3.1.1-2+b3 [s390x], 0.3.1.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.1.1-2+b1 [mips64el, mipsel])
Pure specification of the IO monad; profiling libraries
libghc-iospec-prof-0.3.1.1-101cd
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-134bc
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-35588
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-54005
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-5dbc8
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-8b8f2
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-d8d0a
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iospec-prof-0.3.1.1-df0e0
本虛擬套件由這些套件填實: libghc-iospec-prof
libghc-iproute-dev (1.7.9-1+b2 [s390x], 1.7.9-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell IP routing table
libghc-iproute-dev-1.7.9-0411f
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-05c77
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-3e859
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-4c50d
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-619e9
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-78bfd
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-ae4be
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-dev-1.7.9-f7f84
本虛擬套件由這些套件填實: libghc-iproute-dev
libghc-iproute-doc (1.7.9-1)
Haskell IP routing table; documentation
libghc-iproute-prof (1.7.9-1+b2 [s390x], 1.7.9-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell IP routing table; profiling libraries
libghc-iproute-prof-1.7.9-0411f
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-05c77
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-3e859
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-4c50d
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-619e9
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-78bfd
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-ae4be
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-iproute-prof-1.7.9-f7f84
本虛擬套件由這些套件填實: libghc-iproute-prof
libghc-ipynb-dev (0.1.0.1-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.1.0.1-1+b1 [armel, armhf, i386])
Data structure for working with Jupyter notebooks (ipynb).
libghc-ipynb-dev-0.1.0.1-0eb17
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-20331
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-2898a
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-298ff
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-51200
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-54379
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-7ed98
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-c1172
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-dev-0.1.0.1-d1d5a
本虛擬套件由這些套件填實: libghc-ipynb-dev
libghc-ipynb-doc (0.1.0.1-1)
Data structure for working with Jupyter notebooks (ipynb).; documentation
libghc-ipynb-prof (0.1.0.1-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.1.0.1-1+b1 [armel, armhf, i386])
Data structure for working with Jupyter notebooks (ipynb).; profiling libraries
libghc-ipynb-prof-0.1.0.1-0eb17
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-20331
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-2898a
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-298ff
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-51200
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-54379
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-7ed98
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-c1172
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-ipynb-prof-0.1.0.1-d1d5a
本虛擬套件由這些套件填實: libghc-ipynb-prof
libghc-irc-core-dev (2.8-1+b1)
IRC connection library
libghc-irc-core-dev-2.8-0e2de
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-455ff
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-4eb9e
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-5fe10
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-6105f
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-b2b6e
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-bd963
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-c83bc
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-dev-2.8-db120
本虛擬套件由這些套件填實: libghc-irc-core-dev
libghc-irc-core-doc (2.8-1)
IRC connection library; documentation
libghc-irc-core-prof (2.8-1+b1)
IRC connection library; profiling libraries
libghc-irc-core-prof-2.8-0e2de
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-455ff
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-4eb9e
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-5fe10
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-6105f
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-b2b6e
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-bd963
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-c83bc
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-core-prof-2.8-db120
本虛擬套件由這些套件填實: libghc-irc-core-prof
libghc-irc-dev (0.6.1.0-10+b2 [s390x], 0.6.1.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell IRC library
libghc-irc-dev-0.6.1.0-0d651
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-4a717
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-53193
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-5b3ef
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-6102a
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-7c19b
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-efe15
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-dev-0.6.1.0-f2ae8
本虛擬套件由這些套件填實: libghc-irc-dev
libghc-irc-doc (0.6.1.0-10)
Haskell IRC library; documentation
libghc-irc-prof (0.6.1.0-10+b2 [s390x], 0.6.1.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell IRC library; profiling libraries
libghc-irc-prof-0.6.1.0-0d651
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-4a717
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-53193
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-5b3ef
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-6102a
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-7c19b
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-efe15
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-irc-prof-0.6.1.0-f2ae8
本虛擬套件由這些套件填實: libghc-irc-prof
libghc-ircbot-dev (0.6.6-1+b2 [s390x], 0.6.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for writing IRC bots
libghc-ircbot-dev-0.6.6-0494e
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-210ec
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-63808
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-9fa35
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-b71c5
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-d0ed0
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-fbfc4
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-dev-0.6.6-fcdee
本虛擬套件由這些套件填實: libghc-ircbot-dev
libghc-ircbot-doc (0.6.6-1)
library for writing IRC bots; documentation
libghc-ircbot-prof (0.6.6-1+b2 [s390x], 0.6.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for writing IRC bots; profiling libraries
libghc-ircbot-prof-0.6.6-0494e
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-210ec
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-63808
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-9fa35
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-b71c5
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-d0ed0
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-fbfc4
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-ircbot-prof-0.6.6-fcdee
本虛擬套件由這些套件填實: libghc-ircbot-prof
libghc-iso8601-time-dev (0.1.5-3+b2 [s390x], 0.1.5-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convert to/from the ISO 8601 time format
libghc-iso8601-time-dev-0.1.5-4a60d
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-8f90d
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-9c140
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-b2636
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-c277f
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-cf460
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-d1e31
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-dev-0.1.5-da10e
本虛擬套件由這些套件填實: libghc-iso8601-time-dev
libghc-iso8601-time-doc (0.1.5-3)
convert to/from the ISO 8601 time format; documentation
libghc-iso8601-time-prof (0.1.5-3+b2 [s390x], 0.1.5-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convert to/from the ISO 8601 time format; profiling libraries
libghc-iso8601-time-prof-0.1.5-4a60d
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-8f90d
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-9c140
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-b2636
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-c277f
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-cf460
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-d1e31
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iso8601-time-prof-0.1.5-da10e
本虛擬套件由這些套件填實: libghc-iso8601-time-prof
libghc-iwlib-dev (0.1.0-4+b2 [s390x], 0.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
binding to the iw library
libghc-iwlib-dev-0.1.0-64ffd
本虛擬套件由這些套件填實: libghc-iwlib-dev
libghc-iwlib-dev-0.1.0-721f2
本虛擬套件由這些套件填實: libghc-iwlib-dev
libghc-iwlib-dev-0.1.0-bbbfd
本虛擬套件由這些套件填實: libghc-iwlib-dev
libghc-iwlib-dev-0.1.0-ff35c
本虛擬套件由這些套件填實: libghc-iwlib-dev
libghc-iwlib-doc (0.1.0-4)
binding to the iw library; documentation
libghc-iwlib-prof (0.1.0-4+b2 [s390x], 0.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
binding to the iw library; profiling libraries
libghc-iwlib-prof-0.1.0-64ffd
本虛擬套件由這些套件填實: libghc-iwlib-prof
libghc-iwlib-prof-0.1.0-721f2
本虛擬套件由這些套件填實: libghc-iwlib-prof
libghc-iwlib-prof-0.1.0-bbbfd
本虛擬套件由這些套件填實: libghc-iwlib-prof
libghc-iwlib-prof-0.1.0-ff35c
本虛擬套件由這些套件填實: libghc-iwlib-prof
libghc-ixset-dev (1.1.1.1-2+b4 [s390x], 1.1.1.1-2+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.1.1.1-2+b1 [mips64el])
Haskell implementation of queryable sets - GHC libraries
libghc-ixset-dev-1.1.1.1-033f6
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-081f1
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-0e7f7
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-590f6
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-599cb
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-8e71a
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-b2b5b
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-dev-1.1.1.1-c61db
本虛擬套件由這些套件填實: libghc-ixset-dev
libghc-ixset-doc (1.1.1.1-2)
Haskell implementation of queryable sets - documentation; documentation
libghc-ixset-prof (1.1.1.1-2+b4 [s390x], 1.1.1.1-2+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.1.1.1-2+b1 [mips64el])
Haskell implementation of queryable sets - GHC profiling libraries; profiling libraries
libghc-ixset-prof-1.1.1.1-033f6
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-081f1
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-0e7f7
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-590f6
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-599cb
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-8e71a
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-b2b5b
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-prof-1.1.1.1-c61db
本虛擬套件由這些套件填實: libghc-ixset-prof
libghc-ixset-typed-dev (0.5-1+b4 [s390x], 0.5-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.5-1+b1 [mips64el])
efficient relational queries on Haskell sets
libghc-ixset-typed-dev-0.5-1b8a6
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-33694
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-4d7ec
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-84178
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-8d321
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-a990f
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-bda78
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-dev-0.5-d6452
本虛擬套件由這些套件填實: libghc-ixset-typed-dev
libghc-ixset-typed-doc (0.5-1)
efficient relational queries on Haskell sets; documentation
libghc-ixset-typed-prof (0.5-1+b4 [s390x], 0.5-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.5-1+b1 [mips64el])
efficient relational queries on Haskell sets; profiling libraries
libghc-ixset-typed-prof-0.5-1b8a6
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-33694
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-4d7ec
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-84178
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-8d321
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-a990f
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-bda78
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-ixset-typed-prof-0.5-d6452
本虛擬套件由這些套件填實: libghc-ixset-typed-prof
libghc-jira-wiki-markup-dev (1.1.4-1)
Handle Jira wiki markup
libghc-jira-wiki-markup-dev-1.1.4-0d490
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-4c6c9
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-5c828
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-66afb
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-743a5
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-b10fa
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-da84d
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-dev-1.1.4-fcb88
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-dev
libghc-jira-wiki-markup-doc (1.1.4-1)
Handle Jira wiki markup; documentation
libghc-jira-wiki-markup-prof (1.1.4-1)
Handle Jira wiki markup; profiling libraries
libghc-jira-wiki-markup-prof-1.1.4-0d490
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-4c6c9
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-5c828
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-66afb
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-743a5
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-b10fa
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-da84d
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jira-wiki-markup-prof-1.1.4-fcb88
本虛擬套件由這些套件填實: libghc-jira-wiki-markup-prof
libghc-jmacro-dev (0.6.17-1+b3 [amd64, arm64, armhf, ppc64el, s390x], 0.6.17-1+b2 [armel, i386, mips64el, mipsel])
library for programmatic generation of JavaScript
libghc-jmacro-dev-0.6.17-0b1a8
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-1b033
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-525df
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-59414
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-62c45
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-992bd
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-ac298
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-cbd47
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-dev-0.6.17-d95e7
本虛擬套件由這些套件填實: libghc-jmacro-dev
libghc-jmacro-doc (0.6.17-1)
library for programmatic generation of JavaScript; documentation
libghc-jmacro-prof (0.6.17-1+b3 [amd64, arm64, armhf, ppc64el, s390x], 0.6.17-1+b2 [armel, i386, mips64el, mipsel])
library for programmatic generation of JavaScript; profiling libraries
libghc-jmacro-prof-0.6.17-0b1a8
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-1b033
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-525df
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-59414
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-62c45
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-992bd
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-ac298
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-cbd47
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-jmacro-prof-0.6.17-d95e7
本虛擬套件由這些套件填實: libghc-jmacro-prof
libghc-js-dgtable-dev (0.5.2-2)
obtain minified jquery.dgtable code
libghc-js-dgtable-dev-0.5.2-0e3ca
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-dev-0.5.2-54eed
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-dev-0.5.2-5eb93
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-dev-0.5.2-7030c
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-dev-0.5.2-a0d69
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-dev-0.5.2-a966b
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-dev-0.5.2-fec58
本虛擬套件由這些套件填實: libghc-js-dgtable-dev
libghc-js-dgtable-doc (0.5.2-2)
obtain minified jquery.dgtable code; documentation
libghc-js-dgtable-prof (0.5.2-2)
obtain minified jquery.dgtable code; profiling libraries
libghc-js-dgtable-prof-0.5.2-0e3ca
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-dgtable-prof-0.5.2-54eed
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-dgtable-prof-0.5.2-5eb93
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-dgtable-prof-0.5.2-7030c
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-dgtable-prof-0.5.2-a0d69
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-dgtable-prof-0.5.2-a966b
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-dgtable-prof-0.5.2-fec58
本虛擬套件由這些套件填實: libghc-js-dgtable-prof
libghc-js-flot-data (0.8.3-9)
bundles the jQuery Flot code into a Haskell package; runtime files
libghc-js-flot-dev (0.8.3-9+b2 [s390x], 0.8.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bundles the jQuery Flot code into a Haskell package
libghc-js-flot-dev-0.8.3-07367
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-dev-0.8.3-36161
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-dev-0.8.3-3bb3a
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-dev-0.8.3-616d3
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-dev-0.8.3-a16c1
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-dev-0.8.3-c1c22
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-dev-0.8.3-d8064
本虛擬套件由這些套件填實: libghc-js-flot-dev
libghc-js-flot-doc (0.8.3-9)
bundles the jQuery Flot code into a Haskell package; documentation
libghc-js-flot-prof (0.8.3-9+b2 [s390x], 0.8.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bundles the jQuery Flot code into a Haskell package; profiling libraries
libghc-js-flot-prof-0.8.3-07367
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-flot-prof-0.8.3-36161
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-flot-prof-0.8.3-3bb3a
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-flot-prof-0.8.3-616d3
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-flot-prof-0.8.3-a16c1
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-flot-prof-0.8.3-c1c22
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-flot-prof-0.8.3-d8064
本虛擬套件由這些套件填實: libghc-js-flot-prof
libghc-js-jquery-data (3.3.1-3)
bundles the minified jQuery code into a Haskell package
libghc-js-jquery-dev (3.3.1-3+b2 [s390x], 3.3.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bundles the minified jQuery code into a Haskell package
libghc-js-jquery-dev-3.3.1-2407b
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-dev-3.3.1-26461
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-dev-3.3.1-2fdf0
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-dev-3.3.1-9823d
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-dev-3.3.1-b2777
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-dev-3.3.1-b5fb8
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-dev-3.3.1-f16c2
本虛擬套件由這些套件填實: libghc-js-jquery-dev
libghc-js-jquery-doc (3.3.1-3)
bundles the minified jQuery code into a Haskell package; documentation
libghc-js-jquery-prof (3.3.1-3+b2 [s390x], 3.3.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bundles the minified jQuery code into a Haskell package; profiling libraries
libghc-js-jquery-prof-3.3.1-2407b
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-js-jquery-prof-3.3.1-26461
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-js-jquery-prof-3.3.1-2fdf0
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-js-jquery-prof-3.3.1-9823d
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-js-jquery-prof-3.3.1-b2777
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-js-jquery-prof-3.3.1-b5fb8
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-js-jquery-prof-3.3.1-f16c2
本虛擬套件由這些套件填實: libghc-js-jquery-prof
libghc-json-dev (0.10-1+b2 [s390x], 0.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for serialising data to and from JSON
libghc-json-dev-0.10-33aec
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-3da53
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-5a981
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-66c3c
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-7109e
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-76ad1
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-88658
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-dev-0.10-e112c
本虛擬套件由這些套件填實: libghc-json-dev
libghc-json-doc (0.10-1)
Haskell library for serialising data to and from JSON; documentation
libghc-json-prof (0.10-1+b2 [s390x], 0.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for serialising data to and from JSON; profiling libraries
libghc-json-prof-0.10-33aec
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-3da53
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-5a981
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-66c3c
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-7109e
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-76ad1
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-88658
本虛擬套件由這些套件填實: libghc-json-prof
libghc-json-prof-0.10-e112c
本虛擬套件由這些套件填實: libghc-json-prof
libghc-juicypixels-dev (3.3.5-1+b3 [s390x], 3.3.5-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.3.5-1+b1 [mips64el, mipsel])
Haskell picture loading and serialization library
libghc-juicypixels-dev-3.3.5-0e12c
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-1bb6d
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-29139
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-2df4f
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-3c7f4
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-5ad80
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-6a7d1
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-dev-3.3.5-7ac6d
本虛擬套件由這些套件填實: libghc-juicypixels-dev
libghc-juicypixels-doc (3.3.5-1)
Haskell picture loading and serialization library; documentation
libghc-juicypixels-prof (3.3.5-1+b3 [s390x], 3.3.5-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.3.5-1+b1 [mips64el, mipsel])
Haskell picture loading and serialization library; profiling libraries
libghc-juicypixels-prof-3.3.5-0e12c
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-1bb6d
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-29139
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-2df4f
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-3c7f4
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-5ad80
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-6a7d1
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-juicypixels-prof-3.3.5-7ac6d
本虛擬套件由這些套件填實: libghc-juicypixels-prof
libghc-jwt-dev (0.10.0-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.10.0-1+b1 [armel, armhf, i386])
JSON Web Token (JWT) decoding and encoding
libghc-jwt-dev-0.10.0-0221e
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-4e87d
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-54316
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-567fc
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-5f834
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-6e124
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-72f03
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-8d807
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-dev-0.10.0-bdf40
本虛擬套件由這些套件填實: libghc-jwt-dev
libghc-jwt-doc (0.10.0-1)
JSON Web Token (JWT) decoding and encoding; documentation
libghc-jwt-prof (0.10.0-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.10.0-1+b1 [armel, armhf, i386])
JSON Web Token (JWT) decoding and encoding; profiling libraries
libghc-jwt-prof-0.10.0-0221e
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-4e87d
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-54316
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-567fc
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-5f834
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-6e124
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-72f03
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-8d807
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-jwt-prof-0.10.0-bdf40
本虛擬套件由這些套件填實: libghc-jwt-prof
libghc-kan-extensions-dev (5.2-3+b2 [s390x], 5.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Kan extensions, Kan lifts, etc.
libghc-kan-extensions-dev-5.2-0116e
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-0c05c
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-10330
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-3e5e1
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-88905
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-a87fb
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-ad4d0
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-b4682
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-dev-5.2-d64e6
本虛擬套件由這些套件填實: libghc-kan-extensions-dev
libghc-kan-extensions-doc (5.2-3)
Kan extensions, Kan lifts, etc.; documentation
libghc-kan-extensions-prof (5.2-3+b2 [s390x], 5.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Kan extensions, Kan lifts, etc.; profiling libraries
libghc-kan-extensions-prof-5.2-0116e
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-0c05c
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-10330
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-3e5e1
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-88905
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-a87fb
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-ad4d0
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-b4682
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-kan-extensions-prof-5.2-d64e6
本虛擬套件由這些套件填實: libghc-kan-extensions-prof
libghc-keys-dev (3.12.3-1+b2 [s390x], 3.12.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Keyed functors and containers
libghc-keys-dev-3.12.3-0a298
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-178fe
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-298c4
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-4594c
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-894bb
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-93fff
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-9d9bf
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-b7f84
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-dev-3.12.3-fc552
本虛擬套件由這些套件填實: libghc-keys-dev
libghc-keys-doc (3.12.3-1)
Keyed functors and containers; documentation
libghc-keys-prof (3.12.3-1+b2 [s390x], 3.12.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Keyed functors and containers; profiling libraries
libghc-keys-prof-3.12.3-0a298
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-178fe
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-298c4
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-4594c
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-894bb
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-93fff
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-9d9bf
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-b7f84
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-keys-prof-3.12.3-fc552
本虛擬套件由這些套件填實: libghc-keys-prof
libghc-knob-dev (0.1.1-13+b2 [s390x], 0.1.1-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for memory-backed handles
libghc-knob-dev-0.1.1-23c07
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-2acca
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-5513f
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-8b311
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-c6861
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-c7410
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-c7eab
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-dev-0.1.1-f89b4
本虛擬套件由這些套件填實: libghc-knob-dev
libghc-knob-doc (0.1.1-13)
Haskell library for memory-backed handles; documentation
libghc-knob-prof (0.1.1-13+b2 [s390x], 0.1.1-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for memory-backed handles; profiling libraries
libghc-knob-prof-0.1.1-23c07
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-2acca
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-5513f
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-8b311
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-c6861
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-c7410
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-c7eab
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-knob-prof-0.1.1-f89b4
本虛擬套件由這些套件填實: libghc-knob-prof
libghc-lambdabot-core-dev (5.3.0.1-1)
Lambdabot core functionality
libghc-lambdabot-core-dev-5.3.0.1-14657
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-27a6a
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-35264
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-6a93b
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-8b4ef
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-95f9d
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-a5d40
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-dev-5.3.0.1-adb39
本虛擬套件由這些套件填實: libghc-lambdabot-core-dev
libghc-lambdabot-core-doc (5.3.0.1-1)
Lambdabot core functionality; documentation
libghc-lambdabot-core-prof (5.3.0.1-1)
Lambdabot core functionality; profiling libraries
libghc-lambdabot-core-prof-5.3.0.1-14657
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-27a6a
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-35264
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-6a93b
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-8b4ef
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-95f9d
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-a5d40
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-core-prof-5.3.0.1-adb39
本虛擬套件由這些套件填實: libghc-lambdabot-core-prof
libghc-lambdabot-irc-plugins-dev (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 5.3-1+b1 [mips64el])
IRC plugins for lambdabot
libghc-lambdabot-irc-plugins-dev-5.3-0813a
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-3d809
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-5b220
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-6f23b
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-a4fbb
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-d82ca
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-e8c6a
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-dev-5.3-ea2d0
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-dev
libghc-lambdabot-irc-plugins-doc (5.3-1)
IRC plugins for lambdabot; documentation
libghc-lambdabot-irc-plugins-prof (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 5.3-1+b1 [mips64el])
IRC plugins for lambdabot; profiling libraries
libghc-lambdabot-irc-plugins-prof-5.3-0813a
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-3d809
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-5b220
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-6f23b
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-a4fbb
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-d82ca
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-e8c6a
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-irc-plugins-prof-5.3-ea2d0
本虛擬套件由這些套件填實: libghc-lambdabot-irc-plugins-prof
libghc-lambdabot-misc-plugins-dev (5.3-1+b4 [s390x], 5.3-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 5.3-1+b2 [mipsel], 5.3-1+b1 [mips64el])
Lambdabot miscellaneous plugins
libghc-lambdabot-misc-plugins-dev-5.3-07e82
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-0f7c6
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-193a8
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-35cf5
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-48c98
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-752ba
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-b7ba4
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-dev-5.3-c16f1
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-dev
libghc-lambdabot-misc-plugins-doc (5.3-1)
Lambdabot miscellaneous plugins; documentation
libghc-lambdabot-misc-plugins-prof (5.3-1+b4 [s390x], 5.3-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 5.3-1+b2 [mipsel], 5.3-1+b1 [mips64el])
Lambdabot miscellaneous plugins; profiling libraries
libghc-lambdabot-misc-plugins-prof-5.3-07e82
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-0f7c6
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-193a8
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-35cf5
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-48c98
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-752ba
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-b7ba4
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-misc-plugins-prof-5.3-c16f1
本虛擬套件由這些套件填實: libghc-lambdabot-misc-plugins-prof
libghc-lambdabot-novelty-plugins-dev (5.3-1+b4 [s390x], 5.3-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 5.3-1+b2 [mipsel], 5.3-1+b1 [mips64el])
novelty plugins for Lambdabot
libghc-lambdabot-novelty-plugins-dev-5.3-05ab6
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-36d7a
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-40837
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-7229e
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-8aa21
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-b7d7f
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-cb6f8
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-dev-5.3-eee9b
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-dev
libghc-lambdabot-novelty-plugins-doc (5.3-1)
novelty plugins for Lambdabot; documentation
libghc-lambdabot-novelty-plugins-prof (5.3-1+b4 [s390x], 5.3-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 5.3-1+b2 [mipsel], 5.3-1+b1 [mips64el])
novelty plugins for Lambdabot; profiling libraries
libghc-lambdabot-novelty-plugins-prof-5.3-05ab6
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-36d7a
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-40837
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-7229e
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-8aa21
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-b7d7f
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-cb6f8
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-novelty-plugins-prof-5.3-eee9b
本虛擬套件由這些套件填實: libghc-lambdabot-novelty-plugins-prof
libghc-lambdabot-reference-plugins-dev (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 5.3-1+b1 [mips64el])
Lambdabot reference plugins
libghc-lambdabot-reference-plugins-dev-5.3-142d2
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-208ac
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-2bb52
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-a8f81
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-c793e
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-d1679
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-e70bc
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-dev-5.3-ee96b
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-dev
libghc-lambdabot-reference-plugins-doc (5.3-1)
Lambdabot reference plugins; documentation
libghc-lambdabot-reference-plugins-prof (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 5.3-1+b1 [mips64el])
Lambdabot reference plugins; profiling libraries
libghc-lambdabot-reference-plugins-prof-5.3-142d2
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-208ac
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-2bb52
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-a8f81
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-c793e
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-d1679
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-e70bc
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-reference-plugins-prof-5.3-ee96b
本虛擬套件由這些套件填實: libghc-lambdabot-reference-plugins-prof
libghc-lambdabot-social-plugins-dev (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 5.3-1+b1 [mips64el])
social plugins for Lambdabot
libghc-lambdabot-social-plugins-dev-5.3-03992
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-1451d
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-1c10e
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-68fba
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-8f3bb
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-9d5b6
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-afee8
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-dev-5.3-ccc1a
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-dev
libghc-lambdabot-social-plugins-doc (5.3-1)
social plugins for Lambdabot; documentation
libghc-lambdabot-social-plugins-prof (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 5.3-1+b1 [mips64el])
social plugins for Lambdabot; profiling libraries
libghc-lambdabot-social-plugins-prof-5.3-03992
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-1451d
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-1c10e
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-68fba
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-8f3bb
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-9d5b6
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-afee8
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-social-plugins-prof-5.3-ccc1a
本虛擬套件由這些套件填實: libghc-lambdabot-social-plugins-prof
libghc-lambdabot-trusted-dev (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 5.3-1+b1 [mips64el, mipsel])
Lambdabot trusted code
libghc-lambdabot-trusted-dev-5.3-0537a
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-14017
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-72732
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-817fc
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-98925
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-bae74
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-cdae1
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-dev-5.3-fd199
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-dev
libghc-lambdabot-trusted-doc (5.3-1)
Lambdabot trusted code; documentation
libghc-lambdabot-trusted-prof (5.3-1+b3 [s390x], 5.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 5.3-1+b1 [mips64el, mipsel])
Lambdabot trusted code; profiling libraries
libghc-lambdabot-trusted-prof-5.3-0537a
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-14017
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-72732
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-817fc
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-98925
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-bae74
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-cdae1
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdabot-trusted-prof-5.3-fd199
本虛擬套件由這些套件填實: libghc-lambdabot-trusted-prof
libghc-lambdahack-dev (0.9.5.0-3)
tactical squad ASCII roguelike dungeon crawler game engine
libghc-lambdahack-dev-0.9.5.0-1180e
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-1eb2d
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-44867
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-56463
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-56d2b
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-5f753
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-88be4
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-b40c3
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-dev-0.9.5.0-e1804
本虛擬套件由這些套件填實: libghc-lambdahack-dev
libghc-lambdahack-doc (0.9.5.0-3)
tactical squad ASCII roguelike dungeon crawler game engine; documentation
libghc-lambdahack-prof (0.9.5.0-3)
tactical squad ASCII roguelike dungeon crawler game engine; profiling libraries
libghc-lambdahack-prof-0.9.5.0-1180e
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-1eb2d
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-44867
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-56463
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-56d2b
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-5f753
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-88be4
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-b40c3
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-lambdahack-prof-0.9.5.0-e1804
本虛擬套件由這些套件填實: libghc-lambdahack-prof
libghc-language-c-dev (0.8.3-1+b2 [s390x], 0.8.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for the analysis and generation of C code
libghc-language-c-dev-0.8.3-18ebd
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-194c1
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-26ffc
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-4e20c
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-56c26
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-65ca2
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-6e5d2
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-dev-0.8.3-e06c8
本虛擬套件由這些套件填實: libghc-language-c-dev
libghc-language-c-doc (0.8.3-1)
Haskell library for the analysis and generation of C code; documentation
libghc-language-c-prof (0.8.3-1+b2 [s390x], 0.8.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for the analysis and generation of C code; profiling libraries
libghc-language-c-prof-0.8.3-18ebd
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-194c1
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-26ffc
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-4e20c
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-56c26
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-65ca2
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-6e5d2
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-c-prof-0.8.3-e06c8
本虛擬套件由這些套件填實: libghc-language-c-prof
libghc-language-haskell-extract-dev (0.2.4-10+b2 [s390x], 0.2.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
automatically extract functions from the local code.
libghc-language-haskell-extract-dev-0.2.4-0ce63
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-1df61
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-229be
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-239f0
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-7b470
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-9182e
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-9d8f6
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-dev-0.2.4-c57d9
本虛擬套件由這些套件填實: libghc-language-haskell-extract-dev
libghc-language-haskell-extract-doc (0.2.4-10)
automatically extract functions from the local code.; documentation
libghc-language-haskell-extract-prof (0.2.4-10+b2 [s390x], 0.2.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
automatically extract functions from the local code.; profiling libraries
libghc-language-haskell-extract-prof-0.2.4-0ce63
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-1df61
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-229be
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-239f0
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-7b470
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-9182e
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-9d8f6
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-haskell-extract-prof-0.2.4-c57d9
本虛擬套件由這些套件填實: libghc-language-haskell-extract-prof
libghc-language-javascript-dev (0.7.1.0-1+b2 [s390x], 0.7.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
JavaScript parser
libghc-language-javascript-dev-0.7.1.0-0c2f9
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-121c5
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-15c3b
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-30225
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-70d26
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-901bb
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-cbb3a
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-dev-0.7.1.0-ee889
本虛擬套件由這些套件填實: libghc-language-javascript-dev
libghc-language-javascript-doc (0.7.1.0-1)
JavaScript parser; documentation
libghc-language-javascript-prof (0.7.1.0-1+b2 [s390x], 0.7.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
JavaScript parser; profiling libraries
libghc-language-javascript-prof-0.7.1.0-0c2f9
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-121c5
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-15c3b
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-30225
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-70d26
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-901bb
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-cbb3a
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-javascript-prof-0.7.1.0-ee889
本虛擬套件由這些套件填實: libghc-language-javascript-prof
libghc-language-python-dev (0.5.8-1+b2 [s390x], 0.5.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing and pretty printing of Python code
libghc-language-python-dev-0.5.8-10be6
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-21ff4
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-48ded
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-57c4b
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-5c9d5
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-7725d
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-be406
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-dev-0.5.8-d92bf
本虛擬套件由這些套件填實: libghc-language-python-dev
libghc-language-python-doc (0.5.8-1)
parsing and pretty printing of Python code; documentation
libghc-language-python-prof (0.5.8-1+b2 [s390x], 0.5.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing and pretty printing of Python code; profiling libraries
libghc-language-python-prof-0.5.8-10be6
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-21ff4
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-48ded
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-57c4b
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-5c9d5
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-7725d
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-be406
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-language-python-prof-0.5.8-d92bf
本虛擬套件由這些套件填實: libghc-language-python-prof
libghc-lazy-csv-dev (0.5.1-4+b2 [s390x], 0.5.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient lazy parsers for CSV (comma-separated values)
libghc-lazy-csv-dev-0.5.1-01f5d
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-2e8a2
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-31a87
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-5120b
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-7d33d
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-ca8a7
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-cd374
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-dev-0.5.1-ce605
本虛擬套件由這些套件填實: libghc-lazy-csv-dev
libghc-lazy-csv-doc (0.5.1-4)
efficient lazy parsers for CSV (comma-separated values); documentation
libghc-lazy-csv-prof (0.5.1-4+b2 [s390x], 0.5.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient lazy parsers for CSV (comma-separated values); profiling libraries
libghc-lazy-csv-prof-0.5.1-01f5d
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-2e8a2
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-31a87
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-5120b
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-7d33d
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-ca8a7
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-cd374
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazy-csv-prof-0.5.1-ce605
本虛擬套件由這些套件填實: libghc-lazy-csv-prof
libghc-lazysmallcheck-dev (0.6-12+b2 [s390x], 0.6-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A library for demand-driven testing of Haskell programs
libghc-lazysmallcheck-dev-0.6-11609
本虛擬套件由這些套件填實: libghc-lazysmallcheck-dev
libghc-lazysmallcheck-dev-0.6-26f99
本虛擬套件由這些套件填實: libghc-lazysmallcheck-dev
libghc-lazysmallcheck-dev-0.6-2f900
本虛擬套件由這些套件填實: libghc-lazysmallcheck-dev
libghc-lazysmallcheck-dev-0.6-3dba0
本虛擬套件由這些套件填實: libghc-lazysmallcheck-dev
libghc-lazysmallcheck-dev-0.6-88f9a
本虛擬套件由這些套件填實: libghc-lazysmallcheck-dev
libghc-lazysmallcheck-doc (0.6-12)
A library for demand-driven testing of Haskell programs; documentation
libghc-lazysmallcheck-prof (0.6-12+b2 [s390x], 0.6-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A library for demand-driven testing of Haskell programs; profiling libraries
libghc-lazysmallcheck-prof-0.6-11609
本虛擬套件由這些套件填實: libghc-lazysmallcheck-prof
libghc-lazysmallcheck-prof-0.6-26f99
本虛擬套件由這些套件填實: libghc-lazysmallcheck-prof
libghc-lazysmallcheck-prof-0.6-2f900
本虛擬套件由這些套件填實: libghc-lazysmallcheck-prof
libghc-lazysmallcheck-prof-0.6-3dba0
本虛擬套件由這些套件填實: libghc-lazysmallcheck-prof
libghc-lazysmallcheck-prof-0.6-88f9a
本虛擬套件由這些套件填實: libghc-lazysmallcheck-prof
libghc-ldap-dev (0.6.11-4+b2 [s390x], 0.6.11-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
LDAP Interface for Haskell
libghc-ldap-doc (0.6.11-4)
LDAP Interface for Haskell
libghc-ldap-prof (0.6.11-4+b2 [s390x], 0.6.11-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
LDAP Interface for Haskell; profiling libraries
libghc-lens-action-dev (0.2.4-1+b3 [s390x], 0.2.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.4-1+b1 [mips64el, mipsel])
Monadic Getters and Folds
libghc-lens-action-dev-0.2.4-1b59e
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-312a3
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-4e60c
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-65693
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-7d927
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-9fe0d
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-a221b
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-a4068
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-dev-0.2.4-b1c19
本虛擬套件由這些套件填實: libghc-lens-action-dev
libghc-lens-action-doc (0.2.4-1)
Monadic Getters and Folds; documentation
libghc-lens-action-prof (0.2.4-1+b3 [s390x], 0.2.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.4-1+b1 [mips64el, mipsel])
Monadic Getters and Folds; profiling libraries
libghc-lens-action-prof-0.2.4-1b59e
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-312a3
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-4e60c
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-65693
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-7d927
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-9fe0d
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-a221b
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-a4068
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-action-prof-0.2.4-b1c19
本虛擬套件由這些套件填實: libghc-lens-action-prof
libghc-lens-aeson-dev (1.1-1+b3 [amd64, arm64, ppc64el, s390x], 1.1-1+b2 [armel, armhf, i386, mips64el, mipsel])
law-abiding lenses for aeson
libghc-lens-aeson-dev-1.1-26a16
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-5b274
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-75341
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-9431d
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-979d4
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-9c0e5
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-d1e37
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-d8669
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-dev-1.1-f31ec
本虛擬套件由這些套件填實: libghc-lens-aeson-dev
libghc-lens-aeson-doc (1.1-1)
law-abiding lenses for aeson; documentation
libghc-lens-aeson-prof (1.1-1+b3 [amd64, arm64, ppc64el, s390x], 1.1-1+b2 [armel, armhf, i386, mips64el, mipsel])
law-abiding lenses for aeson; profiling libraries
libghc-lens-aeson-prof-1.1-26a16
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-5b274
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-75341
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-9431d
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-979d4
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-9c0e5
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-d1e37
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-d8669
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-aeson-prof-1.1-f31ec
本虛擬套件由這些套件填實: libghc-lens-aeson-prof
libghc-lens-dev (4.18.1-1+b3 [s390x], 4.18.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.18.1-1+b1 [mips64el, mipsel])
Lenses, Folds and Traversals
libghc-lens-dev-4.18.1-2e70c
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-4bce0
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-4e0a8
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-65c34
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-87bbf
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-a5b85
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-b422f
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-bf493
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-dev-4.18.1-e0d02
本虛擬套件由這些套件填實: libghc-lens-dev
libghc-lens-doc (4.18.1-1)
Lenses, Folds and Traversals; documentation
libghc-lens-family-core-dev (2.0.0-1+b2 [s390x], 2.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 Lens Families
libghc-lens-family-core-dev-2.0.0-1ce6e
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-3ca46
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-62333
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-a1e03
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-a4e53
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-abfb7
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-b33ab
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-dev-2.0.0-e1e3a
本虛擬套件由這些套件填實: libghc-lens-family-core-dev
libghc-lens-family-core-doc (2.0.0-1)
Haskell 98 Lens Families; documentation
libghc-lens-family-core-prof (2.0.0-1+b2 [s390x], 2.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 Lens Families; profiling libraries
libghc-lens-family-core-prof-2.0.0-1ce6e
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-3ca46
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-62333
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-a1e03
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-a4e53
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-abfb7
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-b33ab
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-family-core-prof-2.0.0-e1e3a
本虛擬套件由這些套件填實: libghc-lens-family-core-prof
libghc-lens-prof (4.18.1-1+b3 [s390x], 4.18.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.18.1-1+b1 [mips64el, mipsel])
Lenses, Folds and Traversals; profiling libraries
libghc-lens-prof-4.18.1-2e70c
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-4bce0
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-4e0a8
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-65c34
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-87bbf
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-a5b85
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-b422f
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-bf493
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-lens-prof-4.18.1-e0d02
本虛擬套件由這些套件填實: libghc-lens-prof
libghc-libiserv-dev (= 8.8.4)
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-1fb7f
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-3354d
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-34daf
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-46438
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-9c354
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-b2140
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-cee0f
本虛擬套件由這些套件填實: ghc
libghc-libiserv-dev-8.8.4-dc91c
本虛擬套件由這些套件填實: ghc
libghc-libiserv-doc (= 8.8.4)
本虛擬套件由這些套件填實: ghc-doc
libghc-libiserv-prof (= 8.8.4)
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-1fb7f
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-3354d
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-34daf
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-46438
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-9c354
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-b2140
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-cee0f
本虛擬套件由這些套件填實: ghc-prof
libghc-libiserv-prof-8.8.4-dc91c
本虛擬套件由這些套件填實: ghc-prof
libghc-libmpd-dev (0.9.1.0-1+b2 [s390x], 0.9.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MPD client library
libghc-libmpd-dev-0.9.1.0-0474f
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-37005
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-442b7
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-66612
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-6667b
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-73996
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-98b00
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-c64a6
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-dev-0.9.1.0-f10f8
本虛擬套件由這些套件填實: libghc-libmpd-dev
libghc-libmpd-doc (0.9.1.0-1)
MPD client library; documentation
libghc-libmpd-prof (0.9.1.0-1+b2 [s390x], 0.9.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MPD client library; profiling libraries
libghc-libmpd-prof-0.9.1.0-0474f
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-37005
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-442b7
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-66612
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-6667b
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-73996
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-98b00
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-c64a6
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libmpd-prof-0.9.1.0-f10f8
本虛擬套件由這些套件填實: libghc-libmpd-prof
libghc-libxml-sax-dev (0.7.5-11+b3 [s390x], 0.7.5-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.7.5-11+b1 [mips64el, mipsel])
bindings for libXML2 SAX
libghc-libxml-sax-dev-0.7.5-16720
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-286cf
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-b282e
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-b6ee4
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-bd368
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-d1f45
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-d9473
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-dev-0.7.5-ea7b5
本虛擬套件由這些套件填實: libghc-libxml-sax-dev
libghc-libxml-sax-doc (0.7.5-11)
bindings for libXML2 SAX; documentation
libghc-libxml-sax-prof (0.7.5-11+b3 [s390x], 0.7.5-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.7.5-11+b1 [mips64el, mipsel])
bindings for libXML2 SAX; profiling libraries
libghc-libxml-sax-prof-0.7.5-16720
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-286cf
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-b282e
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-b6ee4
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-bd368
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-d1f45
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-d9473
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libxml-sax-prof-0.7.5-ea7b5
本虛擬套件由這些套件填實: libghc-libxml-sax-prof
libghc-libyaml-dev (0.1.2-1+b3 [s390x], 0.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2-1+b1 [mips64el, mipsel])
low-level, streaming YAML interface.
libghc-libyaml-dev-0.1.2-20438
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-22dd1
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-49a30
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-70787
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-73447
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-734a1
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-a2690
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-d18b3
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-dev-0.1.2-f9fe0
本虛擬套件由這些套件填實: libghc-libyaml-dev
libghc-libyaml-doc (0.1.2-1)
low-level, streaming YAML interface.; documentation
libghc-libyaml-prof (0.1.2-1+b3 [s390x], 0.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2-1+b1 [mips64el, mipsel])
low-level, streaming YAML interface.; profiling libraries
libghc-libyaml-prof-0.1.2-20438
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-22dd1
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-49a30
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-70787
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-73447
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-734a1
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-a2690
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-d18b3
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-libyaml-prof-0.1.2-f9fe0
本虛擬套件由這些套件填實: libghc-libyaml-prof
libghc-lifted-async-dev (0.10.1.2-1)
operations from async lifted to MonadBase
libghc-lifted-async-dev-0.10.1.2-317d3
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-430be
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-69f4a
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-70634
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-98b91
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-cb1e8
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-e1cda
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-dev-0.10.1.2-f5ce9
本虛擬套件由這些套件填實: libghc-lifted-async-dev
libghc-lifted-async-doc (0.10.1.2-1)
operations from async lifted to MonadBase; documentation
libghc-lifted-async-prof (0.10.1.2-1)
operations from async lifted to MonadBase; profiling libraries
libghc-lifted-async-prof-0.10.1.2-317d3
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-430be
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-69f4a
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-70634
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-98b91
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-cb1e8
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-e1cda
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-async-prof-0.10.1.2-f5ce9
本虛擬套件由這些套件填實: libghc-lifted-async-prof
libghc-lifted-base-dev (0.2.3.12-3+b2 [s390x], 0.2.3.12-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lifted IO operations from the base library
libghc-lifted-base-dev-0.2.3.12-607e9
本虛擬套件由這些套件填實: libghc-lifted-base-dev
libghc-lifted-base-dev-0.2.3.12-6f903
本虛擬套件由這些套件填實: libghc-lifted-base-dev
libghc-lifted-base-dev-0.2.3.12-8608a
本虛擬套件由這些套件填實: libghc-lifted-base-dev
libghc-lifted-base-dev-0.2.3.12-b160e
本虛擬套件由這些套件填實: libghc-lifted-base-dev
libghc-lifted-base-dev-0.2.3.12-db13b
本虛擬套件由這些套件填實: libghc-lifted-base-dev
libghc-lifted-base-doc (0.2.3.12-3)
Lifted IO operations from the base library; documentation
libghc-lifted-base-prof (0.2.3.12-3+b2 [s390x], 0.2.3.12-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lifted IO operations from the base library; profiling libraries
libghc-lifted-base-prof-0.2.3.12-607e9
本虛擬套件由這些套件填實: libghc-lifted-base-prof
libghc-lifted-base-prof-0.2.3.12-6f903
本虛擬套件由這些套件填實: libghc-lifted-base-prof
libghc-lifted-base-prof-0.2.3.12-8608a
本虛擬套件由這些套件填實: libghc-lifted-base-prof
libghc-lifted-base-prof-0.2.3.12-b160e
本虛擬套件由這些套件填實: libghc-lifted-base-prof
libghc-lifted-base-prof-0.2.3.12-db13b
本虛擬套件由這些套件填實: libghc-lifted-base-prof
libghc-linear-dev (1.21.1-1)
Linear Algebra
libghc-linear-dev-1.21.1-26c59
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-2772e
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-29853
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-34b0e
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-3b35e
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-3d158
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-5dc55
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-9384e
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-dev-1.21.1-cb032
本虛擬套件由這些套件填實: libghc-linear-dev
libghc-linear-doc (1.21.1-1)
Linear Algebra; documentation
libghc-linear-prof (1.21.1-1)
Linear Algebra; profiling libraries
libghc-linear-prof-1.21.1-26c59
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-2772e
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-29853
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-34b0e
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-3b35e
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-3d158
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-5dc55
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-9384e
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-linear-prof-1.21.1-cb032
本虛擬套件由這些套件填實: libghc-linear-prof
libghc-listlike-dev (4.7.1-1)
Generic support for list-like structures
libghc-listlike-dev-4.7.1-20b0b
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-3a168
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-73a7e
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-7bdeb
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-ae748
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-e161f
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-f1610
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-dev-4.7.1-f88ad
本虛擬套件由這些套件填實: libghc-listlike-dev
libghc-listlike-doc (4.7.1-1)
Generic support for list-like structures; documentation
libghc-listlike-prof (4.7.1-1)
Generic support for list-like structures; profiling libraries
libghc-listlike-prof-4.7.1-20b0b
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-3a168
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-73a7e
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-7bdeb
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-ae748
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-e161f
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-f1610
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-listlike-prof-4.7.1-f88ad
本虛擬套件由這些套件填實: libghc-listlike-prof
libghc-load-env-dev (0.2.1.0-2+b2 [s390x], 0.2.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
load environment variables from a file
libghc-load-env-dev-0.2.1.0-0fa0a
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-1eef7
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-22bb4
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-28051
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-3eedc
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-a4baf
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-dd15e
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-dev-0.2.1.0-f15b2
本虛擬套件由這些套件填實: libghc-load-env-dev
libghc-load-env-doc (0.2.1.0-2)
load environment variables from a file; documentation
libghc-load-env-prof (0.2.1.0-2+b2 [s390x], 0.2.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
load environment variables from a file; profiling libraries
libghc-load-env-prof-0.2.1.0-0fa0a
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-1eef7
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-22bb4
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-28051
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-3eedc
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-a4baf
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-dd15e
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-load-env-prof-0.2.1.0-f15b2
本虛擬套件由這些套件填實: libghc-load-env-prof
libghc-log-domain-dev (0.13-1+b2 [s390x], 0.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
log-domain arithmetic
libghc-log-domain-dev-0.13-58f26
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-7cfaa
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-a186b
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-a3605
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-ad82b
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-bb068
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-c5ca9
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-ce417
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-dev-0.13-e4da8
本虛擬套件由這些套件填實: libghc-log-domain-dev
libghc-log-domain-doc (0.13-1)
log-domain arithmetic; documentation
libghc-log-domain-prof (0.13-1+b2 [s390x], 0.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
log-domain arithmetic; profiling libraries
libghc-log-domain-prof-0.13-58f26
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-7cfaa
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-a186b
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-a3605
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-ad82b
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-bb068
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-c5ca9
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-ce417
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-log-domain-prof-0.13-e4da8
本虛擬套件由這些套件填實: libghc-log-domain-prof
libghc-logging-facade-dev (0.3.0-4+b2 [s390x], 0.3.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple logging abstraction that allows multiple backends
libghc-logging-facade-dev-0.3.0-152e5
本虛擬套件由這些套件填實: libghc-logging-facade-dev
libghc-logging-facade-dev-0.3.0-489ce
本虛擬套件由這些套件填實: libghc-logging-facade-dev
libghc-logging-facade-dev-0.3.0-4948e
本虛擬套件由這些套件填實: libghc-logging-facade-dev
libghc-logging-facade-dev-0.3.0-4a2c3
本虛擬套件由這些套件填實: libghc-logging-facade-dev
libghc-logging-facade-dev-0.3.0-83279
本虛擬套件由這些套件填實: libghc-logging-facade-dev
libghc-logging-facade-dev-0.3.0-cea2b
本虛擬套件由這些套件填實: libghc-logging-facade-dev
libghc-logging-facade-doc (0.3.0-4)
simple logging abstraction that allows multiple backends; documentation
libghc-logging-facade-prof (0.3.0-4+b2 [s390x], 0.3.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple logging abstraction that allows multiple backends; profiling libraries
libghc-logging-facade-prof-0.3.0-152e5
本虛擬套件由這些套件填實: libghc-logging-facade-prof
libghc-logging-facade-prof-0.3.0-489ce
本虛擬套件由這些套件填實: libghc-logging-facade-prof
libghc-logging-facade-prof-0.3.0-4948e
本虛擬套件由這些套件填實: libghc-logging-facade-prof
libghc-logging-facade-prof-0.3.0-4a2c3
本虛擬套件由這些套件填實: libghc-logging-facade-prof
libghc-logging-facade-prof-0.3.0-83279
本虛擬套件由這些套件填實: libghc-logging-facade-prof
libghc-logging-facade-prof-0.3.0-cea2b
本虛擬套件由這些套件填實: libghc-logging-facade-prof
libghc-logict-dev (0.7.0.2-1+b2 [s390x], 0.7.0.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Backtracking logic-programming monad
libghc-logict-dev-0.7.0.2-0307c
本虛擬套件由這些套件填實: libghc-logict-dev
libghc-logict-dev-0.7.0.2-0c541
本虛擬套件由這些套件填實: libghc-logict-dev
libghc-logict-dev-0.7.0.2-6d1f7
本虛擬套件由這些套件填實: libghc-logict-dev
libghc-logict-dev-0.7.0.2-cb027
本虛擬套件由這些套件填實: libghc-logict-dev
libghc-logict-dev-0.7.0.2-f9394
本虛擬套件由這些套件填實: libghc-logict-dev
libghc-logict-doc (0.7.0.2-1)
Backtracking logic-programming monad; documentation
libghc-logict-prof (0.7.0.2-1+b2 [s390x], 0.7.0.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Backtracking logic-programming monad; profiling libraries
libghc-logict-prof-0.7.0.2-0307c
本虛擬套件由這些套件填實: libghc-logict-prof
libghc-logict-prof-0.7.0.2-0c541
本虛擬套件由這些套件填實: libghc-logict-prof
libghc-logict-prof-0.7.0.2-6d1f7
本虛擬套件由這些套件填實: libghc-logict-prof
libghc-logict-prof-0.7.0.2-cb027
本虛擬套件由這些套件填實: libghc-logict-prof
libghc-logict-prof-0.7.0.2-f9394
本虛擬套件由這些套件填實: libghc-logict-prof
libghc-lrucache-dev (1.2.0.1-2+b2 [s390x], 1.2.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple, pure least-recent-used cache
libghc-lrucache-dev-1.2.0.1-51f72
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-7464d
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-8a9ad
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-a2dfa
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-d7a12
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-e0d76
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-f9b3a
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-dev-1.2.0.1-fa6a1
本虛擬套件由這些套件填實: libghc-lrucache-dev
libghc-lrucache-doc (1.2.0.1-2)
simple, pure least-recent-used cache; documentation
libghc-lrucache-prof (1.2.0.1-2+b2 [s390x], 1.2.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple, pure least-recent-used cache; profiling libraries
libghc-lrucache-prof-1.2.0.1-51f72
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-7464d
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-8a9ad
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-a2dfa
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-d7a12
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-e0d76
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-f9b3a
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lrucache-prof-1.2.0.1-fa6a1
本虛擬套件由這些套件填實: libghc-lrucache-prof
libghc-lucid-dev (2.9.12-1+b2 [s390x], 2.9.12-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
clear to write, read, and edit DSL for HTML
libghc-lucid-dev-2.9.12-0bbd8
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-181b2
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-246dc
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-34a38
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-41424
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-49995
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-6d4a6
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-dev-2.9.12-d6fe2
本虛擬套件由這些套件填實: libghc-lucid-dev
libghc-lucid-doc (2.9.12-1)
clear to write, read, and edit DSL for HTML; documentation
libghc-lucid-prof (2.9.12-1+b2 [s390x], 2.9.12-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
clear to write, read, and edit DSL for HTML; profiling libraries
libghc-lucid-prof-2.9.12-0bbd8
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-181b2
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-246dc
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-34a38
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-41424
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-49995
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-6d4a6
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-prof-2.9.12-d6fe2
本虛擬套件由這些套件填實: libghc-lucid-prof
libghc-lucid-svg-dev (0.7.1-1+b2 [s390x], 0.7.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
DSL for SVG using lucid for HTML
libghc-lucid-svg-dev-0.7.1-52808
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-7d5e5
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-adef0
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-b09ba
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-e0f25
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-e52a5
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-e6313
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-dev-0.7.1-f84bf
本虛擬套件由這些套件填實: libghc-lucid-svg-dev
libghc-lucid-svg-doc (0.7.1-1)
DSL for SVG using lucid for HTML; documentation
libghc-lucid-svg-prof (0.7.1-1+b2 [s390x], 0.7.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
DSL for SVG using lucid for HTML; profiling libraries
libghc-lucid-svg-prof-0.7.1-52808
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-7d5e5
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-adef0
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-b09ba
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-e0f25
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-e52a5
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-e6313
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lucid-svg-prof-0.7.1-f84bf
本虛擬套件由這些套件填實: libghc-lucid-svg-prof
libghc-lzma-dev (0.0.0.3-5+b2 [s390x], 0.0.0.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
LZMA/XZ compression and decompression
libghc-lzma-dev-0.0.0.3-1026b
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-17415
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-2fe55
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-4a7bd
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-5850d
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-5b599
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-a7f7b
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-dev-0.0.0.3-e6b9c
本虛擬套件由這些套件填實: libghc-lzma-dev
libghc-lzma-doc (0.0.0.3-5)
LZMA/XZ compression and decompression; documentation
libghc-lzma-prof (0.0.0.3-5+b2 [s390x], 0.0.0.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
LZMA/XZ compression and decompression; profiling libraries
libghc-lzma-prof-0.0.0.3-1026b
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-17415
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-2fe55
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-4a7bd
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-5850d
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-5b599
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-a7f7b
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-lzma-prof-0.0.0.3-e6b9c
本虛擬套件由這些套件填實: libghc-lzma-prof
libghc-magic-dev (1.1-9+b2 [s390x], 1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
File type determination library for Haskell
libghc-magic-dev-1.1-20007
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-dev-1.1-23149
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-dev-1.1-4477f
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-dev-1.1-54bef
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-dev-1.1-69e85
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-dev-1.1-74fcb
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-dev-1.1-fc0b3
本虛擬套件由這些套件填實: libghc-magic-dev
libghc-magic-doc (1.1-9)
File type determination library for Haskell; documentation
libghc-magic-prof (1.1-9+b2 [s390x], 1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
File type determination library for Haskell; profiling libraries
libghc-magic-prof-1.1-20007
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-magic-prof-1.1-23149
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-magic-prof-1.1-4477f
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-magic-prof-1.1-54bef
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-magic-prof-1.1-69e85
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-magic-prof-1.1-74fcb
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-magic-prof-1.1-fc0b3
本虛擬套件由這些套件填實: libghc-magic-prof
libghc-managed-dev (1.0.7-2+b2 [s390x], 1.0.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad for managed values
libghc-managed-dev-1.0.7-6f943
本虛擬套件由這些套件填實: libghc-managed-dev
libghc-managed-dev-1.0.7-7f50a
本虛擬套件由這些套件填實: libghc-managed-dev
libghc-managed-dev-1.0.7-80d4f
本虛擬套件由這些套件填實: libghc-managed-dev
libghc-managed-dev-1.0.7-ae1a7
本虛擬套件由這些套件填實: libghc-managed-dev
libghc-managed-dev-1.0.7-fb931
本虛擬套件由這些套件填實: libghc-managed-dev
libghc-managed-doc (1.0.7-2)
monad for managed values; documentation
libghc-managed-prof (1.0.7-2+b2 [s390x], 1.0.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad for managed values; profiling libraries
libghc-managed-prof-1.0.7-6f943
本虛擬套件由這些套件填實: libghc-managed-prof
libghc-managed-prof-1.0.7-7f50a
本虛擬套件由這些套件填實: libghc-managed-prof
libghc-managed-prof-1.0.7-80d4f
本虛擬套件由這些套件填實: libghc-managed-prof
libghc-managed-prof-1.0.7-ae1a7
本虛擬套件由這些套件填實: libghc-managed-prof
libghc-managed-prof-1.0.7-fb931
本虛擬套件由這些套件填實: libghc-managed-prof
libghc-map-syntax-dev (0.3-6+b2 [s390x], 0.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
syntax sugar for defining maps
libghc-map-syntax-dev-0.3-0eb78
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-9bf02
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-aabc3
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-c0dbf
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-dd2e0
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-e3294
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-efc68
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-dev-0.3-f1832
本虛擬套件由這些套件填實: libghc-map-syntax-dev
libghc-map-syntax-doc (0.3-6)
syntax sugar for defining maps; documentation
libghc-map-syntax-prof (0.3-6+b2 [s390x], 0.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
syntax sugar for defining maps; profiling libraries
libghc-map-syntax-prof-0.3-0eb78
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-9bf02
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-aabc3
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-c0dbf
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-dd2e0
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-e3294
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-efc68
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-map-syntax-prof-0.3-f1832
本虛擬套件由這些套件填實: libghc-map-syntax-prof
libghc-markdown-dev (0.1.17.4-2+b4 [s390x], 0.1.17.4-2+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.1.17.4-2+b1 [mips64el])
convert Markdown to HTML, with XSS protection
libghc-markdown-dev-0.1.17.4-11c05
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-1dec4
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-58a96
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-6a092
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-6d275
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-b554d
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-bbc34
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-ebdb2
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-dev-0.1.17.4-f8dae
本虛擬套件由這些套件填實: libghc-markdown-dev
libghc-markdown-doc (0.1.17.4-2)
convert Markdown to HTML, with XSS protection; documentation
libghc-markdown-prof (0.1.17.4-2+b4 [s390x], 0.1.17.4-2+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.1.17.4-2+b1 [mips64el])
convert Markdown to HTML, with XSS protection; profiling libraries
libghc-markdown-prof-0.1.17.4-11c05
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-1dec4
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-58a96
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-6a092
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-6d275
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-b554d
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-bbc34
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-ebdb2
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-prof-0.1.17.4-f8dae
本虛擬套件由這些套件填實: libghc-markdown-prof
libghc-markdown-unlit-dev (0.5.0-3+b2 [s390x], 0.5.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Literate Haskell support for Markdown
libghc-markdown-unlit-dev-0.5.0-05f07
本虛擬套件由這些套件填實: libghc-markdown-unlit-dev
libghc-markdown-unlit-dev-0.5.0-16516
本虛擬套件由這些套件填實: libghc-markdown-unlit-dev
libghc-markdown-unlit-dev-0.5.0-3d617
本虛擬套件由這些套件填實: libghc-markdown-unlit-dev
libghc-markdown-unlit-dev-0.5.0-3e73f
本虛擬套件由這些套件填實: libghc-markdown-unlit-dev
libghc-markdown-unlit-dev-0.5.0-c05cd
本虛擬套件由這些套件填實: libghc-markdown-unlit-dev
libghc-markdown-unlit-dev-0.5.0-d36d6
本虛擬套件由這些套件填實: libghc-markdown-unlit-dev
libghc-markdown-unlit-doc (0.5.0-3)
Literate Haskell support for Markdown; documentation
libghc-markdown-unlit-prof (0.5.0-3+b2 [s390x], 0.5.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Literate Haskell support for Markdown; profiling libraries
libghc-markdown-unlit-prof-0.5.0-05f07
本虛擬套件由這些套件填實: libghc-markdown-unlit-prof
libghc-markdown-unlit-prof-0.5.0-16516
本虛擬套件由這些套件填實: libghc-markdown-unlit-prof
libghc-markdown-unlit-prof-0.5.0-3d617
本虛擬套件由這些套件填實: libghc-markdown-unlit-prof
libghc-markdown-unlit-prof-0.5.0-3e73f
本虛擬套件由這些套件填實: libghc-markdown-unlit-prof
libghc-markdown-unlit-prof-0.5.0-c05cd
本虛擬套件由這些套件填實: libghc-markdown-unlit-prof
libghc-markdown-unlit-prof-0.5.0-d36d6
本虛擬套件由這些套件填實: libghc-markdown-unlit-prof
libghc-math-functions-dev (0.3.4.0-1)
Special functions and Chebyshev polynomials
libghc-math-functions-dev-0.3.4.0-0a257
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-115d5
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-1552d
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-39adb
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-3d484
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-93368
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-b0a7f
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-dev-0.3.4.0-fc148
本虛擬套件由這些套件填實: libghc-math-functions-dev
libghc-math-functions-doc (0.3.4.0-1)
Special functions and Chebyshev polynomials; documentation
libghc-math-functions-prof (0.3.4.0-1)
Special functions and Chebyshev polynomials; profiling libraries
libghc-math-functions-prof-0.3.4.0-0a257
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-115d5
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-1552d
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-39adb
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-3d484
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-93368
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-b0a7f
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-math-functions-prof-0.3.4.0-fc148
本虛擬套件由這些套件填實: libghc-math-functions-prof
libghc-maths-dev (0.4.9-2+b2 [s390x], 0.4.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Combinatorics, group theory, (non-)commutative algebra
libghc-maths-doc (0.4.9-2)
Combinatorics, group theory, (non-)commutative algebra; documentation
libghc-maths-prof (0.4.9-2+b2 [s390x], 0.4.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Combinatorics, group theory, (non-)commutative algebra; profiling libraries
libghc-mbox-dev (0.3.4-5+b3 [s390x], 0.3.4-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.4-5+b1 [mips64el, mipsel])
mbox reader/writer library
libghc-mbox-dev-0.3.4-16979
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-1aa2c
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-23367
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-6e84a
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-94085
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-c177e
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-c5f03
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-dev-0.3.4-cdb76
本虛擬套件由這些套件填實: libghc-mbox-dev
libghc-mbox-doc (0.3.4-5)
mbox reader/writer library; documentation
libghc-mbox-prof (0.3.4-5+b3 [s390x], 0.3.4-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.4-5+b1 [mips64el, mipsel])
mbox reader/writer library; profiling libraries
libghc-mbox-prof-0.3.4-16979
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-1aa2c
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-23367
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-6e84a
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-94085
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-c177e
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-c5f03
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-mbox-prof-0.3.4-cdb76
本虛擬套件由這些套件填實: libghc-mbox-prof
libghc-megaparsec-dev (8.0.0-3+b2 [s390x], 8.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monadic parser combinators
libghc-megaparsec-dev-8.0.0-09398
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-4cfc8
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-5a744
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-657a2
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-69d5c
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-8ba94
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-e6c0c
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-dev-8.0.0-ed579
本虛擬套件由這些套件填實: libghc-megaparsec-dev
libghc-megaparsec-doc (8.0.0-3)
monadic parser combinators; documentation
libghc-megaparsec-prof (8.0.0-3+b2 [s390x], 8.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monadic parser combinators; profiling libraries
libghc-megaparsec-prof-8.0.0-09398
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-4cfc8
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-5a744
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-657a2
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-69d5c
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-8ba94
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-e6c0c
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-megaparsec-prof-8.0.0-ed579
本虛擬套件由這些套件填實: libghc-megaparsec-prof
libghc-memoize-dev (0.8.1-6+b2 [s390x], 0.8.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memoization for haskell functions
libghc-memoize-dev-0.8.1-0ff97
本虛擬套件由這些套件填實: libghc-memoize-dev
libghc-memoize-dev-0.8.1-2d91f
本虛擬套件由這些套件填實: libghc-memoize-dev
libghc-memoize-dev-0.8.1-93256
本虛擬套件由這些套件填實: libghc-memoize-dev
libghc-memoize-dev-0.8.1-fd009
本虛擬套件由這些套件填實: libghc-memoize-dev
libghc-memoize-doc (0.8.1-6)
Memoization for haskell functions; documentation
libghc-memoize-prof (0.8.1-6+b2 [s390x], 0.8.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memoization for haskell functions; profiling libraries
libghc-memoize-prof-0.8.1-0ff97
本虛擬套件由這些套件填實: libghc-memoize-prof
libghc-memoize-prof-0.8.1-2d91f
本虛擬套件由這些套件填實: libghc-memoize-prof
libghc-memoize-prof-0.8.1-93256
本虛擬套件由這些套件填實: libghc-memoize-prof
libghc-memoize-prof-0.8.1-fd009
本虛擬套件由這些套件填實: libghc-memoize-prof
libghc-memory-dev (0.15.0-1+b2 [s390x], 0.15.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
memory and related abstraction stuff
libghc-memory-dev-0.15.0-00573
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-08b3c
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-3ac59
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-6c1bd
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-8affe
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-93e29
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-c44bc
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-dev-0.15.0-e59bf
本虛擬套件由這些套件填實: libghc-memory-dev
libghc-memory-doc (0.15.0-1)
memory and related abstraction stuff; documentation
libghc-memory-prof (0.15.0-1+b2 [s390x], 0.15.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
memory and related abstraction stuff; profiling libraries
libghc-memory-prof-0.15.0-00573
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-08b3c
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-3ac59
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-6c1bd
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-8affe
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-93e29
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-c44bc
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memory-prof-0.15.0-e59bf
本虛擬套件由這些套件填實: libghc-memory-prof
libghc-memotrie-dev (0.6.10-1+b2 [s390x], 0.6.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
trie-based memo functions
libghc-memotrie-dev-0.6.10-19775
本虛擬套件由這些套件填實: libghc-memotrie-dev
libghc-memotrie-dev-0.6.10-207a5
本虛擬套件由這些套件填實: libghc-memotrie-dev
libghc-memotrie-dev-0.6.10-a3f86
本虛擬套件由這些套件填實: libghc-memotrie-dev
libghc-memotrie-dev-0.6.10-cd696
本虛擬套件由這些套件填實: libghc-memotrie-dev
libghc-memotrie-dev-0.6.10-cdf35
本虛擬套件由這些套件填實: libghc-memotrie-dev
libghc-memotrie-doc (0.6.10-1)
trie-based memo functions; documentation
libghc-memotrie-prof (0.6.10-1+b2 [s390x], 0.6.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
trie-based memo functions; profiling libraries
libghc-memotrie-prof-0.6.10-19775
本虛擬套件由這些套件填實: libghc-memotrie-prof
libghc-memotrie-prof-0.6.10-207a5
本虛擬套件由這些套件填實: libghc-memotrie-prof
libghc-memotrie-prof-0.6.10-a3f86
本虛擬套件由這些套件填實: libghc-memotrie-prof
libghc-memotrie-prof-0.6.10-cd696
本虛擬套件由這些套件填實: libghc-memotrie-prof
libghc-memotrie-prof-0.6.10-cdf35
本虛擬套件由這些套件填實: libghc-memotrie-prof
libghc-mersenne-random-pure64-dev (0.2.2.0-4+b2 [s390x], 0.2.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast pure pseudorandom number generator
libghc-mersenne-random-pure64-dev-0.2.2.0-17ab1
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-30cbf
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-6a6e3
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-720dd
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-7e3a9
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-ae032
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-d473c
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-dev-0.2.2.0-ef36a
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-dev
libghc-mersenne-random-pure64-doc (0.2.2.0-4)
Fast pure pseudorandom number generator; documentation
libghc-mersenne-random-pure64-prof (0.2.2.0-4+b2 [s390x], 0.2.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast pure pseudorandom number generator; profiling libraries
libghc-mersenne-random-pure64-prof-0.2.2.0-17ab1
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-30cbf
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-6a6e3
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-720dd
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-7e3a9
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-ae032
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-d473c
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-mersenne-random-pure64-prof-0.2.2.0-ef36a
本虛擬套件由這些套件填實: libghc-mersenne-random-pure64-prof
libghc-microlens-aeson-dev (2.3.1-1)
Law-abiding lenses for Aeson, using microlens
libghc-microlens-aeson-dev-2.3.1-32195
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-4db8c
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-7ce8e
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-85928
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-985c6
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-d13ef
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-dc048
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-dev-2.3.1-f1e82
本虛擬套件由這些套件填實: libghc-microlens-aeson-dev
libghc-microlens-aeson-doc (2.3.1-1)
Law-abiding lenses for Aeson, using microlens; documentation
libghc-microlens-aeson-prof (2.3.1-1)
Law-abiding lenses for Aeson, using microlens; profiling libraries
libghc-microlens-aeson-prof-2.3.1-32195
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-4db8c
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-7ce8e
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-85928
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-985c6
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-d13ef
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-dc048
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-aeson-prof-2.3.1-f1e82
本虛擬套件由這些套件填實: libghc-microlens-aeson-prof
libghc-microlens-dev (0.4.11.2-1+b2 [s390x], 0.4.11.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a tiny part of the lens library with no dependencies
libghc-microlens-dev-0.4.11.2-2f6e8
本虛擬套件由這些套件填實: libghc-microlens-dev
libghc-microlens-dev-0.4.11.2-6837b
本虛擬套件由這些套件填實: libghc-microlens-dev
libghc-microlens-dev-0.4.11.2-70011
本虛擬套件由這些套件填實: libghc-microlens-dev
libghc-microlens-dev-0.4.11.2-bcc6b
本虛擬套件由這些套件填實: libghc-microlens-dev
libghc-microlens-dev-0.4.11.2-dca57
本虛擬套件由這些套件填實: libghc-microlens-dev
libghc-microlens-doc (0.4.11.2-1)
a tiny part of the lens library with no dependencies; documentation
libghc-microlens-ghc-dev (0.4.12-1+b2 [s390x], 0.4.12-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
microlens + array, bytestring, containers, transformers
libghc-microlens-ghc-dev-0.4.12-0c50a
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-2438e
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-47ebc
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-4dae6
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-918bb
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-c54a2
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-cb136
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-dev-0.4.12-ec7d3
本虛擬套件由這些套件填實: libghc-microlens-ghc-dev
libghc-microlens-ghc-doc (0.4.12-1)
microlens + array, bytestring, containers, transformers; documentation
libghc-microlens-ghc-prof (0.4.12-1+b2 [s390x], 0.4.12-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
microlens + array, bytestring, containers, transformers; profiling libraries
libghc-microlens-ghc-prof-0.4.12-0c50a
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-2438e
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-47ebc
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-4dae6
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-918bb
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-c54a2
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-cb136
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-ghc-prof-0.4.12-ec7d3
本虛擬套件由這些套件填實: libghc-microlens-ghc-prof
libghc-microlens-mtl-dev (0.2.0.1-1+b2 [s390x], 0.2.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
microlens support for Reader/Writer/State from mtl
libghc-microlens-mtl-dev-0.2.0.1-667ce
本虛擬套件由這些套件填實: libghc-microlens-mtl-dev
libghc-microlens-mtl-dev-0.2.0.1-a1c36
本虛擬套件由這些套件填實: libghc-microlens-mtl-dev
libghc-microlens-mtl-dev-0.2.0.1-a7740
本虛擬套件由這些套件填實: libghc-microlens-mtl-dev
libghc-microlens-mtl-dev-0.2.0.1-e214f
本虛擬套件由這些套件填實: libghc-microlens-mtl-dev
libghc-microlens-mtl-dev-0.2.0.1-e57ad
本虛擬套件由這些套件填實: libghc-microlens-mtl-dev
libghc-microlens-mtl-doc (0.2.0.1-1)
microlens support for Reader/Writer/State from mtl; documentation
libghc-microlens-mtl-prof (0.2.0.1-1+b2 [s390x], 0.2.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
microlens support for Reader/Writer/State from mtl; profiling libraries
libghc-microlens-mtl-prof-0.2.0.1-667ce
本虛擬套件由這些套件填實: libghc-microlens-mtl-prof
libghc-microlens-mtl-prof-0.2.0.1-a1c36
本虛擬套件由這些套件填實: libghc-microlens-mtl-prof
libghc-microlens-mtl-prof-0.2.0.1-a7740
本虛擬套件由這些套件填實: libghc-microlens-mtl-prof
libghc-microlens-mtl-prof-0.2.0.1-e214f
本虛擬套件由這些套件填實: libghc-microlens-mtl-prof
libghc-microlens-mtl-prof-0.2.0.1-e57ad
本虛擬套件由這些套件填實: libghc-microlens-mtl-prof
libghc-microlens-platform-dev (0.4.1-1+b2 [s390x], 0.4.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
feature-complete microlens
libghc-microlens-platform-dev-0.4.1-047e7
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-0502e
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-1bbc5
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-361c7
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-83e2d
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-933da
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-a946d
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-dev-0.4.1-c2fe7
本虛擬套件由這些套件填實: libghc-microlens-platform-dev
libghc-microlens-platform-doc (0.4.1-1)
feature-complete microlens; documentation
libghc-microlens-platform-prof (0.4.1-1+b2 [s390x], 0.4.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
feature-complete microlens; profiling libraries
libghc-microlens-platform-prof-0.4.1-047e7
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-0502e
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-1bbc5
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-361c7
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-83e2d
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-933da
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-a946d
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-platform-prof-0.4.1-c2fe7
本虛擬套件由這些套件填實: libghc-microlens-platform-prof
libghc-microlens-prof (0.4.11.2-1+b2 [s390x], 0.4.11.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a tiny part of the lens library with no dependencies; profiling libraries
libghc-microlens-prof-0.4.11.2-2f6e8
本虛擬套件由這些套件填實: libghc-microlens-prof
libghc-microlens-prof-0.4.11.2-6837b
本虛擬套件由這些套件填實: libghc-microlens-prof
libghc-microlens-prof-0.4.11.2-70011
本虛擬套件由這些套件填實: libghc-microlens-prof
libghc-microlens-prof-0.4.11.2-bcc6b
本虛擬套件由這些套件填實: libghc-microlens-prof
libghc-microlens-prof-0.4.11.2-dca57
本虛擬套件由這些套件填實: libghc-microlens-prof
libghc-microlens-th-dev (0.4.3.5-1+b2 [s390x], 0.4.3.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Automatic generation of record lenses for microlens
libghc-microlens-th-dev-0.4.3.5-0bb1d
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-600d3
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-79925
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-9de22
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-abf8a
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-d63ff
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-d8392
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-dev-0.4.3.5-e6aff
本虛擬套件由這些套件填實: libghc-microlens-th-dev
libghc-microlens-th-doc (0.4.3.5-1)
Automatic generation of record lenses for microlens; documentation
libghc-microlens-th-prof (0.4.3.5-1+b2 [s390x], 0.4.3.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Automatic generation of record lenses for microlens; profiling libraries
libghc-microlens-th-prof-0.4.3.5-0bb1d
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-600d3
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-79925
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-9de22
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-abf8a
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-d63ff
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-d8392
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microlens-th-prof-0.4.3.5-e6aff
本虛擬套件由這些套件填實: libghc-microlens-th-prof
libghc-microspec-dev (0.2.1.3-3+b3 [s390x], 0.2.1.3-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.1.3-3+b1 [mips64el, mipsel])
tiny QuickCheck test library with minimal dependencies
libghc-microspec-dev-0.2.1.3-23613
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-2bf08
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-362b3
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-70a36
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-784aa
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-9989f
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-ae9e6
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-dev-0.2.1.3-d0735
本虛擬套件由這些套件填實: libghc-microspec-dev
libghc-microspec-doc (0.2.1.3-3)
tiny QuickCheck test library with minimal dependencies; documentation
libghc-microspec-prof (0.2.1.3-3+b3 [s390x], 0.2.1.3-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.1.3-3+b1 [mips64el, mipsel])
tiny QuickCheck test library with minimal dependencies; profiling libraries
libghc-microspec-prof-0.2.1.3-23613
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-2bf08
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-362b3
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-70a36
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-784aa
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-9989f
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-ae9e6
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microspec-prof-0.2.1.3-d0735
本虛擬套件由這些套件填實: libghc-microspec-prof
libghc-microstache-dev (1.0.1.1-7+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 1.0.1.1-7+b1 [armel, armhf, i386])
alternative Mustache templates
libghc-microstache-dev-1.0.1.1-1b785
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-35d46
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-a625b
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-a6f06
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-dc853
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-e5625
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-ecd9e
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-dev-1.0.1.1-fff97
本虛擬套件由這些套件填實: libghc-microstache-dev
libghc-microstache-doc (1.0.1.1-7)
alternative Mustache templates; documentation
libghc-microstache-prof (1.0.1.1-7+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 1.0.1.1-7+b1 [armel, armhf, i386])
alternative Mustache templates; profiling libraries
libghc-microstache-prof-1.0.1.1-1b785
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-35d46
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-a625b
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-a6f06
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-dc853
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-e5625
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-ecd9e
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-microstache-prof-1.0.1.1-fff97
本虛擬套件由這些套件填實: libghc-microstache-prof
libghc-mime-dev (0.4.0.2-8+b2 [s390x], 0.4.0.2-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
working with MIME types
libghc-mime-dev-0.4.0.2-139ca
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-18039
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-27f8d
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-77bd8
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-92fed
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-bb569
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-d3e16
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-dev-0.4.0.2-e8bd6
本虛擬套件由這些套件填實: libghc-mime-dev
libghc-mime-doc (0.4.0.2-8)
working with MIME types; documentation
libghc-mime-mail-dev (0.5.0-1+b2 [s390x], 0.5.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to compose MIME email messages
libghc-mime-mail-dev-0.5.0-053bb
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-1cb7c
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-31246
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-4d7cb
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-656b9
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-77514
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-ac177
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-d32b5
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-dev-0.5.0-ded86
本虛擬套件由這些套件填實: libghc-mime-mail-dev
libghc-mime-mail-doc (0.5.0-1)
Library to compose MIME email messages; documentation
libghc-mime-mail-prof (0.5.0-1+b2 [s390x], 0.5.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to compose MIME email messages; profiling libraries
libghc-mime-mail-prof-0.5.0-053bb
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-1cb7c
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-31246
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-4d7cb
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-656b9
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-77514
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-ac177
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-d32b5
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-prof-0.5.0-ded86
本虛擬套件由這些套件填實: libghc-mime-mail-prof
libghc-mime-mail-ses-dev (0.4.1-4+b3 [mipsel, s390x], 0.4.1-4+b2 [arm64, armel, ppc64el], 0.4.1-4+b1 [amd64, armhf, i386, mips64el])
send mime-mail messages via Amazon SES
libghc-mime-mail-ses-dev-0.4.1-0c555
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-1df65
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-28ea7
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-2c07e
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-35f32
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-46afa
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-6e46b
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-70de1
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-dev-0.4.1-e1463
本虛擬套件由這些套件填實: libghc-mime-mail-ses-dev
libghc-mime-mail-ses-doc (0.4.1-4)
send mime-mail messages via Amazon SES; documentation
libghc-mime-mail-ses-prof (0.4.1-4+b3 [mipsel, s390x], 0.4.1-4+b2 [arm64, armel, ppc64el], 0.4.1-4+b1 [amd64, armhf, i386, mips64el])
send mime-mail messages via Amazon SES; profiling libraries
libghc-mime-mail-ses-prof-0.4.1-0c555
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-1df65
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-28ea7
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-2c07e
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-35f32
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-46afa
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-6e46b
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-70de1
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-mail-ses-prof-0.4.1-e1463
本虛擬套件由這些套件填實: libghc-mime-mail-ses-prof
libghc-mime-prof (0.4.0.2-8+b2 [s390x], 0.4.0.2-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
working with MIME types; profiling libraries
libghc-mime-prof-0.4.0.2-139ca
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-18039
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-27f8d
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-77bd8
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-92fed
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-bb569
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-d3e16
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-prof-0.4.0.2-e8bd6
本虛擬套件由這些套件填實: libghc-mime-prof
libghc-mime-types-dev (0.1.0.9-2+b2 [s390x], 0.1.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mime-type handling types and functions
libghc-mime-types-dev-0.1.0.9-11de7
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-2513c
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-38497
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-483ea
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-9636f
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-bcab6
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-d0ef7
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-dev-0.1.0.9-ede34
本虛擬套件由這些套件填實: libghc-mime-types-dev
libghc-mime-types-doc (0.1.0.9-2)
mime-type handling types and functions; documentation; documentation
libghc-mime-types-prof (0.1.0.9-2+b2 [s390x], 0.1.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mime-type handling types and functions; profiling libraries; profiling libraries
libghc-mime-types-prof-0.1.0.9-11de7
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-2513c
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-38497
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-483ea
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-9636f
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-bcab6
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-d0ef7
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-mime-types-prof-0.1.0.9-ede34
本虛擬套件由這些套件填實: libghc-mime-types-prof
libghc-minimorph-dev (0.2.2.0-1+b2 [s390x], 0.2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
English-spelling functions with an emphasis on simplicity
libghc-minimorph-dev-0.2.2.0-1e27a
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-2f00d
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-5c2cb
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-5d64f
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-63c79
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-a1e2c
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-ab999
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-dev-0.2.2.0-c2348
本虛擬套件由這些套件填實: libghc-minimorph-dev
libghc-minimorph-doc (0.2.2.0-1)
English-spelling functions with an emphasis on simplicity; documentation
libghc-minimorph-prof (0.2.2.0-1+b2 [s390x], 0.2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
English-spelling functions with an emphasis on simplicity; profiling libraries
libghc-minimorph-prof-0.2.2.0-1e27a
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-2f00d
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-5c2cb
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-5d64f
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-63c79
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-a1e2c
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-ab999
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-minimorph-prof-0.2.2.0-c2348
本虛擬套件由這些套件填實: libghc-minimorph-prof
libghc-miniutter-dev (0.5.1.0-1+b2 [s390x], 0.5.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple English clause creation from arbitrary words
libghc-miniutter-dev-0.5.1.0-1d192
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-2e9eb
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-9801f
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-a69f8
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-aaa01
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-d1b36
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-df7d4
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-dev-0.5.1.0-f898f
本虛擬套件由這些套件填實: libghc-miniutter-dev
libghc-miniutter-doc (0.5.1.0-1)
simple English clause creation from arbitrary words; documentation
libghc-miniutter-prof (0.5.1.0-1+b2 [s390x], 0.5.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple English clause creation from arbitrary words; profiling libraries
libghc-miniutter-prof-0.5.1.0-1d192
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-2e9eb
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-9801f
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-a69f8
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-aaa01
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-d1b36
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-df7d4
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-miniutter-prof-0.5.1.0-f898f
本虛擬套件由這些套件填實: libghc-miniutter-prof
libghc-misfortune-dev (0.1.1.2-10+b3 [s390x], 0.1.1.2-10+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.2-10+b1 [mips64el, mipsel])
fortune-mod clone
libghc-misfortune-dev-0.1.1.2-175a8
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-2b208
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-323af
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-34aaa
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-4126f
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-579fe
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-c221f
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-dev-0.1.1.2-f3fb9
本虛擬套件由這些套件填實: libghc-misfortune-dev
libghc-misfortune-doc (0.1.1.2-10)
fortune-mod clone; documentation
libghc-misfortune-prof (0.1.1.2-10+b3 [s390x], 0.1.1.2-10+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.2-10+b1 [mips64el, mipsel])
fortune-mod clone; profiling libraries
libghc-misfortune-prof-0.1.1.2-175a8
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-2b208
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-323af
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-34aaa
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-4126f
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-579fe
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-c221f
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-misfortune-prof-0.1.1.2-f3fb9
本虛擬套件由這些套件填實: libghc-misfortune-prof
libghc-missingh-dev (1.4.3.0-2+b2 [s390x], 1.4.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library of utility functions for Haskell
libghc-missingh-dev-1.4.3.0-1d92c
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-2842d
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-3597b
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-5c11b
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-5c96b
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-64b61
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-8f978
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-dev-1.4.3.0-ddae1
本虛擬套件由這些套件填實: libghc-missingh-dev
libghc-missingh-doc (1.4.3.0-2)
Documentation for Haskell utility library
libghc-missingh-prof (1.4.3.0-2+b2 [s390x], 1.4.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library of utility functions for Haskell, profiling libraries
libghc-missingh-prof-1.4.3.0-1d92c
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-2842d
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-3597b
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-5c11b
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-5c96b
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-64b61
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-8f978
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-missingh-prof-1.4.3.0-ddae1
本虛擬套件由這些套件填實: libghc-missingh-prof
libghc-mmap-dev (0.5.9-8+b2 [s390x], 0.5.9-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memory mapped files for POSIX and Windows
libghc-mmap-dev-0.5.9-3c6f6
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-41867
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-5c851
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-9f235
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-a06cd
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-c974a
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-d6f32
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-dev-0.5.9-f6b70
本虛擬套件由這些套件填實: libghc-mmap-dev
libghc-mmap-doc (0.5.9-8)
Memory mapped files for POSIX and Windows; documentation
libghc-mmap-prof (0.5.9-8+b2 [s390x], 0.5.9-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memory mapped files for POSIX and Windows; profiling libraries
libghc-mmap-prof-0.5.9-3c6f6
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-41867
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-5c851
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-9f235
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-a06cd
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-c974a
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-d6f32
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmap-prof-0.5.9-f6b70
本虛擬套件由這些套件填實: libghc-mmap-prof
libghc-mmorph-dev (1.1.3-2+b2 [s390x], 1.1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad morphisms
libghc-mmorph-dev-1.1.3-200bb
本虛擬套件由這些套件填實: libghc-mmorph-dev
libghc-mmorph-dev-1.1.3-26ffc
本虛擬套件由這些套件填實: libghc-mmorph-dev
libghc-mmorph-dev-1.1.3-9b0e9
本虛擬套件由這些套件填實: libghc-mmorph-dev
libghc-mmorph-dev-1.1.3-a46dd
本虛擬套件由這些套件填實: libghc-mmorph-dev
libghc-mmorph-dev-1.1.3-f73fd
本虛擬套件由這些套件填實: libghc-mmorph-dev
libghc-mmorph-doc (1.1.3-2)
monad morphisms; documentation
libghc-mmorph-prof (1.1.3-2+b2 [s390x], 1.1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad morphisms; profiling libraries
libghc-mmorph-prof-1.1.3-200bb
本虛擬套件由這些套件填實: libghc-mmorph-prof
libghc-mmorph-prof-1.1.3-26ffc
本虛擬套件由這些套件填實: libghc-mmorph-prof
libghc-mmorph-prof-1.1.3-9b0e9
本虛擬套件由這些套件填實: libghc-mmorph-prof
libghc-mmorph-prof-1.1.3-a46dd
本虛擬套件由這些套件填實: libghc-mmorph-prof
libghc-mmorph-prof-1.1.3-f73fd
本虛擬套件由這些套件填實: libghc-mmorph-prof
libghc-mockery-dev (0.3.5-4+b2 [s390x], 0.3.5-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
support functions for automated testing
libghc-mockery-dev-0.3.5-4054a
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-44e32
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-6a416
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-7e049
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-92b09
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-cd0c0
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-e7fdb
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-dev-0.3.5-fb76f
本虛擬套件由這些套件填實: libghc-mockery-dev
libghc-mockery-doc (0.3.5-4)
support functions for automated testing; documentation
libghc-mockery-prof (0.3.5-4+b2 [s390x], 0.3.5-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
support functions for automated testing; profiling libraries
libghc-mockery-prof-0.3.5-4054a
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-44e32
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-6a416
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-7e049
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-92b09
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-cd0c0
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-e7fdb
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-mockery-prof-0.3.5-fb76f
本虛擬套件由這些套件填實: libghc-mockery-prof
libghc-monad-chronicle-dev (1.0.0.1-2)
These as a transformer, ChronicleT
libghc-monad-chronicle-dev-1.0.0.1-1b863
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-26c80
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-2ff0d
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-34c9b
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-5847b
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-bc283
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-c787f
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-d1fcb
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-dev-1.0.0.1-de07a
本虛擬套件由這些套件填實: libghc-monad-chronicle-dev
libghc-monad-chronicle-doc (1.0.0.1-2)
These as a transformer, ChronicleT; documentation
libghc-monad-chronicle-prof (1.0.0.1-2)
These as a transformer, ChronicleT; profiling libraries
libghc-monad-chronicle-prof-1.0.0.1-1b863
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-26c80
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-2ff0d
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-34c9b
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-5847b
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-bc283
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-c787f
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-d1fcb
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-chronicle-prof-1.0.0.1-de07a
本虛擬套件由這些套件填實: libghc-monad-chronicle-prof
libghc-monad-control-dev (1.0.2.3-4+b2 [s390x], 1.0.2.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Monad transformers to lift control operations
libghc-monad-control-dev-1.0.2.3-19a86
本虛擬套件由這些套件填實: libghc-monad-control-dev
libghc-monad-control-dev-1.0.2.3-3f730
本虛擬套件由這些套件填實: libghc-monad-control-dev
libghc-monad-control-dev-1.0.2.3-6f38c
本虛擬套件由這些套件填實: libghc-monad-control-dev
libghc-monad-control-dev-1.0.2.3-93440
本虛擬套件由這些套件填實: libghc-monad-control-dev
libghc-monad-control-dev-1.0.2.3-d0848
本虛擬套件由這些套件填實: libghc-monad-control-dev
libghc-monad-control-doc (1.0.2.3-4)
Monad transformers to lift control operations; documentation
libghc-monad-control-prof (1.0.2.3-4+b2 [s390x], 1.0.2.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Monad transformers to lift control operations; profiling libraries
libghc-monad-control-prof-1.0.2.3-19a86
本虛擬套件由這些套件填實: libghc-monad-control-prof
libghc-monad-control-prof-1.0.2.3-3f730
本虛擬套件由這些套件填實: libghc-monad-control-prof
libghc-monad-control-prof-1.0.2.3-6f38c
本虛擬套件由這些套件填實: libghc-monad-control-prof
libghc-monad-control-prof-1.0.2.3-93440
本虛擬套件由這些套件填實: libghc-monad-control-prof
libghc-monad-control-prof-1.0.2.3-d0848
本虛擬套件由這些套件填實: libghc-monad-control-prof
libghc-monad-journal-dev (0.8.1-4+b2 [s390x], 0.8.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure logger typeclass and monad transformer
libghc-monad-journal-dev-0.8.1-24efd
本虛擬套件由這些套件填實: libghc-monad-journal-dev
libghc-monad-journal-dev-0.8.1-2e551
本虛擬套件由這些套件填實: libghc-monad-journal-dev
libghc-monad-journal-dev-0.8.1-70b9b
本虛擬套件由這些套件填實: libghc-monad-journal-dev
libghc-monad-journal-dev-0.8.1-80e0f
本虛擬套件由這些套件填實: libghc-monad-journal-dev
libghc-monad-journal-dev-0.8.1-d90dc
本虛擬套件由這些套件填實: libghc-monad-journal-dev
libghc-monad-journal-doc (0.8.1-4)
pure logger typeclass and monad transformer; documentation
libghc-monad-journal-prof (0.8.1-4+b2 [s390x], 0.8.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure logger typeclass and monad transformer; profiling libraries
libghc-monad-journal-prof-0.8.1-24efd
本虛擬套件由這些套件填實: libghc-monad-journal-prof
libghc-monad-journal-prof-0.8.1-2e551
本虛擬套件由這些套件填實: libghc-monad-journal-prof
libghc-monad-journal-prof-0.8.1-70b9b
本虛擬套件由這些套件填實: libghc-monad-journal-prof
libghc-monad-journal-prof-0.8.1-80e0f
本虛擬套件由這些套件填實: libghc-monad-journal-prof
libghc-monad-journal-prof-0.8.1-d90dc
本虛擬套件由這些套件填實: libghc-monad-journal-prof
libghc-monad-logger-dev (0.3.34-1+b1 [amd64, arm64, armel, i386, ppc64el, s390x], 0.3.34-1 [armhf, mips64el, mipsel])
a class of monads which can log messages
libghc-monad-logger-dev-0.3.34-02d0b
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-09260
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-3e191
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-562c0
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-7135e
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-7ce68
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-98b4f
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-c25fd
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-dev-0.3.34-f317c
本虛擬套件由這些套件填實: libghc-monad-logger-dev
libghc-monad-logger-doc (0.3.34-1)
a class of monads which can log messages; documentation
libghc-monad-logger-prof (0.3.34-1+b1 [amd64, arm64, armel, i386, ppc64el, s390x], 0.3.34-1 [armhf, mips64el, mipsel])
a class of monads which can log messages; profiling libraries
libghc-monad-logger-prof-0.3.34-02d0b
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-09260
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-3e191
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-562c0
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-7135e
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-7ce68
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-98b4f
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-c25fd
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-logger-prof-0.3.34-f317c
本虛擬套件由這些套件填實: libghc-monad-logger-prof
libghc-monad-loops-dev (0.4.3-8+b2 [s390x], 0.4.3-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monadic looping
libghc-monad-loops-dev-0.4.3-07a9e
本虛擬套件由這些套件填實: libghc-monad-loops-dev
libghc-monad-loops-dev-0.4.3-5ae4a
本虛擬套件由這些套件填實: libghc-monad-loops-dev
libghc-monad-loops-dev-0.4.3-7baa6
本虛擬套件由這些套件填實: libghc-monad-loops-dev
libghc-monad-loops-dev-0.4.3-cdf96
本虛擬套件由這些套件填實: libghc-monad-loops-dev
libghc-monad-loops-dev-0.4.3-daa53
本虛擬套件由這些套件填實: libghc-monad-loops-dev
libghc-monad-loops-doc (0.4.3-8)
monadic looping; documentation
libghc-monad-loops-prof (0.4.3-8+b2 [s390x], 0.4.3-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monadic looping; profiling libraries
libghc-monad-loops-prof-0.4.3-07a9e
本虛擬套件由這些套件填實: libghc-monad-loops-prof
libghc-monad-loops-prof-0.4.3-5ae4a
本虛擬套件由這些套件填實: libghc-monad-loops-prof
libghc-monad-loops-prof-0.4.3-7baa6
本虛擬套件由這些套件填實: libghc-monad-loops-prof
libghc-monad-loops-prof-0.4.3-cdf96
本虛擬套件由這些套件填實: libghc-monad-loops-prof
libghc-monad-loops-prof-0.4.3-daa53
本虛擬套件由這些套件填實: libghc-monad-loops-prof
libghc-monad-memo-dev (0.5.1-1+b2 [s390x], 0.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
memoization monad transformer
libghc-monad-memo-dev-0.5.1-012fa
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-04004
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-6dd71
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-71e9c
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-99b08
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-b0304
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-dd9f4
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-dev-0.5.1-fd2b9
本虛擬套件由這些套件填實: libghc-monad-memo-dev
libghc-monad-memo-doc (0.5.1-1)
memoization monad transformer; documentation
libghc-monad-memo-prof (0.5.1-1+b2 [s390x], 0.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
memoization monad transformer; profiling libraries
libghc-monad-memo-prof-0.5.1-012fa
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-04004
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-6dd71
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-71e9c
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-99b08
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-b0304
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-dd9f4
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-memo-prof-0.5.1-fd2b9
本虛擬套件由這些套件填實: libghc-monad-memo-prof
libghc-monad-par-dev (0.3.5-1+b3 [s390x], 0.3.5-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.5-1+b1 [mips64el, mipsel])
parallel programming based on a monad
libghc-monad-par-dev-0.3.5-07082
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-4cf75
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-579ea
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-81543
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-96719
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-9d773
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-a6c42
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-d8f59
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-dev-0.3.5-ee476
本虛擬套件由這些套件填實: libghc-monad-par-dev
libghc-monad-par-doc (0.3.5-1)
parallel programming based on a monad; documentation
libghc-monad-par-extras-dev (0.3.3-11+b2 [s390x], 0.3.3-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Combinators and extra features for Par monads
libghc-monad-par-extras-dev-0.3.3-0b3e1
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-17fdf
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-49d67
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-79569
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-ab6f6
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-ba54f
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-bda2b
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-dev-0.3.3-f943f
本虛擬套件由這些套件填實: libghc-monad-par-extras-dev
libghc-monad-par-extras-doc (0.3.3-11)
Combinators and extra features for Par monads; documentation
libghc-monad-par-extras-prof (0.3.3-11+b2 [s390x], 0.3.3-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Combinators and extra features for Par monads; profiling libraries
libghc-monad-par-extras-prof-0.3.3-0b3e1
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-17fdf
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-49d67
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-79569
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-ab6f6
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-ba54f
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-bda2b
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-extras-prof-0.3.3-f943f
本虛擬套件由這些套件填實: libghc-monad-par-extras-prof
libghc-monad-par-prof (0.3.5-1+b3 [s390x], 0.3.5-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.5-1+b1 [mips64el, mipsel])
parallel programming based on a monad; profiling libraries
libghc-monad-par-prof-0.3.5-07082
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-4cf75
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-579ea
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-81543
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-96719
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-9d773
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-a6c42
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-d8f59
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-par-prof-0.3.5-ee476
本虛擬套件由這些套件填實: libghc-monad-par-prof
libghc-monad-unlift-dev (0.2.0-6+b3 [s390x], 0.2.0-6+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.0-6+b1 [mips64el, mipsel])
typeclasses for representing monad transformer unlifting
libghc-monad-unlift-dev-0.2.0-468dd
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-608ab
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-6578c
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-68e33
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-85ea3
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-aa50e
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-b7bb2
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-dev-0.2.0-f3575
本虛擬套件由這些套件填實: libghc-monad-unlift-dev
libghc-monad-unlift-doc (0.2.0-6)
typeclasses for representing monad transformer unlifting; documentation
libghc-monad-unlift-prof (0.2.0-6+b3 [s390x], 0.2.0-6+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.0-6+b1 [mips64el, mipsel])
typeclasses for representing monad transformer unlifting; profiling libraries
libghc-monad-unlift-prof-0.2.0-468dd
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-608ab
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-6578c
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-68e33
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-85ea3
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-aa50e
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-b7bb2
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monad-unlift-prof-0.2.0-f3575
本虛擬套件由這些套件填實: libghc-monad-unlift-prof
libghc-monadcryptorandom-dev (0.7.2.1-4+b2 [s390x], 0.7.2.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad for using CryptoRandomGen
libghc-monadcryptorandom-dev-0.7.2.1-0dbb5
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-5b1d9
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-87278
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-8e69c
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-beb07
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-c37cc
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-c44f0
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-dev-0.7.2.1-f8442
本虛擬套件由這些套件填實: libghc-monadcryptorandom-dev
libghc-monadcryptorandom-doc (0.7.2.1-4)
monad for using CryptoRandomGen; documentation
libghc-monadcryptorandom-prof (0.7.2.1-4+b2 [s390x], 0.7.2.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad for using CryptoRandomGen; profiling libraries
libghc-monadcryptorandom-prof-0.7.2.1-0dbb5
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-5b1d9
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-87278
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-8e69c
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-beb07
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-c37cc
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-c44f0
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadcryptorandom-prof-0.7.2.1-f8442
本虛擬套件由這些套件填實: libghc-monadcryptorandom-prof
libghc-monadlib-dev (3.10-1+b2 [s390x], 3.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
collection of monad transformers
libghc-monadlib-dev-3.10-2c892
本虛擬套件由這些套件填實: libghc-monadlib-dev
libghc-monadlib-dev-3.10-65337
本虛擬套件由這些套件填實: libghc-monadlib-dev
libghc-monadlib-dev-3.10-bfb4e
本虛擬套件由這些套件填實: libghc-monadlib-dev
libghc-monadlib-dev-3.10-c24cd
本虛擬套件由這些套件填實: libghc-monadlib-dev
libghc-monadlib-doc (3.10-1)
collection of monad transformers; documentation
libghc-monadlib-prof (3.10-1+b2 [s390x], 3.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
collection of monad transformers; profiling libraries
libghc-monadlib-prof-3.10-2c892
本虛擬套件由這些套件填實: libghc-monadlib-prof
libghc-monadlib-prof-3.10-65337
本虛擬套件由這些套件填實: libghc-monadlib-prof
libghc-monadlib-prof-3.10-bfb4e
本虛擬套件由這些套件填實: libghc-monadlib-prof
libghc-monadlib-prof-3.10-c24cd
本虛擬套件由這些套件填實: libghc-monadlib-prof
libghc-monadlist-dev (0.0.2-4+b2 [s390x], 0.0.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Monadic versions of list functions
libghc-monadlist-dev-0.0.2-397ff
本虛擬套件由這些套件填實: libghc-monadlist-dev
libghc-monadlist-dev-0.0.2-437ed
本虛擬套件由這些套件填實: libghc-monadlist-dev
libghc-monadlist-dev-0.0.2-7fd1a
本虛擬套件由這些套件填實: libghc-monadlist-dev
libghc-monadlist-dev-0.0.2-d0273
本虛擬套件由這些套件填實: libghc-monadlist-dev
libghc-monadlist-dev-0.0.2-d3853
本虛擬套件由這些套件填實: libghc-monadlist-dev
libghc-monadlist-doc (0.0.2-4)
Monadic versions of list functions; documentation
libghc-monadlist-prof (0.0.2-4+b2 [s390x], 0.0.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Monadic versions of list functions; profiling libraries
libghc-monadlist-prof-0.0.2-397ff
本虛擬套件由這些套件填實: libghc-monadlist-prof
libghc-monadlist-prof-0.0.2-437ed
本虛擬套件由這些套件填實: libghc-monadlist-prof
libghc-monadlist-prof-0.0.2-7fd1a
本虛擬套件由這些套件填實: libghc-monadlist-prof
libghc-monadlist-prof-0.0.2-d0273
本虛擬套件由這些套件填實: libghc-monadlist-prof
libghc-monadlist-prof-0.0.2-d3853
本虛擬套件由這些套件填實: libghc-monadlist-prof
libghc-monadprompt-dev (1.0.0.5-10+b2 [s390x], 1.0.0.5-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MonadPrompt, implementation & examples
libghc-monadprompt-dev-1.0.0.5-55016
本虛擬套件由這些套件填實: libghc-monadprompt-dev
libghc-monadprompt-dev-1.0.0.5-649c0
本虛擬套件由這些套件填實: libghc-monadprompt-dev
libghc-monadprompt-dev-1.0.0.5-81d73
本虛擬套件由這些套件填實: libghc-monadprompt-dev
libghc-monadprompt-dev-1.0.0.5-f1594
本虛擬套件由這些套件填實: libghc-monadprompt-dev
libghc-monadprompt-doc (1.0.0.5-10)
MonadPrompt, implementation & examples; documentation
libghc-monadprompt-prof (1.0.0.5-10+b2 [s390x], 1.0.0.5-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MonadPrompt, implementation & examples; profiling libraries
libghc-monadprompt-prof-1.0.0.5-55016
本虛擬套件由這些套件填實: libghc-monadprompt-prof
libghc-monadprompt-prof-1.0.0.5-649c0
本虛擬套件由這些套件填實: libghc-monadprompt-prof
libghc-monadprompt-prof-1.0.0.5-81d73
本虛擬套件由這些套件填實: libghc-monadprompt-prof
libghc-monadprompt-prof-1.0.0.5-f1594
本虛擬套件由這些套件填實: libghc-monadprompt-prof
libghc-monadrandom-dev (0.5.2-1)
random-number generation monad
libghc-monadrandom-dev-0.5.2-17d84
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-47c1d
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-516ac
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-5526c
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-6be6a
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-9141d
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-a927a
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-dev-0.5.2-f3e77
本虛擬套件由這些套件填實: libghc-monadrandom-dev
libghc-monadrandom-doc (0.5.2-1)
random-number generation monad; documentation
libghc-monadrandom-prof (0.5.2-1)
random-number generation monad; profiling libraries
libghc-monadrandom-prof-0.5.2-17d84
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-47c1d
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-516ac
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-5526c
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-6be6a
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-9141d
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-a927a
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monadrandom-prof-0.5.2-f3e77
本虛擬套件由這些套件填實: libghc-monadrandom-prof
libghc-monads-tf-dev (0.1.0.3-6+b2 [s390x], 0.1.0.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad classes, using type families
libghc-monads-tf-dev-0.1.0.3-130f6
本虛擬套件由這些套件填實: libghc-monads-tf-dev
libghc-monads-tf-dev-0.1.0.3-4756b
本虛擬套件由這些套件填實: libghc-monads-tf-dev
libghc-monads-tf-dev-0.1.0.3-4d5c7
本虛擬套件由這些套件填實: libghc-monads-tf-dev
libghc-monads-tf-dev-0.1.0.3-de2ac
本虛擬套件由這些套件填實: libghc-monads-tf-dev
libghc-monads-tf-dev-0.1.0.3-f7080
本虛擬套件由這些套件填實: libghc-monads-tf-dev
libghc-monads-tf-doc (0.1.0.3-6)
monad classes, using type families; documentation
libghc-monads-tf-prof (0.1.0.3-6+b2 [s390x], 0.1.0.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad classes, using type families; profiling libraries
libghc-monads-tf-prof-0.1.0.3-130f6
本虛擬套件由這些套件填實: libghc-monads-tf-prof
libghc-monads-tf-prof-0.1.0.3-4756b
本虛擬套件由這些套件填實: libghc-monads-tf-prof
libghc-monads-tf-prof-0.1.0.3-4d5c7
本虛擬套件由這些套件填實: libghc-monads-tf-prof
libghc-monads-tf-prof-0.1.0.3-de2ac
本虛擬套件由這些套件填實: libghc-monads-tf-prof
libghc-monads-tf-prof-0.1.0.3-f7080
本虛擬套件由這些套件填實: libghc-monads-tf-prof
libghc-mono-traversable-dev (1.0.15.1-1+b2 [s390x], 1.0.15.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typeclasses for mapping/folding/traversing monomorphic containers
libghc-mono-traversable-dev-1.0.15.1-2fc8f
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-4637e
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-502d5
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-824b6
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-9a475
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-9af90
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-a4430
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-bcba4
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-dev-1.0.15.1-c94ea
本虛擬套件由這些套件填實: libghc-mono-traversable-dev
libghc-mono-traversable-doc (1.0.15.1-1)
typeclasses for mapping/folding/traversing monomorphic containers; documentation
libghc-mono-traversable-instances-dev (0.1.1.0-1)
extra typeclass instances for mono-traversable
libghc-mono-traversable-instances-dev-0.1.1.0-15aaa
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-259e7
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-35a4e
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-39824
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-4d3d8
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-528d2
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-a6b01
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-c0df6
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-dev-0.1.1.0-fb123
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-dev
libghc-mono-traversable-instances-doc (0.1.1.0-1)
extra typeclass instances for mono-traversable; documentation
libghc-mono-traversable-instances-prof (0.1.1.0-1)
extra typeclass instances for mono-traversable; profiling libraries
libghc-mono-traversable-instances-prof-0.1.1.0-15aaa
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-259e7
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-35a4e
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-39824
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-4d3d8
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-528d2
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-a6b01
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-c0df6
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-instances-prof-0.1.1.0-fb123
本虛擬套件由這些套件填實: libghc-mono-traversable-instances-prof
libghc-mono-traversable-prof (1.0.15.1-1+b2 [s390x], 1.0.15.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typeclasses for mapping/folding/traversing monomorphic containers; profiling libraries
libghc-mono-traversable-prof-1.0.15.1-2fc8f
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-4637e
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-502d5
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-824b6
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-9a475
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-9af90
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-a4430
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-bcba4
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-mono-traversable-prof-1.0.15.1-c94ea
本虛擬套件由這些套件填實: libghc-mono-traversable-prof
libghc-monoid-extras-dev (0.5.1-1+b2 [s390x], 0.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Various extra monoid-related definitions and utilities
libghc-monoid-extras-dev-0.5.1-09578
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-2ad21
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-3014c
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-3f1f7
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-6b0a8
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-6e3d3
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-e6295
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-f15f6
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-dev-0.5.1-f6f77
本虛擬套件由這些套件填實: libghc-monoid-extras-dev
libghc-monoid-extras-doc (0.5.1-1)
Various extra monoid-related definitions and utilities; documentation
libghc-monoid-extras-prof (0.5.1-1+b2 [s390x], 0.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Various extra monoid-related definitions and utilities; profiling libraries
libghc-monoid-extras-prof-0.5.1-09578
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-2ad21
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-3014c
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-3f1f7
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-6b0a8
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-6e3d3
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-e6295
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-f15f6
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-extras-prof-0.5.1-f6f77
本虛擬套件由這些套件填實: libghc-monoid-extras-prof
libghc-monoid-subclasses-dev (1.0.1-1+b2 [s390x], 1.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
subclasses of Monoid
libghc-monoid-subclasses-dev-1.0.1-2cfa7
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-3c50e
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-593e8
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-a72b6
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-bedcf
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-c2a5e
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-cc00f
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-dev-1.0.1-d1c40
本虛擬套件由這些套件填實: libghc-monoid-subclasses-dev
libghc-monoid-subclasses-doc (1.0.1-1)
subclasses of Monoid; documentation
libghc-monoid-subclasses-prof (1.0.1-1+b2 [s390x], 1.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
subclasses of Monoid; profiling libraries
libghc-monoid-subclasses-prof-1.0.1-2cfa7
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-3c50e
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-593e8
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-a72b6
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-bedcf
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-c2a5e
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-cc00f
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-monoid-subclasses-prof-1.0.1-d1c40
本虛擬套件由這些套件填實: libghc-monoid-subclasses-prof
libghc-mountpoints-dev (1.0.2-6+b2 [s390x], 1.0.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
list mount points
libghc-mountpoints-dev-1.0.2-1c062
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-dev-1.0.2-433cc
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-dev-1.0.2-6cc5d
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-dev-1.0.2-826a0
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-dev-1.0.2-8fe6a
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-dev-1.0.2-b9586
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-dev-1.0.2-c4add
本虛擬套件由這些套件填實: libghc-mountpoints-dev
libghc-mountpoints-doc (1.0.2-6)
list mount points; documentation
libghc-mountpoints-prof (1.0.2-6+b2 [s390x], 1.0.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
list mount points; profiling libraries
libghc-mountpoints-prof-1.0.2-1c062
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mountpoints-prof-1.0.2-433cc
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mountpoints-prof-1.0.2-6cc5d
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mountpoints-prof-1.0.2-826a0
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mountpoints-prof-1.0.2-8fe6a
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mountpoints-prof-1.0.2-b9586
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mountpoints-prof-1.0.2-c4add
本虛擬套件由這些套件填實: libghc-mountpoints-prof
libghc-mtl-dev (= 2.2.2)
本虛擬套件由這些套件填實: ghc
libghc-mtl-dev-2.2.2-5bd25
本虛擬套件由這些套件填實: ghc
libghc-mtl-dev-2.2.2-7208c
本虛擬套件由這些套件填實: ghc
libghc-mtl-dev-2.2.2-808ea
本虛擬套件由這些套件填實: ghc
libghc-mtl-dev-2.2.2-c5b7a
本虛擬套件由這些套件填實: ghc
libghc-mtl-dev-2.2.2-e0289
本虛擬套件由這些套件填實: ghc
libghc-mtl-doc (= 2.2.2)
本虛擬套件由這些套件填實: ghc-doc
libghc-mtl-prof (= 2.2.2)
本虛擬套件由這些套件填實: ghc-prof
libghc-mtl-prof-2.2.2-5bd25
本虛擬套件由這些套件填實: ghc-prof
libghc-mtl-prof-2.2.2-7208c
本虛擬套件由這些套件填實: ghc-prof
libghc-mtl-prof-2.2.2-808ea
本虛擬套件由這些套件填實: ghc-prof
libghc-mtl-prof-2.2.2-c5b7a
本虛擬套件由這些套件填實: ghc-prof
libghc-mtl-prof-2.2.2-e0289
本虛擬套件由這些套件填實: ghc-prof
libghc-mtlparse-dev (0.1.4.0-10+b2 [s390x], 0.1.4.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser combinators for monad transformation
libghc-mtlparse-dev-0.1.4.0-20f52
本虛擬套件由這些套件填實: libghc-mtlparse-dev
libghc-mtlparse-dev-0.1.4.0-40bc4
本虛擬套件由這些套件填實: libghc-mtlparse-dev
libghc-mtlparse-dev-0.1.4.0-87870
本虛擬套件由這些套件填實: libghc-mtlparse-dev
libghc-mtlparse-dev-0.1.4.0-b8b75
本虛擬套件由這些套件填實: libghc-mtlparse-dev
libghc-mtlparse-dev-0.1.4.0-c3608
本虛擬套件由這些套件填實: libghc-mtlparse-dev
libghc-mtlparse-doc (0.1.4.0-10)
Parser combinators for monad transformation; documentation
libghc-mtlparse-prof (0.1.4.0-10+b2 [s390x], 0.1.4.0-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser combinators for monad transformation; profiling libraries
libghc-mtlparse-prof-0.1.4.0-20f52
本虛擬套件由這些套件填實: libghc-mtlparse-prof
libghc-mtlparse-prof-0.1.4.0-40bc4
本虛擬套件由這些套件填實: libghc-mtlparse-prof
libghc-mtlparse-prof-0.1.4.0-87870
本虛擬套件由這些套件填實: libghc-mtlparse-prof
libghc-mtlparse-prof-0.1.4.0-b8b75
本虛擬套件由這些套件填實: libghc-mtlparse-prof
libghc-mtlparse-prof-0.1.4.0-c3608
本虛擬套件由這些套件填實: libghc-mtlparse-prof
libghc-mueval-dev (0.9.3-5+b3 [s390x], 0.9.3-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.3-5+b1 [mips64el, mipsel])
safely evaluate pure Haskell expressions
libghc-mueval-dev-0.9.3-0832f
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-1add7
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-34cb8
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-442a0
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-6c770
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-6f113
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-b4783
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-da038
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-dev-0.9.3-f91ab
本虛擬套件由這些套件填實: libghc-mueval-dev
libghc-mueval-doc (0.9.3-5)
safely evaluate pure Haskell expressions; documentation
libghc-mueval-prof (0.9.3-5+b3 [s390x], 0.9.3-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.3-5+b1 [mips64el, mipsel])
safely evaluate pure Haskell expressions; profiling libraries
libghc-mueval-prof-0.9.3-0832f
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-1add7
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-34cb8
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-442a0
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-6c770
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-6f113
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-b4783
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-da038
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-mueval-prof-0.9.3-f91ab
本虛擬套件由這些套件填實: libghc-mueval-prof
libghc-multimap-dev (1.2.1-3+b2 [s390x], 1.2.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
maps with multiple values per key
libghc-multimap-dev-1.2.1-1adfd
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-2cbbc
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-34cf8
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-3d70d
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-b4cba
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-b96d3
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-bffc0
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-dev-1.2.1-e3a58
本虛擬套件由這些套件填實: libghc-multimap-dev
libghc-multimap-doc (1.2.1-3)
maps with multiple values per key; documentation
libghc-multimap-prof (1.2.1-3+b2 [s390x], 1.2.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
maps with multiple values per key; profiling libraries
libghc-multimap-prof-1.2.1-1adfd
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-2cbbc
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-34cf8
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-3d70d
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-b4cba
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-b96d3
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-bffc0
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multimap-prof-1.2.1-e3a58
本虛擬套件由這些套件填實: libghc-multimap-prof
libghc-multipart-dev (0.2.0-1+b2 [s390x], 0.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTTP multipart support
libghc-multipart-dev-0.2.0-0aec0
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-34185
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-64498
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-743e3
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-8c42f
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-a48c4
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-f4dd6
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-dev-0.2.0-fca1f
本虛擬套件由這些套件填實: libghc-multipart-dev
libghc-multipart-doc (0.2.0-1)
HTTP multipart support; documentation
libghc-multipart-prof (0.2.0-1+b2 [s390x], 0.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTTP multipart support; profiling libraries
libghc-multipart-prof-0.2.0-0aec0
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-34185
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-64498
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-743e3
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-8c42f
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-a48c4
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-f4dd6
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multipart-prof-0.2.0-fca1f
本虛擬套件由這些套件填實: libghc-multipart-prof
libghc-multiset-comb-dev (0.2.4.1-5+b2 [s390x], 0.2.4.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
combinatorial algorithms over multisets
libghc-multiset-comb-dev-0.2.4.1-0a9cb
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-26f0d
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-3d852
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-42e49
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-47476
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-647be
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-6adde
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-dev-0.2.4.1-b5ff0
本虛擬套件由這些套件填實: libghc-multiset-comb-dev
libghc-multiset-comb-doc (0.2.4.1-5)
combinatorial algorithms over multisets; documentation
libghc-multiset-comb-prof (0.2.4.1-5+b2 [s390x], 0.2.4.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
combinatorial algorithms over multisets; profiling libraries
libghc-multiset-comb-prof-0.2.4.1-0a9cb
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-26f0d
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-3d852
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-42e49
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-47476
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-647be
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-6adde
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multiset-comb-prof-0.2.4.1-b5ff0
本虛擬套件由這些套件填實: libghc-multiset-comb-prof
libghc-multistate-dev (0.8.0.3-1)
equivalent of multiple RWST in the same stack
libghc-multistate-dev-0.8.0.3-05f7f
本虛擬套件由這些套件填實: libghc-multistate-dev
libghc-multistate-dev-0.8.0.3-08cdd
本虛擬套件由這些套件填實: libghc-multistate-dev
libghc-multistate-dev-0.8.0.3-542df
本虛擬套件由這些套件填實: libghc-multistate-dev
libghc-multistate-dev-0.8.0.3-9fb97
本虛擬套件由這些套件填實: libghc-multistate-dev
libghc-multistate-dev-0.8.0.3-a61d6
本虛擬套件由這些套件填實: libghc-multistate-dev
libghc-multistate-dev-0.8.0.3-e64c1
本虛擬套件由這些套件填實: libghc-multistate-dev
libghc-multistate-doc (0.8.0.3-1)
equivalent of multiple RWST in the same stack; documentation
libghc-multistate-prof (0.8.0.3-1)
equivalent of multiple RWST in the same stack; profiling libraries
libghc-multistate-prof-0.8.0.3-05f7f
本虛擬套件由這些套件填實: libghc-multistate-prof
libghc-multistate-prof-0.8.0.3-08cdd
本虛擬套件由這些套件填實: libghc-multistate-prof
libghc-multistate-prof-0.8.0.3-542df
本虛擬套件由這些套件填實: libghc-multistate-prof
libghc-multistate-prof-0.8.0.3-9fb97
本虛擬套件由這些套件填實: libghc-multistate-prof
libghc-multistate-prof-0.8.0.3-a61d6
本虛擬套件由這些套件填實: libghc-multistate-prof
libghc-multistate-prof-0.8.0.3-e64c1
本虛擬套件由這些套件填實: libghc-multistate-prof
libghc-murmur-hash-dev (0.1.0.9-4+b2 [s390x], 0.1.0.9-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MurmurHash2 implementation for Haskell.
libghc-murmur-hash-dev-0.1.0.9-092ea
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-3106a
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-40426
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-a7ff4
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-cc5fe
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-ce159
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-d36c5
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-dev-0.1.0.9-e4978
本虛擬套件由這些套件填實: libghc-murmur-hash-dev
libghc-murmur-hash-doc (0.1.0.9-4)
MurmurHash2 implementation for Haskell.; documentation
libghc-murmur-hash-prof (0.1.0.9-4+b2 [s390x], 0.1.0.9-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MurmurHash2 implementation for Haskell.; profiling libraries
libghc-murmur-hash-prof-0.1.0.9-092ea
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-3106a
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-40426
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-a7ff4
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-cc5fe
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-ce159
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-d36c5
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-murmur-hash-prof-0.1.0.9-e4978
本虛擬套件由這些套件填實: libghc-murmur-hash-prof
libghc-musicbrainz-dev (0.4.1-2+b3 [mipsel, s390x], 0.4.1-2+b2 [arm64, armel, ppc64el], 0.4.1-2+b1 [amd64, armhf, i386, mips64el])
interface to MusicBrainz XML2/JSON web services
libghc-musicbrainz-dev-0.4.1-09bdb
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-27524
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-327ed
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-3a8a5
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-51236
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-76fd5
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-b327c
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-f3cff
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-dev-0.4.1-f8ea8
本虛擬套件由這些套件填實: libghc-musicbrainz-dev
libghc-musicbrainz-doc (0.4.1-2)
interface to MusicBrainz XML2/JSON web services; documentation
libghc-musicbrainz-prof (0.4.1-2+b3 [mipsel, s390x], 0.4.1-2+b2 [arm64, armel, ppc64el], 0.4.1-2+b1 [amd64, armhf, i386, mips64el])
interface to MusicBrainz XML2/JSON web services; profiling libraries
libghc-musicbrainz-prof-0.4.1-09bdb
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-27524
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-327ed
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-3a8a5
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-51236
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-76fd5
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-b327c
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-f3cff
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-musicbrainz-prof-0.4.1-f8ea8
本虛擬套件由這些套件填實: libghc-musicbrainz-prof
libghc-mustache-dev (2.3.1-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 2.3.1-1+b1 [armel, armhf, i386])
Haskell implementation of Mustache templates
libghc-mustache-dev-2.3.1-1b33b
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-2a435
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-39fab
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-47a31
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-838ae
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-8ef5c
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-94eee
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-c0dc9
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-dev-2.3.1-cc64b
本虛擬套件由這些套件填實: libghc-mustache-dev
libghc-mustache-doc (2.3.1-1)
Haskell implementation of Mustache templates; documentation
libghc-mustache-prof (2.3.1-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 2.3.1-1+b1 [armel, armhf, i386])
Haskell implementation of Mustache templates; profiling libraries
libghc-mustache-prof-2.3.1-1b33b
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-2a435
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-39fab
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-47a31
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-838ae
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-8ef5c
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-94eee
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-c0dc9
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mustache-prof-2.3.1-cc64b
本虛擬套件由這些套件填實: libghc-mustache-prof
libghc-mutable-containers-dev (0.3.4-3+b2 [s390x], 0.3.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstactions and concrete implementations of mutable containers
libghc-mutable-containers-dev-0.3.4-2fcde
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-3f316
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-46d28
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-50851
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-988c3
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-a2336
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-b0ce2
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-bbf92
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-dev-0.3.4-ce19d
本虛擬套件由這些套件填實: libghc-mutable-containers-dev
libghc-mutable-containers-doc (0.3.4-3)
abstactions and concrete implementations of mutable containers; documentation
libghc-mutable-containers-prof (0.3.4-3+b2 [s390x], 0.3.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstactions and concrete implementations of mutable containers; profiling libraries
libghc-mutable-containers-prof-0.3.4-2fcde
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-3f316
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-46d28
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-50851
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-988c3
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-a2336
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-b0ce2
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-bbf92
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mutable-containers-prof-0.3.4-ce19d
本虛擬套件由這些套件填實: libghc-mutable-containers-prof
libghc-mwc-random-dev (0.14.0.0-2+b3 [s390x], 0.14.0.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.14.0.0-2+b1 [mips64el, mipsel])
Fast, high quality pseudo random number generation
libghc-mwc-random-dev-0.14.0.0-0fc75
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-1ad69
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-1d4be
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-32d4f
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-5311a
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-813d6
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-aac85
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-dev-0.14.0.0-e1765
本虛擬套件由這些套件填實: libghc-mwc-random-dev
libghc-mwc-random-doc (0.14.0.0-2)
Fast, high quality pseudo random number generation; documentation
libghc-mwc-random-prof (0.14.0.0-2+b3 [s390x], 0.14.0.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.14.0.0-2+b1 [mips64el, mipsel])
Fast, high quality pseudo random number generation; profiling libraries
libghc-mwc-random-prof-0.14.0.0-0fc75
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-1ad69
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-1d4be
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-32d4f
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-5311a
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-813d6
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-aac85
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-mwc-random-prof-0.14.0.0-e1765
本虛擬套件由這些套件填實: libghc-mwc-random-prof
libghc-names-th-dev (0.3.0.1-1+b2 [s390x], 0.3.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Manipulate name strings for TH
libghc-names-th-dev-0.3.0.1-183eb
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-3fc91
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-4f4e3
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-557ef
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-91617
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-94c8d
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-990f4
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-dev-0.3.0.1-9ba4c
本虛擬套件由這些套件填實: libghc-names-th-dev
libghc-names-th-doc (0.3.0.1-1)
Manipulate name strings for TH; documentation
libghc-names-th-prof (0.3.0.1-1+b2 [s390x], 0.3.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Manipulate name strings for TH; profiling libraries
libghc-names-th-prof-0.3.0.1-183eb
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-3fc91
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-4f4e3
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-557ef
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-91617
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-94c8d
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-990f4
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-names-th-prof-0.3.0.1-9ba4c
本虛擬套件由這些套件填實: libghc-names-th-prof
libghc-nanospec-dev (0.2.2-3+b2 [s390x], 0.2.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
lightweight implementation of a subset of Hspec's API
libghc-nanospec-dev-0.2.2-7218a
本虛擬套件由這些套件填實: libghc-nanospec-dev
libghc-nanospec-dev-0.2.2-7b851
本虛擬套件由這些套件填實: libghc-nanospec-dev
libghc-nanospec-dev-0.2.2-8d73f
本虛擬套件由這些套件填實: libghc-nanospec-dev
libghc-nanospec-dev-0.2.2-aa55f
本虛擬套件由這些套件填實: libghc-nanospec-dev
libghc-nanospec-dev-0.2.2-cce6e
本虛擬套件由這些套件填實: libghc-nanospec-dev
libghc-nanospec-dev-0.2.2-ec411
本虛擬套件由這些套件填實: libghc-nanospec-dev
libghc-nanospec-doc (0.2.2-3)
lightweight implementation of a subset of Hspec's API; documentation
libghc-nanospec-prof (0.2.2-3+b2 [s390x], 0.2.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
lightweight implementation of a subset of Hspec's API; profiling libraries
libghc-nanospec-prof-0.2.2-7218a
本虛擬套件由這些套件填實: libghc-nanospec-prof
libghc-nanospec-prof-0.2.2-7b851
本虛擬套件由這些套件填實: libghc-nanospec-prof
libghc-nanospec-prof-0.2.2-8d73f
本虛擬套件由這些套件填實: libghc-nanospec-prof
libghc-nanospec-prof-0.2.2-aa55f
本虛擬套件由這些套件填實: libghc-nanospec-prof
libghc-nanospec-prof-0.2.2-cce6e
本虛擬套件由這些套件填實: libghc-nanospec-prof
libghc-nanospec-prof-0.2.2-ec411
本虛擬套件由這些套件填實: libghc-nanospec-prof
libghc-natural-transformation-dev (0.4-6+b2 [s390x], 0.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
natural transformations
libghc-natural-transformation-dev-0.4-1782f
本虛擬套件由這些套件填實: libghc-natural-transformation-dev
libghc-natural-transformation-dev-0.4-57157
本虛擬套件由這些套件填實: libghc-natural-transformation-dev
libghc-natural-transformation-dev-0.4-753fa
本虛擬套件由這些套件填實: libghc-natural-transformation-dev
libghc-natural-transformation-dev-0.4-c0624
本虛擬套件由這些套件填實: libghc-natural-transformation-dev
libghc-natural-transformation-dev-0.4-f46dd
本虛擬套件由這些套件填實: libghc-natural-transformation-dev
libghc-natural-transformation-doc (0.4-6)
natural transformations; documentation
libghc-natural-transformation-prof (0.4-6+b2 [s390x], 0.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
natural transformations; profiling libraries
libghc-natural-transformation-prof-0.4-1782f
本虛擬套件由這些套件填實: libghc-natural-transformation-prof
libghc-natural-transformation-prof-0.4-57157
本虛擬套件由這些套件填實: libghc-natural-transformation-prof
libghc-natural-transformation-prof-0.4-753fa
本虛擬套件由這些套件填實: libghc-natural-transformation-prof
libghc-natural-transformation-prof-0.4-c0624
本虛擬套件由這些套件填實: libghc-natural-transformation-prof
libghc-natural-transformation-prof-0.4-f46dd
本虛擬套件由這些套件填實: libghc-natural-transformation-prof
libghc-neat-interpolation-dev (0.3.2.6-1+b2 [s390x], 0.3.2.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
quasiquoter for simple multiline text interpolation
libghc-neat-interpolation-dev-0.3.2.6-15110
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-151e2
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-2aae1
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-3c898
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-3d4fe
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-80730
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-cd206
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-dev-0.3.2.6-ea895
本虛擬套件由這些套件填實: libghc-neat-interpolation-dev
libghc-neat-interpolation-doc (0.3.2.6-1)
quasiquoter for simple multiline text interpolation; documentation
libghc-neat-interpolation-prof (0.3.2.6-1+b2 [s390x], 0.3.2.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
quasiquoter for simple multiline text interpolation; profiling libraries
libghc-neat-interpolation-prof-0.3.2.6-15110
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-151e2
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-2aae1
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-3c898
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-3d4fe
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-80730
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-cd206
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-neat-interpolation-prof-0.3.2.6-ea895
本虛擬套件由這些套件填實: libghc-neat-interpolation-prof
libghc-nettle-dev (0.3.0-4+b3 [s390x], 0.3.0-4+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
safe bindings to nettle crypto library
libghc-nettle-dev-0.3.0-15e48
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-36826
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-5bbbb
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-652d2
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-6c09d
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-9aa87
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-a928d
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-dev-0.3.0-c87ba
本虛擬套件由這些套件填實: libghc-nettle-dev
libghc-nettle-doc (0.3.0-4)
safe bindings to nettle crypto library; documentation
libghc-nettle-prof (0.3.0-4+b3 [s390x], 0.3.0-4+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
safe bindings to nettle crypto library; profiling libraries
libghc-nettle-prof-0.3.0-15e48
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-36826
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-5bbbb
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-652d2
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-6c09d
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-9aa87
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-a928d
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-nettle-prof-0.3.0-c87ba
本虛擬套件由這些套件填實: libghc-nettle-prof
libghc-netwire-dev (5.0.3-3+b2 [s390x], 5.0.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
flexible wire arrows for FRP
libghc-netwire-dev-5.0.3-005cf
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-76ddf
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-807fe
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-9212e
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-a6e54
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-a7c79
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-d6260
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-dev-5.0.3-e0ee5
本虛擬套件由這些套件填實: libghc-netwire-dev
libghc-netwire-doc (5.0.3-3)
flexible wire arrows for FRP; documentation
libghc-netwire-prof (5.0.3-3+b2 [s390x], 5.0.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
flexible wire arrows for FRP; profiling libraries
libghc-netwire-prof-5.0.3-005cf
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-76ddf
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-807fe
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-9212e
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-a6e54
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-a7c79
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-d6260
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-netwire-prof-5.0.3-e0ee5
本虛擬套件由這些套件填實: libghc-netwire-prof
libghc-network-bsd-dev (2.8.1.0-2+b2 [s390x], 2.8.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
POSIX network database netdb.h API
libghc-network-bsd-dev-2.8.1.0-10996
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-38fde
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-5a202
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-7ea9c
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-a875b
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-e7b26
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-f1ddc
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-dev-2.8.1.0-ff2a6
本虛擬套件由這些套件填實: libghc-network-bsd-dev
libghc-network-bsd-doc (2.8.1.0-2)
POSIX network database netdb.h API; documentation
libghc-network-bsd-prof (2.8.1.0-2+b2 [s390x], 2.8.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
POSIX network database netdb.h API; profiling libraries
libghc-network-bsd-prof-2.8.1.0-10996
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-38fde
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-5a202
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-7ea9c
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-a875b
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-e7b26
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-f1ddc
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-bsd-prof-2.8.1.0-ff2a6
本虛擬套件由這些套件填實: libghc-network-bsd-prof
libghc-network-byte-order-dev (0.1.5-1+b2 [s390x], 0.1.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
network byte-order utility functions
libghc-network-byte-order-dev-0.1.5-14154
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-1637b
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-22c91
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-5ff6b
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-77638
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-93de1
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-eb2eb
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-dev-0.1.5-fb520
本虛擬套件由這些套件填實: libghc-network-byte-order-dev
libghc-network-byte-order-doc (0.1.5-1)
network byte-order utility functions; documentation
libghc-network-byte-order-prof (0.1.5-1+b2 [s390x], 0.1.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
network byte-order utility functions; profiling libraries
libghc-network-byte-order-prof-0.1.5-14154
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-1637b
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-22c91
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-5ff6b
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-77638
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-93de1
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-eb2eb
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-byte-order-prof-0.1.5-fb520
本虛擬套件由這些套件填實: libghc-network-byte-order-prof
libghc-network-conduit-tls-dev (1.3.2-4+b5 [s390x], 1.3.2-4+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.2-4+b3 [mipsel], 1.3.2-4+b2 [mips64el])
create TLS-aware network code with conduits
libghc-network-conduit-tls-dev-1.3.2-03c17
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-24fe4
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-55acd
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-63b1d
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-8bba7
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-b5a2b
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-d8e72
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-e4d26
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-dev-1.3.2-f52d9
本虛擬套件由這些套件填實: libghc-network-conduit-tls-dev
libghc-network-conduit-tls-doc (1.3.2-4)
create TLS-aware network code with conduits; documentation
libghc-network-conduit-tls-prof (1.3.2-4+b5 [s390x], 1.3.2-4+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 1.3.2-4+b3 [mipsel], 1.3.2-4+b2 [mips64el])
create TLS-aware network code with conduits; profiling libraries
libghc-network-conduit-tls-prof-1.3.2-03c17
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-24fe4
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-55acd
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-63b1d
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-8bba7
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-b5a2b
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-d8e72
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-e4d26
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-conduit-tls-prof-1.3.2-f52d9
本虛擬套件由這些套件填實: libghc-network-conduit-tls-prof
libghc-network-dev (3.1.1.1-1+b2 [s390x], 3.1.1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell low-level network library
libghc-network-dev-3.1.1.1-000ea
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-09230
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-21af3
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-44ad7
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-464ab
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-bd5d3
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-e1848
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-dev-3.1.1.1-ea0ab
本虛擬套件由這些套件填實: libghc-network-dev
libghc-network-doc (3.1.1.1-1)
Haskell low-level network library; documentation
libghc-network-info-dev (0.2.0.10-3+b2 [s390x], 0.2.0.10-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
listing network interfaces in Haskell
libghc-network-info-dev-0.2.0.10-1301f
本虛擬套件由這些套件填實: libghc-network-info-dev
libghc-network-info-dev-0.2.0.10-536b5
本虛擬套件由這些套件填實: libghc-network-info-dev
libghc-network-info-dev-0.2.0.10-7129a
本虛擬套件由這些套件填實: libghc-network-info-dev
libghc-network-info-dev-0.2.0.10-d46dc
本虛擬套件由這些套件填實: libghc-network-info-dev
libghc-network-info-dev-0.2.0.10-dc795
本虛擬套件由這些套件填實: libghc-network-info-dev
libghc-network-info-dev-0.2.0.10-e334f
本虛擬套件由這些套件填實: libghc-network-info-dev
libghc-network-info-doc (0.2.0.10-3)
listing network interfaces in Haskell; documentation
libghc-network-info-prof (0.2.0.10-3+b2 [s390x], 0.2.0.10-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
listing network interfaces in Haskell; profiling libraries
libghc-network-info-prof-0.2.0.10-1301f
本虛擬套件由這些套件填實: libghc-network-info-prof
libghc-network-info-prof-0.2.0.10-536b5
本虛擬套件由這些套件填實: libghc-network-info-prof
libghc-network-info-prof-0.2.0.10-7129a
本虛擬套件由這些套件填實: libghc-network-info-prof
libghc-network-info-prof-0.2.0.10-d46dc
本虛擬套件由這些套件填實: libghc-network-info-prof
libghc-network-info-prof-0.2.0.10-dc795
本虛擬套件由這些套件填實: libghc-network-info-prof
libghc-network-info-prof-0.2.0.10-e334f
本虛擬套件由這些套件填實: libghc-network-info-prof
libghc-network-multicast-dev (0.3.2-1+b2 [s390x], 0.3.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell multicast networking
libghc-network-multicast-dev-0.3.2-1767f
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-44ac9
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-4c38e
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-63d42
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-86f6e
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-b7733
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-ca7a0
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-dev-0.3.2-cd5f9
本虛擬套件由這些套件填實: libghc-network-multicast-dev
libghc-network-multicast-doc (0.3.2-1)
Haskell multicast networking; documentation
libghc-network-multicast-prof (0.3.2-1+b2 [s390x], 0.3.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell multicast networking; profiling libraries
libghc-network-multicast-prof-0.3.2-1767f
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-44ac9
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-4c38e
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-63d42
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-86f6e
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-b7733
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-ca7a0
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-multicast-prof-0.3.2-cd5f9
本虛擬套件由這些套件填實: libghc-network-multicast-prof
libghc-network-prof (3.1.1.1-1+b2 [s390x], 3.1.1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell low-level network library; profiling libraries
libghc-network-prof-3.1.1.1-000ea
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-09230
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-21af3
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-44ad7
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-464ab
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-bd5d3
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-e1848
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-prof-3.1.1.1-ea0ab
本虛擬套件由這些套件填實: libghc-network-prof
libghc-network-uri-dev (2.6.3.0-1+b2 [s390x], 2.6.3.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
URI manipulation
libghc-network-uri-dev-2.6.3.0-2a47c
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-3f329
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-539fc
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-7a4cb
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-82b6c
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-a53be
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-a7ae6
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-dev-2.6.3.0-cbbd3
本虛擬套件由這些套件填實: libghc-network-uri-dev
libghc-network-uri-doc (2.6.3.0-1)
URI manipulation; documentation
libghc-network-uri-prof (2.6.3.0-1+b2 [s390x], 2.6.3.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
URI manipulation; profiling libraries
libghc-network-uri-prof-2.6.3.0-2a47c
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-3f329
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-539fc
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-7a4cb
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-82b6c
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-a53be
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-a7ae6
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-network-uri-prof-2.6.3.0-cbbd3
本虛擬套件由這些套件填實: libghc-network-uri-prof
libghc-newtype-dev (0.2.2.0-1+b2 [s390x], 0.2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A typeclass for working with newtypes
libghc-newtype-dev-0.2.2.0-72a66
本虛擬套件由這些套件填實: libghc-newtype-dev
libghc-newtype-dev-0.2.2.0-85931
本虛擬套件由這些套件填實: libghc-newtype-dev
libghc-newtype-dev-0.2.2.0-adc45
本虛擬套件由這些套件填實: libghc-newtype-dev
libghc-newtype-dev-0.2.2.0-daa8b
本虛擬套件由這些套件填實: libghc-newtype-dev
libghc-newtype-dev-0.2.2.0-e1218
本虛擬套件由這些套件填實: libghc-newtype-dev
libghc-newtype-doc (0.2.2.0-1)
A typeclass for working with newtypes; documentation
libghc-newtype-generics-dev (0.5.4-1+b2 [s390x], 0.5.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Typeclass and set of functions for working with newtypes
libghc-newtype-generics-dev-0.5.4-42218
本虛擬套件由這些套件填實: libghc-newtype-generics-dev
libghc-newtype-generics-dev-0.5.4-6ec50
本虛擬套件由這些套件填實: libghc-newtype-generics-dev
libghc-newtype-generics-dev-0.5.4-93679
本虛擬套件由這些套件填實: libghc-newtype-generics-dev
libghc-newtype-generics-dev-0.5.4-ac041
本虛擬套件由這些套件填實: libghc-newtype-generics-dev
libghc-newtype-generics-dev-0.5.4-e8cb0
本虛擬套件由這些套件填實: libghc-newtype-generics-dev
libghc-newtype-generics-doc (0.5.4-1)
Typeclass and set of functions for working with newtypes; documentation
libghc-newtype-generics-prof (0.5.4-1+b2 [s390x], 0.5.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Typeclass and set of functions for working with newtypes; profiling libraries
libghc-newtype-generics-prof-0.5.4-42218
本虛擬套件由這些套件填實: libghc-newtype-generics-prof
libghc-newtype-generics-prof-0.5.4-6ec50
本虛擬套件由這些套件填實: libghc-newtype-generics-prof
libghc-newtype-generics-prof-0.5.4-93679
本虛擬套件由這些套件填實: libghc-newtype-generics-prof
libghc-newtype-generics-prof-0.5.4-ac041
本虛擬套件由這些套件填實: libghc-newtype-generics-prof
libghc-newtype-generics-prof-0.5.4-e8cb0
本虛擬套件由這些套件填實: libghc-newtype-generics-prof
libghc-newtype-prof (0.2.2.0-1+b2 [s390x], 0.2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A typeclass for working with newtypes; profiling libraries
libghc-newtype-prof-0.2.2.0-72a66
本虛擬套件由這些套件填實: libghc-newtype-prof
libghc-newtype-prof-0.2.2.0-85931
本虛擬套件由這些套件填實: libghc-newtype-prof
libghc-newtype-prof-0.2.2.0-adc45
本虛擬套件由這些套件填實: libghc-newtype-prof
libghc-newtype-prof-0.2.2.0-daa8b
本虛擬套件由這些套件填實: libghc-newtype-prof
libghc-newtype-prof-0.2.2.0-e1218
本虛擬套件由這些套件填實: libghc-newtype-prof
libghc-nonce-dev (1.0.7-4+b3 [s390x], 1.0.7-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.7-4+b1 [mips64el, mipsel])
generate cryptographic nonces
libghc-nonce-dev-1.0.7-2ed40
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-4cee2
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-4e1ac
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-764c4
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-92c8c
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-94a20
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-c852a
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-d644c
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-dev-1.0.7-de1ba
本虛擬套件由這些套件填實: libghc-nonce-dev
libghc-nonce-doc (1.0.7-4)
generate cryptographic nonces; documentation
libghc-nonce-prof (1.0.7-4+b3 [s390x], 1.0.7-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.7-4+b1 [mips64el, mipsel])
generate cryptographic nonces; profiling libraries
libghc-nonce-prof-1.0.7-2ed40
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-4cee2
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-4e1ac
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-764c4
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-92c8c
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-94a20
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-c852a
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-d644c
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-nonce-prof-1.0.7-de1ba
本虛擬套件由這些套件填實: libghc-nonce-prof
libghc-numbers-dev (3000.2.0.2-3+b2 [s390x], 3000.2.0.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Various number types
libghc-numbers-dev-3000.2.0.2-03d5e
本虛擬套件由這些套件填實: libghc-numbers-dev
libghc-numbers-dev-3000.2.0.2-1c7e5
本虛擬套件由這些套件填實: libghc-numbers-dev
libghc-numbers-dev-3000.2.0.2-951bf
本虛擬套件由這些套件填實: libghc-numbers-dev
libghc-numbers-dev-3000.2.0.2-9b472
本虛擬套件由這些套件填實: libghc-numbers-dev
libghc-numbers-dev-3000.2.0.2-b9b21
本虛擬套件由這些套件填實: libghc-numbers-dev
libghc-numbers-doc (3000.2.0.2-3)
Various number types; documentation
libghc-numbers-prof (3000.2.0.2-3+b2 [s390x], 3000.2.0.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Various number types; profiling libraries
libghc-numbers-prof-3000.2.0.2-03d5e
本虛擬套件由這些套件填實: libghc-numbers-prof
libghc-numbers-prof-3000.2.0.2-1c7e5
本虛擬套件由這些套件填實: libghc-numbers-prof
libghc-numbers-prof-3000.2.0.2-951bf
本虛擬套件由這些套件填實: libghc-numbers-prof
libghc-numbers-prof-3000.2.0.2-9b472
本虛擬套件由這些套件填實: libghc-numbers-prof
libghc-numbers-prof-3000.2.0.2-b9b21
本虛擬套件由這些套件填實: libghc-numbers-prof
libghc-numeric-extras-dev (0.1-6+b2 [s390x], 0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Useful tools from the C standard library
libghc-numeric-extras-dev-0.1-57f91
本虛擬套件由這些套件填實: libghc-numeric-extras-dev
libghc-numeric-extras-dev-0.1-7c601
本虛擬套件由這些套件填實: libghc-numeric-extras-dev
libghc-numeric-extras-dev-0.1-b0692
本虛擬套件由這些套件填實: libghc-numeric-extras-dev
libghc-numeric-extras-dev-0.1-dad05
本虛擬套件由這些套件填實: libghc-numeric-extras-dev
libghc-numeric-extras-dev-0.1-edc5c
本虛擬套件由這些套件填實: libghc-numeric-extras-dev
libghc-numeric-extras-doc (0.1-6)
Useful tools from the C standard library; documentation
libghc-numeric-extras-prof (0.1-6+b2 [s390x], 0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Useful tools from the C standard library; profiling libraries
libghc-numeric-extras-prof-0.1-57f91
本虛擬套件由這些套件填實: libghc-numeric-extras-prof
libghc-numeric-extras-prof-0.1-7c601
本虛擬套件由這些套件填實: libghc-numeric-extras-prof
libghc-numeric-extras-prof-0.1-b0692
本虛擬套件由這些套件填實: libghc-numeric-extras-prof
libghc-numeric-extras-prof-0.1-dad05
本虛擬套件由這些套件填實: libghc-numeric-extras-prof
libghc-numeric-extras-prof-0.1-edc5c
本虛擬套件由這些套件填實: libghc-numeric-extras-prof
libghc-numinstances-dev (1.4-9+b2 [s390x], 1.4-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Instances of numeric classes for functions and tuples
libghc-numinstances-dev-1.4-4a483
本虛擬套件由這些套件填實: libghc-numinstances-dev
libghc-numinstances-dev-1.4-5ece4
本虛擬套件由這些套件填實: libghc-numinstances-dev
libghc-numinstances-dev-1.4-886d7
本虛擬套件由這些套件填實: libghc-numinstances-dev
libghc-numinstances-dev-1.4-c94ac
本虛擬套件由這些套件填實: libghc-numinstances-dev
libghc-numinstances-doc (1.4-9)
Instances of numeric classes for functions and tuples; documentation
libghc-numinstances-prof (1.4-9+b2 [s390x], 1.4-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Instances of numeric classes for functions and tuples; profiling libraries
libghc-numinstances-prof-1.4-4a483
本虛擬套件由這些套件填實: libghc-numinstances-prof
libghc-numinstances-prof-1.4-5ece4
本虛擬套件由這些套件填實: libghc-numinstances-prof
libghc-numinstances-prof-1.4-886d7
本虛擬套件由這些套件填實: libghc-numinstances-prof
libghc-numinstances-prof-1.4-c94ac
本虛擬套件由這些套件填實: libghc-numinstances-prof
libghc-numtype-dev (1.2-6+b2 [s390x], 1.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type-level (low cardinality) integers
libghc-numtype-dev-1.2-2ce2f
本虛擬套件由這些套件填實: libghc-numtype-dev
libghc-numtype-dev-1.2-98c56
本虛擬套件由這些套件填實: libghc-numtype-dev
libghc-numtype-dev-1.2-9b764
本虛擬套件由這些套件填實: libghc-numtype-dev
libghc-numtype-dev-1.2-b674c
本虛擬套件由這些套件填實: libghc-numtype-dev
libghc-numtype-dk-dev (0.5.0.2-2+b2 [s390x], 0.5.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type-level integers without fundeps
libghc-numtype-dk-dev-0.5.0.2-1806d
本虛擬套件由這些套件填實: libghc-numtype-dk-dev
libghc-numtype-dk-dev-0.5.0.2-3d50e
本虛擬套件由這些套件填實: libghc-numtype-dk-dev
libghc-numtype-dk-dev-0.5.0.2-4914b
本虛擬套件由這些套件填實: libghc-numtype-dk-dev
libghc-numtype-dk-dev-0.5.0.2-5c5ea
本虛擬套件由這些套件填實: libghc-numtype-dk-dev
libghc-numtype-dk-doc (0.5.0.2-2)
type-level integers without fundeps; documentation
libghc-numtype-dk-prof (0.5.0.2-2+b2 [s390x], 0.5.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type-level integers without fundeps; profiling libraries
libghc-numtype-dk-prof-0.5.0.2-1806d
本虛擬套件由這些套件填實: libghc-numtype-dk-prof
libghc-numtype-dk-prof-0.5.0.2-3d50e
本虛擬套件由這些套件填實: libghc-numtype-dk-prof
libghc-numtype-dk-prof-0.5.0.2-4914b
本虛擬套件由這些套件填實: libghc-numtype-dk-prof
libghc-numtype-dk-prof-0.5.0.2-5c5ea
本虛擬套件由這些套件填實: libghc-numtype-dk-prof
libghc-numtype-doc (1.2-6)
type-level (low cardinality) integers; documentation
libghc-numtype-prof (1.2-6+b2 [s390x], 1.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type-level (low cardinality) integers; profiling libraries
libghc-numtype-prof-1.2-2ce2f
本虛擬套件由這些套件填實: libghc-numtype-prof
libghc-numtype-prof-1.2-98c56
本虛擬套件由這些套件填實: libghc-numtype-prof
libghc-numtype-prof-1.2-9b764
本虛擬套件由這些套件填實: libghc-numtype-prof
libghc-numtype-prof-1.2-b674c
本虛擬套件由這些套件填實: libghc-numtype-prof
libghc-objectname-dev (1.1.0.1-6+b2 [s390x], 1.1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Explicitly handled object names
libghc-objectname-dev-1.1.0.1-0f4a1
本虛擬套件由這些套件填實: libghc-objectname-dev
libghc-objectname-dev-1.1.0.1-78986
本虛擬套件由這些套件填實: libghc-objectname-dev
libghc-objectname-dev-1.1.0.1-7f8e6
本虛擬套件由這些套件填實: libghc-objectname-dev
libghc-objectname-dev-1.1.0.1-e6a23
本虛擬套件由這些套件填實: libghc-objectname-dev
libghc-objectname-doc (1.1.0.1-6)
Explicitly handled object names; documentation
libghc-objectname-prof (1.1.0.1-6+b2 [s390x], 1.1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Explicitly handled object names; profiling libraries
libghc-objectname-prof-1.1.0.1-0f4a1
本虛擬套件由這些套件填實: libghc-objectname-prof
libghc-objectname-prof-1.1.0.1-78986
本虛擬套件由這些套件填實: libghc-objectname-prof
libghc-objectname-prof-1.1.0.1-7f8e6
本虛擬套件由這些套件填實: libghc-objectname-prof
libghc-objectname-prof-1.1.0.1-e6a23
本虛擬套件由這些套件填實: libghc-objectname-prof
libghc-oeis-dev (0.3.10-1+b2 [s390x], 0.3.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Interface to the Online Encyclopedia of Integer Sequences
libghc-oeis-dev-0.3.10-22f12
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-37f55
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-443d7
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-5f0f4
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-80c59
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-87950
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-b606c
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-dev-0.3.10-cfb48
本虛擬套件由這些套件填實: libghc-oeis-dev
libghc-oeis-doc (0.3.10-1)
Interface to the Online Encyclopedia of Integer Sequences; documentation
libghc-oeis-prof (0.3.10-1+b2 [s390x], 0.3.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Interface to the Online Encyclopedia of Integer Sequences; profiling libraries
libghc-oeis-prof-0.3.10-22f12
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-37f55
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-443d7
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-5f0f4
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-80c59
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-87950
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-b606c
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-oeis-prof-0.3.10-cfb48
本虛擬套件由這些套件填實: libghc-oeis-prof
libghc-ofx-dev (0.4.4.0-1+b2 [s390x], 0.4.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parser for Open Financial Exchange (OFX) financial data
libghc-ofx-dev-0.4.4.0-23dc8
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-6e167
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-74c6e
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-7519e
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-7cdf8
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-a91cd
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-aaa03
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-dev-0.4.4.0-c3770
本虛擬套件由這些套件填實: libghc-ofx-dev
libghc-ofx-doc (0.4.4.0-1)
parser for Open Financial Exchange (OFX) financial data; documentation
libghc-ofx-prof (0.4.4.0-1+b2 [s390x], 0.4.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parser for Open Financial Exchange (OFX) financial data; profiling libraries
libghc-ofx-prof-0.4.4.0-23dc8
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-6e167
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-74c6e
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-7519e
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-7cdf8
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-a91cd
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-aaa03
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-ofx-prof-0.4.4.0-c3770
本虛擬套件由這些套件填實: libghc-ofx-prof
libghc-old-locale-dev (1.0.0.7-9+b2 [s390x], 1.0.0.7-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
locale library
libghc-old-locale-dev-1.0.0.7-03ad6
本虛擬套件由這些套件填實: libghc-old-locale-dev
libghc-old-locale-dev-1.0.0.7-2d137
本虛擬套件由這些套件填實: libghc-old-locale-dev
libghc-old-locale-dev-1.0.0.7-3e30f
本虛擬套件由這些套件填實: libghc-old-locale-dev
libghc-old-locale-dev-1.0.0.7-93114
本虛擬套件由這些套件填實: libghc-old-locale-dev
libghc-old-locale-doc (1.0.0.7-9)
locale library; documentation
libghc-old-locale-prof (1.0.0.7-9+b2 [s390x], 1.0.0.7-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
locale library; profiling libraries
libghc-old-locale-prof-1.0.0.7-03ad6
本虛擬套件由這些套件填實: libghc-old-locale-prof
libghc-old-locale-prof-1.0.0.7-2d137
本虛擬套件由這些套件填實: libghc-old-locale-prof
libghc-old-locale-prof-1.0.0.7-3e30f
本虛擬套件由這些套件填實: libghc-old-locale-prof
libghc-old-locale-prof-1.0.0.7-93114
本虛擬套件由這些套件填實: libghc-old-locale-prof
libghc-old-time-dev (1.1.0.3-10+b2 [s390x], 1.1.0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
time library
libghc-old-time-dev-1.1.0.3-182eb
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-dev-1.1.0.3-6f86f
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-dev-1.1.0.3-91fc1
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-dev-1.1.0.3-b23ec
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-dev-1.1.0.3-bdd75
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-dev-1.1.0.3-c07a8
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-dev-1.1.0.3-db8eb
本虛擬套件由這些套件填實: libghc-old-time-dev
libghc-old-time-doc (1.1.0.3-10)
time library; documentation
libghc-old-time-prof (1.1.0.3-10+b2 [s390x], 1.1.0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
time library; profiling libraries
libghc-old-time-prof-1.1.0.3-182eb
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-old-time-prof-1.1.0.3-6f86f
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-old-time-prof-1.1.0.3-91fc1
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-old-time-prof-1.1.0.3-b23ec
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-old-time-prof-1.1.0.3-bdd75
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-old-time-prof-1.1.0.3-c07a8
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-old-time-prof-1.1.0.3-db8eb
本虛擬套件由這些套件填實: libghc-old-time-prof
libghc-onetuple-dev (0.2.2.1-1+b2 [s390x], 0.2.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
singleton tuple
libghc-onetuple-dev-0.2.2.1-1368a
本虛擬套件由這些套件填實: libghc-onetuple-dev
libghc-onetuple-dev-0.2.2.1-600b7
本虛擬套件由這些套件填實: libghc-onetuple-dev
libghc-onetuple-dev-0.2.2.1-68b02
本虛擬套件由這些套件填實: libghc-onetuple-dev
libghc-onetuple-dev-0.2.2.1-abb1e
本虛擬套件由這些套件填實: libghc-onetuple-dev
libghc-onetuple-dev-0.2.2.1-e33e7
本虛擬套件由這些套件填實: libghc-onetuple-dev
libghc-onetuple-doc (0.2.2.1-1)
singleton tuple; documentation
libghc-onetuple-prof (0.2.2.1-1+b2 [s390x], 0.2.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
singleton tuple; profiling libraries
libghc-onetuple-prof-0.2.2.1-1368a
本虛擬套件由這些套件填實: libghc-onetuple-prof
libghc-onetuple-prof-0.2.2.1-600b7
本虛擬套件由這些套件填實: libghc-onetuple-prof
libghc-onetuple-prof-0.2.2.1-68b02
本虛擬套件由這些套件填實: libghc-onetuple-prof
libghc-onetuple-prof-0.2.2.1-abb1e
本虛擬套件由這些套件填實: libghc-onetuple-prof
libghc-onetuple-prof-0.2.2.1-e33e7
本虛擬套件由這些套件填實: libghc-onetuple-prof
libghc-only-dev (0.1-3+b2 [s390x], 0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
1-tuple type or single-value "collection"
libghc-only-dev-0.1-210f4
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-45dd0
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-6161c
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-78dea
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-9219f
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-a1d97
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-e3446
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-dev-0.1-efc3e
本虛擬套件由這些套件填實: libghc-only-dev
libghc-only-doc (0.1-3)
1-tuple type or single-value "collection"; documentation
libghc-only-prof (0.1-3+b2 [s390x], 0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
1-tuple type or single-value "collection"; profiling libraries
libghc-only-prof-0.1-210f4
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-45dd0
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-6161c
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-78dea
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-9219f
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-a1d97
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-e3446
本虛擬套件由這些套件填實: libghc-only-prof
libghc-only-prof-0.1-efc3e
本虛擬套件由這些套件填實: libghc-only-prof
libghc-oo-prototypes-dev (0.1.0.0-8+b2 [s390x], 0.1.0.0-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Support for OO-like prototypes
libghc-oo-prototypes-dev-0.1.0.0-9371a
本虛擬套件由這些套件填實: libghc-oo-prototypes-dev
libghc-oo-prototypes-dev-0.1.0.0-a25a4
本虛擬套件由這些套件填實: libghc-oo-prototypes-dev
libghc-oo-prototypes-dev-0.1.0.0-bf58b
本虛擬套件由這些套件填實: libghc-oo-prototypes-dev
libghc-oo-prototypes-dev-0.1.0.0-ea409
本虛擬套件由這些套件填實: libghc-oo-prototypes-dev
libghc-oo-prototypes-doc (0.1.0.0-8)
Support for OO-like prototypes; documentation
libghc-oo-prototypes-prof (0.1.0.0-8+b2 [s390x], 0.1.0.0-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Support for OO-like prototypes; profiling libraries
libghc-oo-prototypes-prof-0.1.0.0-9371a
本虛擬套件由這些套件填實: libghc-oo-prototypes-prof
libghc-oo-prototypes-prof-0.1.0.0-a25a4
本虛擬套件由這些套件填實: libghc-oo-prototypes-prof
libghc-oo-prototypes-prof-0.1.0.0-bf58b
本虛擬套件由這些套件填實: libghc-oo-prototypes-prof
libghc-oo-prototypes-prof-0.1.0.0-ea409
本虛擬套件由這些套件填實: libghc-oo-prototypes-prof
libghc-open-browser-dev (0.2.1.0-7+b2 [s390x], 0.2.1.0-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
open a web browser from Haskell
libghc-open-browser-dev-0.2.1.0-0216d
本虛擬套件由這些套件填實: libghc-open-browser-dev
libghc-open-browser-dev-0.2.1.0-09e4a
本虛擬套件由這些套件填實: libghc-open-browser-dev
libghc-open-browser-dev-0.2.1.0-40d59
本虛擬套件由這些套件填實: libghc-open-browser-dev
libghc-open-browser-dev-0.2.1.0-43aec
本虛擬套件由這些套件填實: libghc-open-browser-dev
libghc-open-browser-dev-0.2.1.0-b21d1
本虛擬套件由這些套件填實: libghc-open-browser-dev
libghc-open-browser-dev-0.2.1.0-e8c0e
本虛擬套件由這些套件填實: libghc-open-browser-dev
libghc-open-browser-doc (0.2.1.0-7)
open a web browser from Haskell; documentation
libghc-open-browser-prof (0.2.1.0-7+b2 [s390x], 0.2.1.0-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
open a web browser from Haskell; profiling libraries
libghc-open-browser-prof-0.2.1.0-0216d
本虛擬套件由這些套件填實: libghc-open-browser-prof
libghc-open-browser-prof-0.2.1.0-09e4a
本虛擬套件由這些套件填實: libghc-open-browser-prof
libghc-open-browser-prof-0.2.1.0-40d59
本虛擬套件由這些套件填實: libghc-open-browser-prof
libghc-open-browser-prof-0.2.1.0-43aec
本虛擬套件由這些套件填實: libghc-open-browser-prof
libghc-open-browser-prof-0.2.1.0-b21d1
本虛擬套件由這些套件填實: libghc-open-browser-prof
libghc-open-browser-prof-0.2.1.0-e8c0e
本虛擬套件由這些套件填實: libghc-open-browser-prof
libghc-opengl-dev (3.0.3.0-2+b2 [s390x], 3.0.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell OpenGL binding for GHC
libghc-opengl-dev-3.0.3.0-23e24
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-244e0
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-41908
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-49f0c
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-91e5b
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-952b6
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-b268d
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-dev-3.0.3.0-c76f5
本虛擬套件由這些套件填實: libghc-opengl-dev
libghc-opengl-doc (3.0.3.0-2)
Haskell OpenGL binding for GHC; documentation
libghc-opengl-prof (3.0.3.0-2+b2 [s390x], 3.0.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell OpenGL binding for GHC; profiling libraries
libghc-opengl-prof-3.0.3.0-23e24
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-244e0
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-41908
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-49f0c
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-91e5b
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-952b6
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-b268d
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-opengl-prof-3.0.3.0-c76f5
本虛擬套件由這些套件填實: libghc-opengl-prof
libghc-openglraw-dev (3.3.4.0-1+b2 [s390x], 3.3.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Raw binding for the OpenGL graphics system
libghc-openglraw-dev-3.3.4.0-555e3
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-70984
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-867e8
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-9cee6
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-b6369
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-bb8c6
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-c8474
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-dev-3.3.4.0-d24bd
本虛擬套件由這些套件填實: libghc-openglraw-dev
libghc-openglraw-doc (3.3.4.0-1)
Raw binding for the OpenGL graphics system; documentation
libghc-openglraw-prof (3.3.4.0-1+b2 [s390x], 3.3.4.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Raw binding for the OpenGL graphics system; profiling libraries
libghc-openglraw-prof-3.3.4.0-555e3
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-70984
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-867e8
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-9cee6
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-b6369
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-bb8c6
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-c8474
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openglraw-prof-3.3.4.0-d24bd
本虛擬套件由這些套件填實: libghc-openglraw-prof
libghc-openpgp-asciiarmor-dev (0.1.2-2+b2 [s390x], 0.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
OpenPGP (RFC4880) ASCII Armor codec
libghc-openpgp-asciiarmor-dev-0.1.2-1d028
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-33f0f
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-645e2
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-7858e
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-7f8f5
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-ae4de
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-b0af5
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-bfc0e
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-dev-0.1.2-ce91b
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-dev
libghc-openpgp-asciiarmor-doc (0.1.2-2)
OpenPGP (RFC4880) ASCII Armor codec; documentation
libghc-openpgp-asciiarmor-prof (0.1.2-2+b2 [s390x], 0.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
OpenPGP (RFC4880) ASCII Armor codec; profiling libraries
libghc-openpgp-asciiarmor-prof-0.1.2-1d028
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-33f0f
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-645e2
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-7858e
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-7f8f5
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-ae4de
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-b0af5
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-bfc0e
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openpgp-asciiarmor-prof-0.1.2-ce91b
本虛擬套件由這些套件填實: libghc-openpgp-asciiarmor-prof
libghc-openssl-streams-dev (1.2.2.0-3+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.2.2.0-3 [mips64el, mipsel])
OpenSSL network support for io-streams
libghc-openssl-streams-dev-1.2.2.0-394b8
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-6a006
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-99977
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-9e7a4
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-a823d
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-c84ae
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-ef7c8
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-dev-1.2.2.0-f5e69
本虛擬套件由這些套件填實: libghc-openssl-streams-dev
libghc-openssl-streams-doc (1.2.2.0-3)
OpenSSL network support for io-streams; documentation
libghc-openssl-streams-prof (1.2.2.0-3+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.2.2.0-3 [mips64el, mipsel])
OpenSSL network support for io-streams; profiling libraries
libghc-openssl-streams-prof-1.2.2.0-394b8
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-6a006
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-99977
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-9e7a4
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-a823d
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-c84ae
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-ef7c8
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-openssl-streams-prof-1.2.2.0-f5e69
本虛擬套件由這些套件填實: libghc-openssl-streams-prof
libghc-operational-dev (0.2.3.5-5+b2 [s390x], 0.2.3.5-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of difficult monads made easy with operational semantics
libghc-operational-dev-0.2.3.5-05f5a
本虛擬套件由這些套件填實: libghc-operational-dev
libghc-operational-dev-0.2.3.5-3c6bc
本虛擬套件由這些套件填實: libghc-operational-dev
libghc-operational-dev-0.2.3.5-7aff6
本虛擬套件由這些套件填實: libghc-operational-dev
libghc-operational-dev-0.2.3.5-9292d
本虛擬套件由這些套件填實: libghc-operational-dev
libghc-operational-dev-0.2.3.5-e981d
本虛擬套件由這些套件填實: libghc-operational-dev
libghc-operational-doc (0.2.3.5-5)
implementation of difficult monads made easy with operational semantics; documentation
libghc-operational-prof (0.2.3.5-5+b2 [s390x], 0.2.3.5-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of difficult monads made easy with operational semantics; profiling libraries
libghc-operational-prof-0.2.3.5-05f5a
本虛擬套件由這些套件填實: libghc-operational-prof
libghc-operational-prof-0.2.3.5-3c6bc
本虛擬套件由這些套件填實: libghc-operational-prof
libghc-operational-prof-0.2.3.5-7aff6
本虛擬套件由這些套件填實: libghc-operational-prof
libghc-operational-prof-0.2.3.5-9292d
本虛擬套件由這些套件填實: libghc-operational-prof
libghc-operational-prof-0.2.3.5-e981d
本虛擬套件由這些套件填實: libghc-operational-prof
libghc-optional-args-dev (1.0.2-2+b2 [s390x], 1.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
optional function arguments
libghc-optional-args-dev-1.0.2-341dd
本虛擬套件由這些套件填實: libghc-optional-args-dev
libghc-optional-args-dev-1.0.2-a6f74
本虛擬套件由這些套件填實: libghc-optional-args-dev
libghc-optional-args-dev-1.0.2-b775f
本虛擬套件由這些套件填實: libghc-optional-args-dev
libghc-optional-args-dev-1.0.2-ecc39
本虛擬套件由這些套件填實: libghc-optional-args-dev
libghc-optional-args-dev-1.0.2-edde4
本虛擬套件由這些套件填實: libghc-optional-args-dev
libghc-optional-args-doc (1.0.2-2)
optional function arguments; documentation
libghc-optional-args-prof (1.0.2-2+b2 [s390x], 1.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
optional function arguments; profiling libraries
libghc-optional-args-prof-1.0.2-341dd
本虛擬套件由這些套件填實: libghc-optional-args-prof
libghc-optional-args-prof-1.0.2-a6f74
本虛擬套件由這些套件填實: libghc-optional-args-prof
libghc-optional-args-prof-1.0.2-b775f
本虛擬套件由這些套件填實: libghc-optional-args-prof
libghc-optional-args-prof-1.0.2-ecc39
本虛擬套件由這些套件填實: libghc-optional-args-prof
libghc-optional-args-prof-1.0.2-edde4
本虛擬套件由這些套件填實: libghc-optional-args-prof
libghc-options-dev (1.2.1.1-9+b2 [s390x], 1.2.1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for parsing command-line options
libghc-options-dev-1.2.1.1-1f10c
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-2a37f
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-598a3
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-638bb
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-9247f
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-99f72
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-a5541
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-dev-1.2.1.1-d9906
本虛擬套件由這些套件填實: libghc-options-dev
libghc-options-doc (1.2.1.1-9)
Haskell library for parsing command-line options; documentation
libghc-options-prof (1.2.1.1-9+b2 [s390x], 1.2.1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for parsing command-line options; profiling libraries
libghc-options-prof-1.2.1.1-1f10c
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-2a37f
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-598a3
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-638bb
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-9247f
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-99f72
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-a5541
本虛擬套件由這些套件填實: libghc-options-prof
libghc-options-prof-1.2.1.1-d9906
本虛擬套件由這些套件填實: libghc-options-prof
libghc-optparse-applicative-dev (0.15.1.0-1+b2 [s390x], 0.15.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utilities and combinators for parsing command-line options
libghc-optparse-applicative-dev-0.15.1.0-06fe0
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-218fd
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-24ab2
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-2f940
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-4cd15
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-5d58e
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-b1ffb
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-dev-0.15.1.0-f1dfc
本虛擬套件由這些套件填實: libghc-optparse-applicative-dev
libghc-optparse-applicative-doc (0.15.1.0-1)
utilities and combinators for parsing command-line options; documentation
libghc-optparse-applicative-prof (0.15.1.0-1+b2 [s390x], 0.15.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utilities and combinators for parsing command-line options; profiling libraries
libghc-optparse-applicative-prof-0.15.1.0-06fe0
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-218fd
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-24ab2
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-2f940
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-4cd15
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-5d58e
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-b1ffb
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-applicative-prof-0.15.1.0-f1dfc
本虛擬套件由這些套件填實: libghc-optparse-applicative-prof
libghc-optparse-simple-dev (0.1.1.2-2+b2 [s390x], 0.1.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple interface to optparse-applicative
libghc-optparse-simple-dev-0.1.1.2-3aee3
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-44752
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-52dbc
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-5bb75
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-6881e
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-6cbf7
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-8095e
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-dev-0.1.1.2-d3206
本虛擬套件由這些套件填實: libghc-optparse-simple-dev
libghc-optparse-simple-doc (0.1.1.2-2)
simple interface to optparse-applicative; documentation
libghc-optparse-simple-prof (0.1.1.2-2+b2 [s390x], 0.1.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple interface to optparse-applicative; profiling libraries
libghc-optparse-simple-prof-0.1.1.2-3aee3
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-44752
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-52dbc
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-5bb75
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-6881e
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-6cbf7
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-8095e
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-optparse-simple-prof-0.1.1.2-d3206
本虛擬套件由這些套件填實: libghc-optparse-simple-prof
libghc-ordered-containers-dev (0.2.2-2+b1)
Ordered Containers for Haskell
libghc-ordered-containers-dev-0.2.2-149f4
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-265a9
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-34518
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-4cbec
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-ab3dc
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-affa1
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-ccdbe
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-dev-0.2.2-e6d6c
本虛擬套件由這些套件填實: libghc-ordered-containers-dev
libghc-ordered-containers-doc (0.2.2-2)
Ordered Containers for Haskell; documentation
libghc-ordered-containers-prof (0.2.2-2+b1)
Ordered Containers for Haskell; profiling libraries
libghc-ordered-containers-prof-0.2.2-149f4
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-265a9
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-34518
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-4cbec
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-ab3dc
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-affa1
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-ccdbe
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ordered-containers-prof-0.2.2-e6d6c
本虛擬套件由這些套件填實: libghc-ordered-containers-prof
libghc-ormolu-dev (0.1.2.0-1)
formatter for Haskell source code
libghc-ormolu-dev-0.1.2.0-70d9d
本虛擬套件由這些套件填實: libghc-ormolu-dev
libghc-ormolu-dev-0.1.2.0-9b2bd
本虛擬套件由這些套件填實: libghc-ormolu-dev
libghc-ormolu-dev-0.1.2.0-a1c21
本虛擬套件由這些套件填實: libghc-ormolu-dev
libghc-ormolu-dev-0.1.2.0-a3efd
本虛擬套件由這些套件填實: libghc-ormolu-dev
libghc-ormolu-dev-0.1.2.0-b027d
本虛擬套件由這些套件填實: libghc-ormolu-dev
libghc-ormolu-doc (0.1.2.0-1)
formatter for Haskell source code; documentation
libghc-ormolu-prof (0.1.2.0-1)
formatter for Haskell source code; profiling libraries
libghc-ormolu-prof-0.1.2.0-70d9d
本虛擬套件由這些套件填實: libghc-ormolu-prof
libghc-ormolu-prof-0.1.2.0-9b2bd
本虛擬套件由這些套件填實: libghc-ormolu-prof
libghc-ormolu-prof-0.1.2.0-a1c21
本虛擬套件由這些套件填實: libghc-ormolu-prof
libghc-ormolu-prof-0.1.2.0-a3efd
本虛擬套件由這些套件填實: libghc-ormolu-prof
libghc-ormolu-prof-0.1.2.0-b027d
本虛擬套件由這些套件填實: libghc-ormolu-prof
libghc-pandoc-citeproc-data (0.17.0.1-1)
Pandoc support for Citation Style Language - data files
libghc-pandoc-citeproc-dev (0.17.0.1-1+b2)
support for using pandoc with citeproc
libghc-pandoc-citeproc-dev-0.17.0.1-0f23e
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-55589
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-7c363
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-83f96
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-8f82c
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-b3af2
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-bb51c
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-cc403
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-dev-0.17.0.1-e3022
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-dev
libghc-pandoc-citeproc-doc (0.17.0.1-1)
support for using pandoc with citeproc; documentation
libghc-pandoc-citeproc-prof (0.17.0.1-1+b2)
support for using pandoc with citeproc; profiling libraries
libghc-pandoc-citeproc-prof-0.17.0.1-0f23e
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-55589
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-7c363
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-83f96
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-8f82c
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-b3af2
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-bb51c
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-cc403
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-citeproc-prof-0.17.0.1-e3022
本虛擬套件由這些套件填實: libghc-pandoc-citeproc-prof
libghc-pandoc-dev (2.9.2.1-1+deb11u1)
general markup converter - libraries
libghc-pandoc-dev-2.9.2.1-026c1
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-0e28b
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-1cda5
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-23a44
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-5356c
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-84c8d
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-a1332
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-bae36
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-dev-2.9.2.1-c70aa
本虛擬套件由這些套件填實: libghc-pandoc-dev
libghc-pandoc-doc (2.9.2.1-1+deb11u1)
general markup converter - library documentation
libghc-pandoc-prof (2.9.2.1-1+deb11u1)
general markup converter - profiling libraries
libghc-pandoc-prof-2.9.2.1-026c1
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-0e28b
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-1cda5
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-23a44
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-5356c
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-84c8d
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-a1332
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-bae36
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-prof-2.9.2.1-c70aa
本虛擬套件由這些套件填實: libghc-pandoc-prof
libghc-pandoc-sidenote-dev (0.20.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.20.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
footnotes-to-sidenotes converter for Pandoc - libraries
libghc-pandoc-sidenote-dev-0.20.0.0-33fb4
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-78ced
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-8777b
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-9fb93
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-a3512
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-cf03f
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-d8953
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-dev-0.20.0.0-d8f29
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-dev
libghc-pandoc-sidenote-doc (0.20.0-1)
footnotes-to-sidenotes converter for Pandoc - library documentation
libghc-pandoc-sidenote-prof (0.20.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.20.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
footnotes-to-sidenotes converter for Pandoc - profiling libraries
libghc-pandoc-sidenote-prof-0.20.0.0-33fb4
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-78ced
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-8777b
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-9fb93
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-a3512
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-cf03f
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-d8953
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-sidenote-prof-0.20.0.0-d8f29
本虛擬套件由這些套件填實: libghc-pandoc-sidenote-prof
libghc-pandoc-types-dev (1.20-1+b3 [amd64, arm64, ppc64el, s390x], 1.20-1+b2 [armel, armhf, i386, mips64el, mipsel])
Haskell data types to represent structured documents
libghc-pandoc-types-dev-1.20-05f75
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-33d1a
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-52102
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-8b530
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-b87c6
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-cf602
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-e16ef
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-dev-1.20-f4e22
本虛擬套件由這些套件填實: libghc-pandoc-types-dev
libghc-pandoc-types-doc (1.20-1)
Haskell data types to represent structured documents; documentation
libghc-pandoc-types-prof (1.20-1+b3 [amd64, arm64, ppc64el, s390x], 1.20-1+b2 [armel, armhf, i386, mips64el, mipsel])
Haskell data types to represent structured documents; profiling libraries
libghc-pandoc-types-prof-1.20-05f75
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-33d1a
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-52102
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-8b530
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-b87c6
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-cf602
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-e16ef
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pandoc-types-prof-1.20-f4e22
本虛擬套件由這些套件填實: libghc-pandoc-types-prof
libghc-pango-dev (0.13.8.1-1)
binding to the Pango text rendering engine
libghc-pango-dev-0.13.8.1-0fbe0
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-3bbbd
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-3bea4
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-4dc36
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-7c605
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-7ccf8
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-cdbca
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-dev-0.13.8.1-d0197
本虛擬套件由這些套件填實: libghc-pango-dev
libghc-pango-doc (0.13.8.1-1)
Binding to the Pango text rendering engine; documentation
libghc-pango-prof (0.13.8.1-1)
Binding to the Pango text rendering engine; profiling libraries
libghc-pango-prof-0.13.8.1-0fbe0
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-3bbbd
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-3bea4
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-4dc36
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-7c605
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-7ccf8
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-cdbca
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-pango-prof-0.13.8.1-d0197
本虛擬套件由這些套件填實: libghc-pango-prof
libghc-panic-dev (0.4.0.1-2+b2 [s390x], 0.4.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convenient way to panic
libghc-panic-dev-0.4.0.1-11e01
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-1f9b2
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-3b1bb
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-3f9ac
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-62bf3
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-92228
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-df772
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-dev-0.4.0.1-e484c
本虛擬套件由這些套件填實: libghc-panic-dev
libghc-panic-doc (0.4.0.1-2)
convenient way to panic; documentation
libghc-panic-prof (0.4.0.1-2+b2 [s390x], 0.4.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
convenient way to panic; profiling libraries
libghc-panic-prof-0.4.0.1-11e01
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-1f9b2
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-3b1bb
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-3f9ac
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-62bf3
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-92228
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-df772
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-panic-prof-0.4.0.1-e484c
本虛擬套件由這些套件填實: libghc-panic-prof
libghc-pantry-dev (0.4.0.2-1)
Content addressable Haskell package management
libghc-pantry-dev-0.4.0.2-399c9
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-68b27
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-6ff89
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-7c6bd
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-b49e9
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-c3155
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-f2ccf
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-f5ee0
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-dev-0.4.0.2-facca
本虛擬套件由這些套件填實: libghc-pantry-dev
libghc-pantry-doc (0.4.0.2-1)
Content addressable Haskell package management; documentation
libghc-pantry-prof (0.4.0.2-1)
Content addressable Haskell package management; profiling libraries
libghc-pantry-prof-0.4.0.2-399c9
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-68b27
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-6ff89
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-7c6bd
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-b49e9
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-c3155
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-f2ccf
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-f5ee0
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-pantry-prof-0.4.0.2-facca
本虛擬套件由這些套件填實: libghc-pantry-prof
libghc-parallel-dev (3.2.2.0-3+b2 [s390x], 3.2.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell parallel programming library for GHC
libghc-parallel-dev-3.2.2.0-07492
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-1a8f0
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-5b977
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-6119f
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-6ed06
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-8cd5c
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-9d84d
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-dev-3.2.2.0-b7ed5
本虛擬套件由這些套件填實: libghc-parallel-dev
libghc-parallel-doc (3.2.2.0-3)
Haskell parallel programming library for GHC; documentation
libghc-parallel-prof (3.2.2.0-3+b2 [s390x], 3.2.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell parallel programming library for GHC; profiling libraries
libghc-parallel-prof-3.2.2.0-07492
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-1a8f0
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-5b977
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-6119f
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-6ed06
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-8cd5c
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-9d84d
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-prof-3.2.2.0-b7ed5
本虛擬套件由這些套件填實: libghc-parallel-prof
libghc-parallel-tree-search-dev (0.4.2-1)
Parallel Tree Search
libghc-parallel-tree-search-dev-0.4.2-047c3
本虛擬套件由這些套件填實: libghc-parallel-tree-search-dev
libghc-parallel-tree-search-dev-0.4.2-081ab
本虛擬套件由這些套件填實: libghc-parallel-tree-search-dev
libghc-parallel-tree-search-dev-0.4.2-cc353
本虛擬套件由這些套件填實: libghc-parallel-tree-search-dev
libghc-parallel-tree-search-dev-0.4.2-eef4b
本虛擬套件由這些套件填實: libghc-parallel-tree-search-dev
libghc-parallel-tree-search-doc (0.4.2-1)
Parallel Tree Search; documentation
libghc-parallel-tree-search-prof (0.4.2-1)
Parallel Tree Search; profiling libraries
libghc-parallel-tree-search-prof-0.4.2-047c3
本虛擬套件由這些套件填實: libghc-parallel-tree-search-prof
libghc-parallel-tree-search-prof-0.4.2-081ab
本虛擬套件由這些套件填實: libghc-parallel-tree-search-prof
libghc-parallel-tree-search-prof-0.4.2-cc353
本虛擬套件由這些套件填實: libghc-parallel-tree-search-prof
libghc-parallel-tree-search-prof-0.4.2-eef4b
本虛擬套件由這些套件填實: libghc-parallel-tree-search-prof
libghc-parseargs-dev (0.2.0.9-2+b2 [s390x], 0.2.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Command-line argument parsing library
libghc-parseargs-dev-0.2.0.9-03542
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-262f0
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-2b48e
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-5582d
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-89cfe
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-b327a
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-e7cfa
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-dev-0.2.0.9-f96ee
本虛擬套件由這些套件填實: libghc-parseargs-dev
libghc-parseargs-doc (0.2.0.9-2)
Command-line argument parsing library; documentation
libghc-parseargs-prof (0.2.0.9-2+b2 [s390x], 0.2.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Command-line argument parsing library; profiling libraries
libghc-parseargs-prof-0.2.0.9-03542
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-262f0
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-2b48e
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-5582d
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-89cfe
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-b327a
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-e7cfa
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parseargs-prof-0.2.0.9-f96ee
本虛擬套件由這些套件填實: libghc-parseargs-prof
libghc-parsec-dev-3.1.14.0-410a0
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-58dbb
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-62f23
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-665c4
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-7d68b
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-b0d22
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-c5ac5
本虛擬套件由這些套件填實: ghc
libghc-parsec-dev-3.1.14.0-d49e0
本虛擬套件由這些套件填實: ghc
libghc-parsec-numbers-dev (0.1.0-3+b2 [s390x], 0.1.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utilities for parsing numbers from strings
libghc-parsec-numbers-dev-0.1.0-06ec2
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-18445
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-2237b
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-6aba2
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-7b27f
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-9870a
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-becce
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-dev-0.1.0-cc256
本虛擬套件由這些套件填實: libghc-parsec-numbers-dev
libghc-parsec-numbers-doc (0.1.0-3)
utilities for parsing numbers from strings; documentation
libghc-parsec-numbers-prof (0.1.0-3+b2 [s390x], 0.1.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utilities for parsing numbers from strings; profiling libraries
libghc-parsec-numbers-prof-0.1.0-06ec2
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-18445
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-2237b
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-6aba2
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-7b27f
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-9870a
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-becce
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-numbers-prof-0.1.0-cc256
本虛擬套件由這些套件填實: libghc-parsec-numbers-prof
libghc-parsec-prof-3.1.14.0-410a0
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-58dbb
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-62f23
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-665c4
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-7d68b
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-b0d22
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-c5ac5
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec-prof-3.1.14.0-d49e0
本虛擬套件由這些套件填實: ghc-prof
libghc-parsec3-dev (= 3.1.14.0)
本虛擬套件由這些套件填實: ghc
libghc-parsec3-doc (= 3.1.14.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-parsec3-prof (= 3.1.14.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-parser-combinators-dev (1.2.1-1+b2 [s390x], 1.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
commonly useful parser combinators
libghc-parser-combinators-dev-1.2.1-2794f
本虛擬套件由這些套件填實: libghc-parser-combinators-dev
libghc-parser-combinators-dev-1.2.1-5e7d7
本虛擬套件由這些套件填實: libghc-parser-combinators-dev
libghc-parser-combinators-dev-1.2.1-e00d7
本虛擬套件由這些套件填實: libghc-parser-combinators-dev
libghc-parser-combinators-dev-1.2.1-f22c0
本虛擬套件由這些套件填實: libghc-parser-combinators-dev
libghc-parser-combinators-doc (1.2.1-1)
commonly useful parser combinators; documentation
libghc-parser-combinators-prof (1.2.1-1+b2 [s390x], 1.2.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
commonly useful parser combinators; profiling libraries
libghc-parser-combinators-prof-1.2.1-2794f
本虛擬套件由這些套件填實: libghc-parser-combinators-prof
libghc-parser-combinators-prof-1.2.1-5e7d7
本虛擬套件由這些套件填實: libghc-parser-combinators-prof
libghc-parser-combinators-prof-1.2.1-e00d7
本虛擬套件由這些套件填實: libghc-parser-combinators-prof
libghc-parser-combinators-prof-1.2.1-f22c0
本虛擬套件由這些套件填實: libghc-parser-combinators-prof
libghc-parsers-dev (0.12.10-2+b2 [s390x], 0.12.10-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing combinators
libghc-parsers-dev-0.12.10-149a3
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-81359
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-93757
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-9f073
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-a0a87
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-a3d58
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-dbc8f
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-dev-0.12.10-ffa04
本虛擬套件由這些套件填實: libghc-parsers-dev
libghc-parsers-doc (0.12.10-2)
parsing combinators; documentation
libghc-parsers-prof (0.12.10-2+b2 [s390x], 0.12.10-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsing combinators; profiling libraries
libghc-parsers-prof-0.12.10-149a3
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-81359
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-93757
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-9f073
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-a0a87
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-a3d58
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-dbc8f
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-parsers-prof-0.12.10-ffa04
本虛擬套件由這些套件填實: libghc-parsers-prof
libghc-path-dev (0.7.0-3+b2 [s390x], 0.7.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
normalizing well-typed path type
libghc-path-dev-0.7.0-0da24
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-25dad
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-2e56f
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-3a90e
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-4619f
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-5eb17
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-7c00b
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-ad3b4
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-dev-0.7.0-ca31f
本虛擬套件由這些套件填實: libghc-path-dev
libghc-path-doc (0.7.0-3)
normalizing well-typed path type; documentation
libghc-path-io-dev (1.6.0-2+b2 [amd64, arm64, ppc64el, s390x], 1.6.0-2+b1 [armel, armhf, i386, mips64el, mipsel])
Interface to ‘directory’ package for users of ‘path’
libghc-path-io-dev-1.6.0-182f1
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-1d5c4
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-529b0
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-5d375
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-92ace
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-c9aed
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-cc0b4
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-decd1
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-dev-1.6.0-ebd67
本虛擬套件由這些套件填實: libghc-path-io-dev
libghc-path-io-doc (1.6.0-2)
Interface to ‘directory’ package for users of ‘path’; documentation
libghc-path-io-prof (1.6.0-2+b2 [amd64, arm64, ppc64el, s390x], 1.6.0-2+b1 [armel, armhf, i386, mips64el, mipsel])
Interface to ‘directory’ package for users of ‘path’; profiling libraries
libghc-path-io-prof-1.6.0-182f1
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-1d5c4
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-529b0
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-5d375
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-92ace
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-c9aed
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-cc0b4
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-decd1
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-io-prof-1.6.0-ebd67
本虛擬套件由這些套件填實: libghc-path-io-prof
libghc-path-pieces-dev (0.2.1-8+b2 [s390x], 0.2.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
components of paths
libghc-path-pieces-dev-0.2.1-14634
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-28f2d
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-35e92
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-3889f
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-50d5f
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-beca7
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-d107b
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-dev-0.2.1-f3b73
本虛擬套件由這些套件填實: libghc-path-pieces-dev
libghc-path-pieces-doc (0.2.1-8)
components of paths; documentation
libghc-path-pieces-prof (0.2.1-8+b2 [s390x], 0.2.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
components of paths; profiling libraries
libghc-path-pieces-prof-0.2.1-14634
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-28f2d
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-35e92
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-3889f
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-50d5f
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-beca7
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-d107b
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-pieces-prof-0.2.1-f3b73
本虛擬套件由這些套件填實: libghc-path-pieces-prof
libghc-path-prof (0.7.0-3+b2 [s390x], 0.7.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
normalizing well-typed path type; profiling libraries
libghc-path-prof-0.7.0-0da24
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-25dad
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-2e56f
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-3a90e
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-4619f
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-5eb17
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-7c00b
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-ad3b4
本虛擬套件由這些套件填實: libghc-path-prof
libghc-path-prof-0.7.0-ca31f
本虛擬套件由這些套件填實: libghc-path-prof
libghc-patience-dev (0.3-1+b2 [s390x], 0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell implementation of the Patience Diff algorithm
libghc-patience-dev-0.3-3b8f1
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-6c091
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-715d0
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-85cf0
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-b4c53
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-d61ba
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-d84d5
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-dev-0.3-df1fd
本虛擬套件由這些套件填實: libghc-patience-dev
libghc-patience-doc (0.3-1)
Haskell implementation of the Patience Diff algorithm; documentation
libghc-patience-prof (0.3-1+b2 [s390x], 0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell implementation of the Patience Diff algorithm; profiling libraries
libghc-patience-prof-0.3-3b8f1
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-6c091
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-715d0
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-85cf0
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-b4c53
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-d61ba
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-d84d5
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-patience-prof-0.3-df1fd
本虛擬套件由這些套件填實: libghc-patience-prof
libghc-pcap-dev (0.4.5.2-14+b2 [s390x], 0.4.5.2-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell wrapper around the C libpcap library
libghc-pcap-dev-0.4.5.2-1b206
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-32ec3
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-5020a
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-5ba7a
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-a5860
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-d8008
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-d8655
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-dev-0.4.5.2-fff75
本虛擬套件由這些套件填實: libghc-pcap-dev
libghc-pcap-doc (0.4.5.2-14)
Haskell wrapper around the C libpcap library; documentation
libghc-pcap-prof (0.4.5.2-14+b2 [s390x], 0.4.5.2-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell wrapper around the C libpcap library; profiling libraries
libghc-pcap-prof-0.4.5.2-1b206
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-32ec3
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-5020a
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-5ba7a
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-a5860
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-d8008
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-d8655
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcap-prof-0.4.5.2-fff75
本虛擬套件由這些套件填實: libghc-pcap-prof
libghc-pcre-light-dev (0.4.1.0-1+b2 [s390x], 0.4.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for Perl 5-compatible regular expressions
libghc-pcre-light-dev-0.4.1.0-20f16
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-2c26e
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-4e25f
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-6b6f0
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-7f7ad
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-b2318
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-b71c6
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-dev-0.4.1.0-bb265
本虛擬套件由這些套件填實: libghc-pcre-light-dev
libghc-pcre-light-doc (0.4.1.0-1)
library documentation for pcre-light; documentation
libghc-pcre-light-prof (0.4.1.0-1+b2 [s390x], 0.4.1.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pcre-light library with profiling enabled; profiling libraries
libghc-pcre-light-prof-0.4.1.0-20f16
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-2c26e
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-4e25f
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-6b6f0
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-7f7ad
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-b2318
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-b71c6
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pcre-light-prof-0.4.1.0-bb265
本虛擬套件由這些套件填實: libghc-pcre-light-prof
libghc-pem-dev (0.2.4-3+b2 [s390x], 0.2.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Privacy Enhanced Mail (PEM) format reader and writer
libghc-pem-dev-0.2.4-095fd
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-2a1c5
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-35e6c
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-39083
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-9bf97
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-cbea4
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-d5a98
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-dev-0.2.4-f53fc
本虛擬套件由這些套件填實: libghc-pem-dev
libghc-pem-doc (0.2.4-3)
Privacy Enhanced Mail (PEM) format reader and writer; documentation
libghc-pem-prof (0.2.4-3+b2 [s390x], 0.2.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Privacy Enhanced Mail (PEM) format reader and writer; profiling libraries
libghc-pem-prof-0.2.4-095fd
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-2a1c5
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-35e6c
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-39083
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-9bf97
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-cbea4
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-d5a98
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-pem-prof-0.2.4-f53fc
本虛擬套件由這些套件填實: libghc-pem-prof
libghc-persistable-record-dev (0.6.0.5-1)
Binding between SQL database values and haskell records.
libghc-persistable-record-dev-0.6.0.5-0f067
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-18996
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-2afdb
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-355c4
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-57bd9
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-a5ad9
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-dd585
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-dev-0.6.0.5-f185a
本虛擬套件由這些套件填實: libghc-persistable-record-dev
libghc-persistable-record-doc (0.6.0.5-1)
Binding between SQL database values and haskell records.; documentation
libghc-persistable-record-prof (0.6.0.5-1)
Binding between SQL database values and haskell records.; profiling libraries
libghc-persistable-record-prof-0.6.0.5-0f067
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-18996
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-2afdb
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-355c4
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-57bd9
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-a5ad9
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-dd585
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-record-prof-0.6.0.5-f185a
本虛擬套件由這些套件填實: libghc-persistable-record-prof
libghc-persistable-types-hdbc-pg-dev (0.0.3.5-2+b3 [s390x], 0.0.3.5-2+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Relational-Record instances of PostgreSQL extended types
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-33cd1
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-3801a
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-54e9f
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-5d8f8
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-697f4
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-88c74
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-8dfce
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-dev-0.0.3.5-ce4cf
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-dev
libghc-persistable-types-hdbc-pg-doc (0.0.3.5-2)
Relational-Record instances of PostgreSQL extended types; documentation
libghc-persistable-types-hdbc-pg-prof (0.0.3.5-2+b3 [s390x], 0.0.3.5-2+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Relational-Record instances of PostgreSQL extended types; profiling libraries
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-33cd1
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-3801a
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-54e9f
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-5d8f8
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-697f4
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-88c74
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-8dfce
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistable-types-hdbc-pg-prof-0.0.3.5-ce4cf
本虛擬套件由這些套件填實: libghc-persistable-types-hdbc-pg-prof
libghc-persistent-dev (2.10.5.2-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.10.5.2-1+b3 [armel, armhf, i386], 2.10.5.2-1+b2 [mips64el])
type-safe, non-relational, multi-backend persistence
libghc-persistent-dev-2.10.5.2-08ed7
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-148aa
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-4188d
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-61a6f
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-6b1fa
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-814a8
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-a4c28
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-a78c8
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-dev-2.10.5.2-ceb8e
本虛擬套件由這些套件填實: libghc-persistent-dev
libghc-persistent-doc (2.10.5.2-1)
type-safe, non-relational, multi-backend persistence; documentation
libghc-persistent-postgresql-dev (2.10.1.2-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.10.1.2-1+b3 [armel, armhf, i386], 2.10.1.2-1+b2 [mips64el])
backend for the persistent library using PostgreSQL
libghc-persistent-postgresql-dev-2.10.1.2-3405e
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-36073
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-83159
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-965d8
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-a0e71
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-c5c59
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-c8888
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-cecfc
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-dev-2.10.1.2-fc377
本虛擬套件由這些套件填實: libghc-persistent-postgresql-dev
libghc-persistent-postgresql-doc (2.10.1.2-1)
backend for the persistent library using PostgreSQL; documentation
libghc-persistent-postgresql-prof (2.10.1.2-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.10.1.2-1+b3 [armel, armhf, i386], 2.10.1.2-1+b2 [mips64el])
backend for the persistent library using PostgreSQL; profiling libraries
libghc-persistent-postgresql-prof-2.10.1.2-3405e
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-36073
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-83159
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-965d8
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-a0e71
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-c5c59
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-c8888
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-cecfc
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-postgresql-prof-2.10.1.2-fc377
本虛擬套件由這些套件填實: libghc-persistent-postgresql-prof
libghc-persistent-prof (2.10.5.2-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.10.5.2-1+b3 [armel, armhf, i386], 2.10.5.2-1+b2 [mips64el])
type-safe, non-relational, multi-backend persistence; profiling libraries
libghc-persistent-prof-2.10.5.2-08ed7
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-148aa
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-4188d
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-61a6f
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-6b1fa
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-814a8
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-a4c28
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-a78c8
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-prof-2.10.5.2-ceb8e
本虛擬套件由這些套件填實: libghc-persistent-prof
libghc-persistent-sqlite-dev (2.10.6.2-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.10.6.2-1+b3 [armel, armhf, i386], 2.10.6.2-1+b2 [mips64el])
SQLite3 backend for the persistent library
libghc-persistent-sqlite-dev-2.10.6.2-0fd7a
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-167dc
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-5bf7f
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-8d2e8
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-8dc20
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-a1cf2
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-c46ae
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-d444f
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-dev-2.10.6.2-db6c4
本虛擬套件由這些套件填實: libghc-persistent-sqlite-dev
libghc-persistent-sqlite-doc (2.10.6.2-1)
SQLite3 backend for the persistent library; documentation
libghc-persistent-sqlite-prof (2.10.6.2-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.10.6.2-1+b3 [armel, armhf, i386], 2.10.6.2-1+b2 [mips64el])
SQLite3 backend for the persistent library; profiling libraries
libghc-persistent-sqlite-prof-2.10.6.2-0fd7a
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-167dc
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-5bf7f
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-8d2e8
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-8dc20
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-a1cf2
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-c46ae
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-d444f
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-sqlite-prof-2.10.6.2-db6c4
本虛擬套件由這些套件填實: libghc-persistent-sqlite-prof
libghc-persistent-template-dev (2.8.2.3-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.8.2.3-1+b3 [armel, armhf, i386], 2.8.2.3-1+b2 [mips64el])
type-safe, non-relational, multi-backend persistence
libghc-persistent-template-dev-2.8.2.3-40c13
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-9de1c
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-a37f0
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-a8b9f
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-ce80d
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-d360c
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-f1d42
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-fb28c
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-dev-2.8.2.3-fd74f
本虛擬套件由這些套件填實: libghc-persistent-template-dev
libghc-persistent-template-doc (2.8.2.3-1)
type-safe, non-relational, multi-backend persistence; documentation
libghc-persistent-template-prof (2.8.2.3-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 2.8.2.3-1+b3 [armel, armhf, i386], 2.8.2.3-1+b2 [mips64el])
type-safe, non-relational, multi-backend persistence; profiling libraries
libghc-persistent-template-prof-2.8.2.3-40c13
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-9de1c
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-a37f0
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-a8b9f
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-ce80d
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-d360c
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-f1d42
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-fb28c
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-persistent-template-prof-2.8.2.3-fd74f
本虛擬套件由這些套件填實: libghc-persistent-template-prof
libghc-pid1-dev (0.1.2.0-4+b2 [s390x], 0.1.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
signal handling and orphan reaping for Unix PID1 init processes
libghc-pid1-dev-0.1.2.0-329bf
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-63b94
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-a895b
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-aa804
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-abd8a
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-d97ab
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-ea953
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-dev-0.1.2.0-f5c7a
本虛擬套件由這些套件填實: libghc-pid1-dev
libghc-pid1-doc (0.1.2.0-4)
signal handling and orphan reaping for Unix PID1 init processes; documentation
libghc-pid1-prof (0.1.2.0-4+b2 [s390x], 0.1.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
signal handling and orphan reaping for Unix PID1 init processes; profiling libraries
libghc-pid1-prof-0.1.2.0-329bf
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-63b94
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-a895b
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-aa804
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-abd8a
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-d97ab
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-ea953
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pid1-prof-0.1.2.0-f5c7a
本虛擬套件由這些套件填實: libghc-pid1-prof
libghc-pipes-aeson-dev (0.4.1.8-4+b3 [amd64, arm64, ppc64el, s390x], 0.4.1.8-4+b2 [armel, armhf, i386, mips64el, mipsel])
encode and decode JSON streams using Aeson and Pipes
libghc-pipes-aeson-dev-0.4.1.8-1d813
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-21bf5
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-36247
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-7a674
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-88020
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-885d3
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-8afff
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-c1d83
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-dev-0.4.1.8-e0c6a
本虛擬套件由這些套件填實: libghc-pipes-aeson-dev
libghc-pipes-aeson-doc (0.4.1.8-4)
encode and decode JSON streams using Aeson and Pipes; documentation
libghc-pipes-aeson-prof (0.4.1.8-4+b3 [amd64, arm64, ppc64el, s390x], 0.4.1.8-4+b2 [armel, armhf, i386, mips64el, mipsel])
encode and decode JSON streams using Aeson and Pipes; profiling libraries
libghc-pipes-aeson-prof-0.4.1.8-1d813
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-21bf5
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-36247
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-7a674
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-88020
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-885d3
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-8afff
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-c1d83
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-aeson-prof-0.4.1.8-e0c6a
本虛擬套件由這些套件填實: libghc-pipes-aeson-prof
libghc-pipes-attoparsec-dev (0.5.1.5-5+b3 [s390x], 0.5.1.5-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.1.5-5+b1 [mips64el, mipsel])
Attoparsec-Pipes integration
libghc-pipes-attoparsec-dev-0.5.1.5-03231
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-241c7
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-2705c
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-3f41a
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-41ba5
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-ac278
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-cc81f
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-dev-0.5.1.5-e31fd
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-dev
libghc-pipes-attoparsec-doc (0.5.1.5-5)
Attoparsec-Pipes integration; documentation
libghc-pipes-attoparsec-prof (0.5.1.5-5+b3 [s390x], 0.5.1.5-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.1.5-5+b1 [mips64el, mipsel])
Attoparsec-Pipes integration; profiling libraries
libghc-pipes-attoparsec-prof-0.5.1.5-03231
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-241c7
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-2705c
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-3f41a
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-41ba5
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-ac278
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-cc81f
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-attoparsec-prof-0.5.1.5-e31fd
本虛擬套件由這些套件填實: libghc-pipes-attoparsec-prof
libghc-pipes-bytestring-dev (2.1.6-4+b3 [s390x], 2.1.6-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.1.6-4+b1 [mips64el, mipsel])
ByteString support for pipes
libghc-pipes-bytestring-dev-2.1.6-0dcc7
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-381bb
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-5aa6b
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-690a3
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-a7383
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-af115
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-bcf24
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-bd950
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-dev-2.1.6-c6e64
本虛擬套件由這些套件填實: libghc-pipes-bytestring-dev
libghc-pipes-bytestring-doc (2.1.6-4)
ByteString support for pipes; documentation
libghc-pipes-bytestring-prof (2.1.6-4+b3 [s390x], 2.1.6-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.1.6-4+b1 [mips64el, mipsel])
ByteString support for pipes; profiling libraries
libghc-pipes-bytestring-prof-2.1.6-0dcc7
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-381bb
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-5aa6b
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-690a3
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-a7383
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-af115
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-bcf24
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-bd950
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-bytestring-prof-2.1.6-c6e64
本虛擬套件由這些套件填實: libghc-pipes-bytestring-prof
libghc-pipes-dev (4.3.14-1)
compositional pipelines
libghc-pipes-dev-4.3.14-1484b
本虛擬套件由這些套件填實: libghc-pipes-dev
libghc-pipes-dev-4.3.14-193f8
本虛擬套件由這些套件填實: libghc-pipes-dev
libghc-pipes-dev-4.3.14-8b4bc
本虛擬套件由這些套件填實: libghc-pipes-dev
libghc-pipes-dev-4.3.14-91484
本虛擬套件由這些套件填實: libghc-pipes-dev
libghc-pipes-dev-4.3.14-cbf71
本虛擬套件由這些套件填實: libghc-pipes-dev
libghc-pipes-dev-4.3.14-e268c
本虛擬套件由這些套件填實: libghc-pipes-dev
libghc-pipes-doc (4.3.14-1)
compositional pipelines; documentation
libghc-pipes-group-dev (1.0.12-2+b3 [s390x], 1.0.12-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.12-2+b1 [mips64el, mipsel])
group streams into substreams
libghc-pipes-group-dev-1.0.12-13957
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-257a3
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-653c2
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-7026a
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-74dff
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-8963b
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-98d45
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-d84bb
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-dev-1.0.12-debe9
本虛擬套件由這些套件填實: libghc-pipes-group-dev
libghc-pipes-group-doc (1.0.12-2)
group streams into substreams; documentation
libghc-pipes-group-prof (1.0.12-2+b3 [s390x], 1.0.12-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.12-2+b1 [mips64el, mipsel])
group streams into substreams; profiling libraries
libghc-pipes-group-prof-1.0.12-13957
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-257a3
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-653c2
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-7026a
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-74dff
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-8963b
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-98d45
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-d84bb
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-group-prof-1.0.12-debe9
本虛擬套件由這些套件填實: libghc-pipes-group-prof
libghc-pipes-parse-dev (3.0.8-4+b3 [s390x], 3.0.8-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.8-4+b1 [mips64el, mipsel])
parsing infrastructure for the pipes ecosystem
libghc-pipes-parse-dev-3.0.8-13463
本虛擬套件由這些套件填實: libghc-pipes-parse-dev
libghc-pipes-parse-dev-3.0.8-16d60
本虛擬套件由這些套件填實: libghc-pipes-parse-dev
libghc-pipes-parse-dev-3.0.8-96521
本虛擬套件由這些套件填實: libghc-pipes-parse-dev
libghc-pipes-parse-dev-3.0.8-9a943
本虛擬套件由這些套件填實: libghc-pipes-parse-dev
libghc-pipes-parse-dev-3.0.8-e3dd4
本虛擬套件由這些套件填實: libghc-pipes-parse-dev
libghc-pipes-parse-dev-3.0.8-e7e88
本虛擬套件由這些套件填實: libghc-pipes-parse-dev
libghc-pipes-parse-doc (3.0.8-4)
parsing infrastructure for the pipes ecosystem; documentation
libghc-pipes-parse-prof (3.0.8-4+b3 [s390x], 3.0.8-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.8-4+b1 [mips64el, mipsel])
parsing infrastructure for the pipes ecosystem; profiling libraries
libghc-pipes-parse-prof-3.0.8-13463
本虛擬套件由這些套件填實: libghc-pipes-parse-prof
libghc-pipes-parse-prof-3.0.8-16d60
本虛擬套件由這些套件填實: libghc-pipes-parse-prof
libghc-pipes-parse-prof-3.0.8-96521
本虛擬套件由這些套件填實: libghc-pipes-parse-prof
libghc-pipes-parse-prof-3.0.8-9a943
本虛擬套件由這些套件填實: libghc-pipes-parse-prof
libghc-pipes-parse-prof-3.0.8-e3dd4
本虛擬套件由這些套件填實: libghc-pipes-parse-prof
libghc-pipes-parse-prof-3.0.8-e7e88
本虛擬套件由這些套件填實: libghc-pipes-parse-prof
libghc-pipes-prof (4.3.14-1)
compositional pipelines; profiling libraries
libghc-pipes-prof-4.3.14-1484b
本虛擬套件由這些套件填實: libghc-pipes-prof
libghc-pipes-prof-4.3.14-193f8
本虛擬套件由這些套件填實: libghc-pipes-prof
libghc-pipes-prof-4.3.14-8b4bc
本虛擬套件由這些套件填實: libghc-pipes-prof
libghc-pipes-prof-4.3.14-91484
本虛擬套件由這些套件填實: libghc-pipes-prof
libghc-pipes-prof-4.3.14-cbf71
本虛擬套件由這些套件填實: libghc-pipes-prof
libghc-pipes-prof-4.3.14-e268c
本虛擬套件由這些套件填實: libghc-pipes-prof
libghc-pipes-safe-dev (2.3.2-1+b3 [s390x], 2.3.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.3.2-1+b1 [mips64el, mipsel])
safety for the pipes ecosystem
libghc-pipes-safe-dev-2.3.2-088ea
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-1e1f5
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-1f2b9
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-1fb1a
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-63618
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-7f9de
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-a6f0a
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-dev-2.3.2-f8fe2
本虛擬套件由這些套件填實: libghc-pipes-safe-dev
libghc-pipes-safe-doc (2.3.2-1)
safety for the pipes ecosystem; documentation
libghc-pipes-safe-prof (2.3.2-1+b3 [s390x], 2.3.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.3.2-1+b1 [mips64el, mipsel])
safety for the pipes ecosystem; profiling libraries
libghc-pipes-safe-prof-2.3.2-088ea
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-1e1f5
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-1f2b9
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-1fb1a
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-63618
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-7f9de
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-a6f0a
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-safe-prof-2.3.2-f8fe2
本虛擬套件由這些套件填實: libghc-pipes-safe-prof
libghc-pipes-zlib-dev (0.4.4.2-3+b5 [s390x], 0.4.4.2-3+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.4.2-3+b3 [mipsel], 0.4.4.2-3+b2 [mips64el])
Zlib compression and decompression for Pipes streams
libghc-pipes-zlib-dev-0.4.4.2-0d235
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-252fd
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-3fbf1
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-6dd3d
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-77f16
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-83789
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-ab6ff
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-dev-0.4.4.2-d0aa7
本虛擬套件由這些套件填實: libghc-pipes-zlib-dev
libghc-pipes-zlib-doc (0.4.4.2-3)
Zlib compression and decompression for Pipes streams; documentation
libghc-pipes-zlib-prof (0.4.4.2-3+b5 [s390x], 0.4.4.2-3+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.4.2-3+b3 [mipsel], 0.4.4.2-3+b2 [mips64el])
Zlib compression and decompression for Pipes streams; profiling libraries
libghc-pipes-zlib-prof-0.4.4.2-0d235
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-252fd
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-3fbf1
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-6dd3d
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-77f16
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-83789
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-ab6ff
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pipes-zlib-prof-0.4.4.2-d0aa7
本虛擬套件由這些套件填實: libghc-pipes-zlib-prof
libghc-pointed-dev (5.0.1-6+b2 [s390x], 5.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pointed and copointed data
libghc-pointed-dev-5.0.1-06bc7
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-3e917
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-6c5e6
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-82cd0
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-886f7
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-96e98
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-a67f7
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-a8498
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-dev-5.0.1-bc318
本虛擬套件由這些套件填實: libghc-pointed-dev
libghc-pointed-doc (5.0.1-6)
pointed and copointed data; documentation
libghc-pointed-prof (5.0.1-6+b2 [s390x], 5.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pointed and copointed data; profiling libraries
libghc-pointed-prof-5.0.1-06bc7
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-3e917
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-6c5e6
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-82cd0
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-886f7
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-96e98
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-a67f7
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-a8498
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointed-prof-5.0.1-bc318
本虛擬套件由這些套件填實: libghc-pointed-prof
libghc-pointedlist-dev (0.6.1-9+b2 [s390x], 0.6.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
zipper-like comonad which works as a list, tracking a position
libghc-pointedlist-dev-0.6.1-13223
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-5003d
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-613d7
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-70811
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-89951
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-95588
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-b0d1c
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-dev-0.6.1-becd5
本虛擬套件由這些套件填實: libghc-pointedlist-dev
libghc-pointedlist-doc (0.6.1-9)
zipper-like comonad which works as a list, tracking a position.; documentation
libghc-pointedlist-prof (0.6.1-9+b2 [s390x], 0.6.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
zipper-like comonad which works as a list, tracking a position; profiling libraries
libghc-pointedlist-prof-0.6.1-13223
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-5003d
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-613d7
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-70811
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-89951
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-95588
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-b0d1c
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-pointedlist-prof-0.6.1-becd5
本虛擬套件由這些套件填實: libghc-pointedlist-prof
libghc-polyparse-dev (1.13-1+b2 [s390x], 1.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
alternative parser combinator libraries for Haskell
libghc-polyparse-dev-1.13-1af33
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-4f6ab
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-62f68
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-9b609
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-a5be4
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-c4f4e
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-ca0f0
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-dev-1.13-e18a4
本虛擬套件由這些套件填實: libghc-polyparse-dev
libghc-polyparse-doc (1.13-1)
alternative parser combinator libraries for Haskell; documentation
libghc-polyparse-prof (1.13-1+b2 [s390x], 1.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
alternative parser combinator libraries for Haskell; profiling libraries
libghc-polyparse-prof-1.13-1af33
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-4f6ab
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-62f68
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-9b609
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-a5be4
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-c4f4e
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-ca0f0
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-polyparse-prof-1.13-e18a4
本虛擬套件由這些套件填實: libghc-polyparse-prof
libghc-posix-pty-dev (0.2.2-1)
interact with pseudoterminals using subprocesses
libghc-posix-pty-dev-0.2.2-4300c
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-4b2e3
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-630b0
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-987fc
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-998e6
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-b657a
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-b975e
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-dev-0.2.2-f0b28
本虛擬套件由這些套件填實: libghc-posix-pty-dev
libghc-posix-pty-doc (0.2.2-1)
interact with pseudoterminals using subprocesses; documentation
libghc-posix-pty-prof (0.2.2-1)
interact with pseudoterminals using subprocesses; profiling libraries
libghc-posix-pty-prof-0.2.2-4300c
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-4b2e3
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-630b0
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-987fc
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-998e6
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-b657a
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-b975e
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-posix-pty-prof-0.2.2-f0b28
本虛擬套件由這些套件填實: libghc-posix-pty-prof
libghc-postgresql-libpq-dev (0.9.4.2-3+b2 [s390x], 0.9.4.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
low-level binding to libpq
libghc-postgresql-libpq-dev-0.9.4.2-073a1
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-08a51
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-11d9e
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-5eee4
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-7848c
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-89bce
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-cec95
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-dev-0.9.4.2-e331e
本虛擬套件由這些套件填實: libghc-postgresql-libpq-dev
libghc-postgresql-libpq-doc (0.9.4.2-3)
low-level binding to libpq; documentation
libghc-postgresql-libpq-prof (0.9.4.2-3+b2 [s390x], 0.9.4.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
low-level binding to libpq; profiling libraries
libghc-postgresql-libpq-prof-0.9.4.2-073a1
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-08a51
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-11d9e
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-5eee4
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-7848c
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-89bce
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-cec95
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-libpq-prof-0.9.4.2-e331e
本虛擬套件由這些套件填實: libghc-postgresql-libpq-prof
libghc-postgresql-simple-dev (0.6.2-3+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.6.2-3+b1 [armel, armhf, i386])
mid-level PostgreSQL client library
libghc-postgresql-simple-dev-0.6.2-08bc5
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-0d60d
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-3940d
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-39acc
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-a950b
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-bc6f2
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-dc6e3
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-dev-0.6.2-fe35e
本虛擬套件由這些套件填實: libghc-postgresql-simple-dev
libghc-postgresql-simple-doc (0.6.2-3)
mid-level PostgreSQL client library; documentation
libghc-postgresql-simple-prof (0.6.2-3+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.6.2-3+b1 [armel, armhf, i386])
mid-level PostgreSQL client library; profiling libraries
libghc-postgresql-simple-prof-0.6.2-08bc5
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-0d60d
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-3940d
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-39acc
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-a950b
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-bc6f2
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-dc6e3
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-postgresql-simple-prof-0.6.2-fe35e
本虛擬套件由這些套件填實: libghc-postgresql-simple-prof
libghc-pqueue-dev (1.4.1.3-1)
reliable, persistent, fast priority queues.
libghc-pqueue-dev-1.4.1.3-05d42
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-31169
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-8eb4c
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-9b895
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-ca762
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-d7b78
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-f83e1
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-dev-1.4.1.3-fbcbc
本虛擬套件由這些套件填實: libghc-pqueue-dev
libghc-pqueue-doc (1.4.1.3-1)
reliable, persistent, fast priority queues.; documentation
libghc-pqueue-prof (1.4.1.3-1)
reliable, persistent, fast priority queues.; profiling libraries
libghc-pqueue-prof-1.4.1.3-05d42
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-31169
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-8eb4c
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-9b895
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-ca762
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-d7b78
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-f83e1
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-pqueue-prof-1.4.1.3-fbcbc
本虛擬套件由這些套件填實: libghc-pqueue-prof
libghc-prelude-extras-dev (0.4.0.3-6+b2 [s390x], 0.4.0.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
higher-order versions of Prelude classes
libghc-prelude-extras-dev-0.4.0.3-a73b8
本虛擬套件由這些套件填實: libghc-prelude-extras-dev
libghc-prelude-extras-dev-0.4.0.3-d2f13
本虛擬套件由這些套件填實: libghc-prelude-extras-dev
libghc-prelude-extras-dev-0.4.0.3-da3c6
本虛擬套件由這些套件填實: libghc-prelude-extras-dev
libghc-prelude-extras-dev-0.4.0.3-e185e
本虛擬套件由這些套件填實: libghc-prelude-extras-dev
libghc-prelude-extras-dev-0.4.0.3-fe948
本虛擬套件由這些套件填實: libghc-prelude-extras-dev
libghc-prelude-extras-doc (0.4.0.3-6)
higher-order versions of Prelude classes; documentation
libghc-prelude-extras-prof (0.4.0.3-6+b2 [s390x], 0.4.0.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
higher-order versions of Prelude classes; profiling libraries
libghc-prelude-extras-prof-0.4.0.3-a73b8
本虛擬套件由這些套件填實: libghc-prelude-extras-prof
libghc-prelude-extras-prof-0.4.0.3-d2f13
本虛擬套件由這些套件填實: libghc-prelude-extras-prof
libghc-prelude-extras-prof-0.4.0.3-da3c6
本虛擬套件由這些套件填實: libghc-prelude-extras-prof
libghc-prelude-extras-prof-0.4.0.3-e185e
本虛擬套件由這些套件填實: libghc-prelude-extras-prof
libghc-prelude-extras-prof-0.4.0.3-fe948
本虛擬套件由這些套件填實: libghc-prelude-extras-prof
libghc-presburger-dev (1.3.1-8+b2 [s390x], 1.3.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
decision procedure for quantifier-free linear arithmetic
libghc-presburger-dev-1.3.1-0e4a5
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-281d1
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-69735
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-8a93b
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-8d4e2
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-93b95
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-a8dcc
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-dev-1.3.1-f2fdf
本虛擬套件由這些套件填實: libghc-presburger-dev
libghc-presburger-doc (1.3.1-8)
decision procedure for quantifier-free linear arithmetic; documentation
libghc-presburger-prof (1.3.1-8+b2 [s390x], 1.3.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
decision procedure for quantifier-free linear arithmetic; profiling libraries
libghc-presburger-prof-1.3.1-0e4a5
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-281d1
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-69735
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-8a93b
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-8d4e2
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-93b95
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-a8dcc
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-presburger-prof-1.3.1-f2fdf
本虛擬套件由這些套件填實: libghc-presburger-prof
libghc-pretty-dev (= 1.1.3.6)
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-2f341
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-33019
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-529fa
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-96d07
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-a4941
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-a9724
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-dc1c8
本虛擬套件由這些套件填實: ghc
libghc-pretty-dev-1.1.3.6-eb7b6
本虛擬套件由這些套件填實: ghc
libghc-pretty-doc (= 1.1.3.6)
本虛擬套件由這些套件填實: ghc-doc
libghc-pretty-prof (= 1.1.3.6)
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-2f341
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-33019
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-529fa
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-96d07
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-a4941
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-a9724
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-dc1c8
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-prof-1.1.3.6-eb7b6
本虛擬套件由這些套件填實: ghc-prof
libghc-pretty-show-dev (1.10-1+b2 [s390x], 1.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A Haskell library for pretty printing data structures
libghc-pretty-show-dev-1.10-05715
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-0bcf9
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-22e1c
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-58d4b
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-6541c
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-b05ab
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-f5f88
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-dev-1.10-ffdf9
本虛擬套件由這些套件填實: libghc-pretty-show-dev
libghc-pretty-show-doc (1.10-1)
Documentation for Haskell pretty printing library; documentation
libghc-pretty-show-prof (1.10-1+b2 [s390x], 1.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling libraries for pretty printing Haskell data structures; profiling libraries
libghc-pretty-show-prof-1.10-05715
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-0bcf9
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-22e1c
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-58d4b
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-6541c
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-b05ab
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-f5f88
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-show-prof-1.10-ffdf9
本虛擬套件由這些套件填實: libghc-pretty-show-prof
libghc-pretty-simple-dev (3.2.3.0-1)
pretty printer for data types with a 'Show' instance
libghc-pretty-simple-dev-3.2.3.0-43312
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-5ec98
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-7780a
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-81852
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-89185
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-8d196
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-ab6d3
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-dev-3.2.3.0-da386
本虛擬套件由這些套件填實: libghc-pretty-simple-dev
libghc-pretty-simple-doc (3.2.3.0-1)
pretty printer for data types with a 'Show' instance; documentation
libghc-pretty-simple-prof (3.2.3.0-1)
pretty printer for data types with a 'Show' instance; profiling libraries
libghc-pretty-simple-prof-3.2.3.0-43312
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-5ec98
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-7780a
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-81852
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-89185
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-8d196
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-ab6d3
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-pretty-simple-prof-3.2.3.0-da386
本虛擬套件由這些套件填實: libghc-pretty-simple-prof
libghc-prettyclass-dev (1.0.0.0-9+b2 [s390x], 1.0.0.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Pretty printing class similar to Show.
libghc-prettyclass-dev-1.0.0.0-05c2e
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-3f731
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-7e438
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-853e0
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-93a8a
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-ac7c0
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-d5a06
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-dev-1.0.0.0-dd6e0
本虛擬套件由這些套件填實: libghc-prettyclass-dev
libghc-prettyclass-doc (1.0.0.0-9)
Pretty printing class similar to Show.; documentation
libghc-prettyclass-prof (1.0.0.0-9+b2 [s390x], 1.0.0.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Pretty printing class similar to Show.; profiling libraries
libghc-prettyclass-prof-1.0.0.0-05c2e
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-3f731
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-7e438
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-853e0
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-93a8a
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-ac7c0
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-d5a06
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyclass-prof-1.0.0.0-dd6e0
本虛擬套件由這些套件填實: libghc-prettyclass-prof
libghc-prettyprinter-ansi-terminal-dev (1.1.2-1)
ANSI terminal backend for prettyprinter
libghc-prettyprinter-ansi-terminal-dev-1.1.2-40348
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-50488
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-9da18
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-a7b45
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-b16c4
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-bad74
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-d19f4
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-dev-1.1.2-d3618
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-dev
libghc-prettyprinter-ansi-terminal-doc (1.1.2-1)
ANSI terminal backend for prettyprinter; documentation
libghc-prettyprinter-ansi-terminal-prof (1.1.2-1)
ANSI terminal backend for prettyprinter; profiling libraries
libghc-prettyprinter-ansi-terminal-prof-1.1.2-40348
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-50488
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-9da18
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-a7b45
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-b16c4
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-bad74
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-d19f4
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-ansi-terminal-prof-1.1.2-d3618
本虛擬套件由這些套件填實: libghc-prettyprinter-ansi-terminal-prof
libghc-prettyprinter-convert-ansi-wl-pprint-dev (1.1.1-1)
convert documents between ansi-wl-pprint and prettyprinter
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-3b10e
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-6e12e
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-80750
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-8669e
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-a7a46
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-bcf88
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-df628
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-dev-1.1.1-f9db5
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-dev
libghc-prettyprinter-convert-ansi-wl-pprint-doc (1.1.1-1)
convert documents between ansi-wl-pprint and prettyprinter; documentation
libghc-prettyprinter-convert-ansi-wl-pprint-prof (1.1.1-1)
convert documents between ansi-wl-pprint and prettyprinter; profiling libraries
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-3b10e
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-6e12e
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-80750
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-8669e
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-a7a46
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-bcf88
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-df628
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-convert-ansi-wl-pprint-prof-1.1.1-f9db5
本虛擬套件由這些套件填實: libghc-prettyprinter-convert-ansi-wl-pprint-prof
libghc-prettyprinter-dev (1.6.2-1)
modern, easy-to-use, extensible prettyprinter
libghc-prettyprinter-dev-1.6.2-0929c
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-168d5
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-29f1c
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-72fec
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-819da
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-9d126
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-af66c
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-dev-1.6.2-b6c76
本虛擬套件由這些套件填實: libghc-prettyprinter-dev
libghc-prettyprinter-doc (1.6.2-1)
modern, easy-to-use, extensible prettyprinter; documentation
libghc-prettyprinter-prof (1.6.2-1)
modern, easy-to-use, extensible prettyprinter; profiling libraries
libghc-prettyprinter-prof-1.6.2-0929c
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-168d5
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-29f1c
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-72fec
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-819da
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-9d126
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-af66c
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prettyprinter-prof-1.6.2-b6c76
本虛擬套件由這些套件填實: libghc-prettyprinter-prof
libghc-prim-uniq-dev (0.2-1+b3 [s390x], 0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2-1+b1 [mips64el, mipsel])
opaque unique identifiers in primitive state monads
libghc-prim-uniq-dev-0.2-12c85
本虛擬套件由這些套件填實: libghc-prim-uniq-dev
libghc-prim-uniq-dev-0.2-276d5
本虛擬套件由這些套件填實: libghc-prim-uniq-dev
libghc-prim-uniq-dev-0.2-4a9f5
本虛擬套件由這些套件填實: libghc-prim-uniq-dev
libghc-prim-uniq-dev-0.2-6502d
本虛擬套件由這些套件填實: libghc-prim-uniq-dev
libghc-prim-uniq-dev-0.2-b3dc4
本虛擬套件由這些套件填實: libghc-prim-uniq-dev
libghc-prim-uniq-doc (0.2-1)
opaque unique identifiers in primitive state monads; documentation
libghc-prim-uniq-prof (0.2-1+b3 [s390x], 0.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2-1+b1 [mips64el, mipsel])
opaque unique identifiers in primitive state monads; profiling libraries
libghc-prim-uniq-prof-0.2-12c85
本虛擬套件由這些套件填實: libghc-prim-uniq-prof
libghc-prim-uniq-prof-0.2-276d5
本虛擬套件由這些套件填實: libghc-prim-uniq-prof
libghc-prim-uniq-prof-0.2-4a9f5
本虛擬套件由這些套件填實: libghc-prim-uniq-prof
libghc-prim-uniq-prof-0.2-6502d
本虛擬套件由這些套件填實: libghc-prim-uniq-prof
libghc-prim-uniq-prof-0.2-b3dc4
本虛擬套件由這些套件填實: libghc-prim-uniq-prof
libghc-primes-dev (0.2.1.0-13+b2 [s390x], 0.2.1.0-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient, purely functional generation of prime numbers
libghc-primes-dev-0.2.1.0-9c801
本虛擬套件由這些套件填實: libghc-primes-dev
libghc-primes-dev-0.2.1.0-a2cee
本虛擬套件由這些套件填實: libghc-primes-dev
libghc-primes-dev-0.2.1.0-a91fd
本虛擬套件由這些套件填實: libghc-primes-dev
libghc-primes-dev-0.2.1.0-fcf26
本虛擬套件由這些套件填實: libghc-primes-dev
libghc-primes-doc (0.2.1.0-13)
efficient, purely functional generation of prime numbers; documentation
libghc-primes-prof (0.2.1.0-13+b2 [s390x], 0.2.1.0-13+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient, purely functional generation of prime numbers; profiling libraries
libghc-primes-prof-0.2.1.0-9c801
本虛擬套件由這些套件填實: libghc-primes-prof
libghc-primes-prof-0.2.1.0-a2cee
本虛擬套件由這些套件填實: libghc-primes-prof
libghc-primes-prof-0.2.1.0-a91fd
本虛擬套件由這些套件填實: libghc-primes-prof
libghc-primes-prof-0.2.1.0-fcf26
本虛擬套件由這些套件填實: libghc-primes-prof
libghc-primitive-dev (0.7.0.1-1+b2 [s390x], 0.7.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wrappers for primitive operations
libghc-primitive-dev-0.7.0.1-1cdf6
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-26b68
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-720f4
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-7fce0
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-8b137
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-9c318
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-a3179
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-dev-0.7.0.1-fc459
本虛擬套件由這些套件填實: libghc-primitive-dev
libghc-primitive-doc (0.7.0.1-1)
Wrappers for primitive operations; documentation
libghc-primitive-prof (0.7.0.1-1+b2 [s390x], 0.7.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wrappers for primitive operations; profiling libraries
libghc-primitive-prof-0.7.0.1-1cdf6
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-26b68
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-720f4
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-7fce0
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-8b137
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-9c318
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-a3179
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-prof-0.7.0.1-fc459
本虛擬套件由這些套件填實: libghc-primitive-prof
libghc-primitive-unaligned-dev (0.1.1.1-3+b2 [s390x], 0.1.1.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
unaligned access to primitive arrays
libghc-primitive-unaligned-dev-0.1.1.1-17c84
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-19e1c
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-21849
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-46591
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-4995d
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-83ee9
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-a6be4
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-dev-0.1.1.1-e117f
本虛擬套件由這些套件填實: libghc-primitive-unaligned-dev
libghc-primitive-unaligned-doc (0.1.1.1-3)
unaligned access to primitive arrays; documentation
libghc-primitive-unaligned-prof (0.1.1.1-3+b2 [s390x], 0.1.1.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
unaligned access to primitive arrays; profiling libraries
libghc-primitive-unaligned-prof-0.1.1.1-17c84
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-19e1c
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-21849
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-46591
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-4995d
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-83ee9
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-a6be4
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-primitive-unaligned-prof-0.1.1.1-e117f
本虛擬套件由這些套件填實: libghc-primitive-unaligned-prof
libghc-process-dev (= 1.6.9.0)
本虛擬套件由這些套件填實: ghc
libghc-process-dev-1.6.9.0-23e5c
本虛擬套件由這些套件填實: ghc
libghc-process-dev-1.6.9.0-53673
本虛擬套件由這些套件填實: ghc
libghc-process-dev-1.6.9.0-83e3e
本虛擬套件由這些套件填實: ghc
libghc-process-dev-1.6.9.0-88a89
本虛擬套件由這些套件填實: ghc
libghc-process-dev-1.6.9.0-d3807
本虛擬套件由這些套件填實: ghc
libghc-process-dev-1.6.9.0-ef5bd
本虛擬套件由這些套件填實: ghc
libghc-process-doc (= 1.6.9.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-process-extras-dev (0.7.4-4+b3 [s390x], 0.7.4-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.7.4-4+b1 [mips64el, mipsel])
Extra functionality for the Process library
libghc-process-extras-dev-0.7.4-497b8
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-8b085
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-90f39
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-b572b
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-cee3e
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-d1b41
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-de265
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-dev-0.7.4-f20d9
本虛擬套件由這些套件填實: libghc-process-extras-dev
libghc-process-extras-doc (0.7.4-4)
Extra functionality for the Process library; documentation
libghc-process-extras-prof (0.7.4-4+b3 [s390x], 0.7.4-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.7.4-4+b1 [mips64el, mipsel])
Extra functionality for the Process library; profiling libraries
libghc-process-extras-prof-0.7.4-497b8
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-8b085
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-90f39
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-b572b
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-cee3e
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-d1b41
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-de265
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-extras-prof-0.7.4-f20d9
本虛擬套件由這些套件填實: libghc-process-extras-prof
libghc-process-prof (= 1.6.9.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-process-prof-1.6.9.0-23e5c
本虛擬套件由這些套件填實: ghc-prof
libghc-process-prof-1.6.9.0-53673
本虛擬套件由這些套件填實: ghc-prof
libghc-process-prof-1.6.9.0-83e3e
本虛擬套件由這些套件填實: ghc-prof
libghc-process-prof-1.6.9.0-88a89
本虛擬套件由這些套件填實: ghc-prof
libghc-process-prof-1.6.9.0-d3807
本虛擬套件由這些套件填實: ghc-prof
libghc-process-prof-1.6.9.0-ef5bd
本虛擬套件由這些套件填實: ghc-prof
libghc-product-isomorphic-dev (0.0.3.3-2+b2 [s390x], 0.0.3.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
weaken applicative functor on products
libghc-product-isomorphic-dev-0.0.3.3-9b352
本虛擬套件由這些套件填實: libghc-product-isomorphic-dev
libghc-product-isomorphic-dev-0.0.3.3-a9a40
本虛擬套件由這些套件填實: libghc-product-isomorphic-dev
libghc-product-isomorphic-dev-0.0.3.3-bd0b2
本虛擬套件由這些套件填實: libghc-product-isomorphic-dev
libghc-product-isomorphic-dev-0.0.3.3-cce51
本虛擬套件由這些套件填實: libghc-product-isomorphic-dev
libghc-product-isomorphic-dev-0.0.3.3-e5dc9
本虛擬套件由這些套件填實: libghc-product-isomorphic-dev
libghc-product-isomorphic-doc (0.0.3.3-2)
weaken applicative functor on products; documentation
libghc-product-isomorphic-prof (0.0.3.3-2+b2 [s390x], 0.0.3.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
weaken applicative functor on products; profiling libraries
libghc-product-isomorphic-prof-0.0.3.3-9b352
本虛擬套件由這些套件填實: libghc-product-isomorphic-prof
libghc-product-isomorphic-prof-0.0.3.3-a9a40
本虛擬套件由這些套件填實: libghc-product-isomorphic-prof
libghc-product-isomorphic-prof-0.0.3.3-bd0b2
本虛擬套件由這些套件填實: libghc-product-isomorphic-prof
libghc-product-isomorphic-prof-0.0.3.3-cce51
本虛擬套件由這些套件填實: libghc-product-isomorphic-prof
libghc-product-isomorphic-prof-0.0.3.3-e5dc9
本虛擬套件由這些套件填實: libghc-product-isomorphic-prof
libghc-profunctors-dev (5.5.2-1+b2 [s390x], 5.5.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 Profunctors
libghc-profunctors-dev-5.5.2-12977
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-1cfd8
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-2aca2
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-46ab2
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-a986a
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-bb323
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-c8a18
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-dev-5.5.2-e0eeb
本虛擬套件由這些套件填實: libghc-profunctors-dev
libghc-profunctors-doc (5.5.2-1)
Haskell 98 Profunctors; documentation
libghc-profunctors-prof (5.5.2-1+b2 [s390x], 5.5.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 Profunctors; profiling libraries
libghc-profunctors-prof-5.5.2-12977
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-1cfd8
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-2aca2
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-46ab2
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-a986a
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-bb323
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-c8a18
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-profunctors-prof-5.5.2-e0eeb
本虛擬套件由這些套件填實: libghc-profunctors-prof
libghc-project-template-dev (0.2.1.0-1+b1 [amd64, arm64, armel, i386, ppc64el, s390x], 0.2.1.0-1 [armhf, mips64el, mipsel])
specify Haskell project templates and generate files
libghc-project-template-dev-0.2.1.0-1d07a
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-1e383
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-2545e
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-68b45
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-6915a
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-7e1f2
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-d7e23
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-dd110
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-dev-0.2.1.0-e0d08
本虛擬套件由這些套件填實: libghc-project-template-dev
libghc-project-template-doc (0.2.1.0-1)
specify Haskell project templates and generate files; documentation
libghc-project-template-prof (0.2.1.0-1+b1 [amd64, arm64, armel, i386, ppc64el, s390x], 0.2.1.0-1 [armhf, mips64el, mipsel])
specify Haskell project templates and generate files; profiling libraries
libghc-project-template-prof-0.2.1.0-1d07a
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-1e383
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-2545e
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-68b45
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-6915a
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-7e1f2
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-d7e23
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-dd110
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-project-template-prof-0.2.1.0-e0d08
本虛擬套件由這些套件填實: libghc-project-template-prof
libghc-propellor-dev (5.12-1)
property-based host configuration management in haskell
libghc-propellor-dev-5.12-3e47a
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-47102
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-49a38
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-6a2fe
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-9b4f0
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-b24bf
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-ba937
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-dev-5.12-d4880
本虛擬套件由這些套件填實: libghc-propellor-dev
libghc-propellor-doc (5.12-1)
property-based host configuration management in haskell; documentation
libghc-propellor-prof (5.12-1)
property-based host configuration management in haskell; profiling libraries
libghc-propellor-prof-5.12-3e47a
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-47102
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-49a38
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-6a2fe
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-9b4f0
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-b24bf
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-ba937
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-propellor-prof-5.12-d4880
本虛擬套件由這些套件填實: libghc-propellor-prof
libghc-protobuf-dev (0.2.1.3-2+b2 [s390x], 0.2.1.3-2+b1 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el])
Google Protocol Buffers for Haskell
libghc-protobuf-dev-0.2.1.3-53dc8
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-72843
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-752c3
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-7ef12
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-88843
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-af81e
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-c240e
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-dev-0.2.1.3-c4238
本虛擬套件由這些套件填實: libghc-protobuf-dev
libghc-protobuf-doc (0.2.1.3-2)
Google Protocol Buffers for Haskell; documentation
libghc-protobuf-prof (0.2.1.3-2+b2 [s390x], 0.2.1.3-2+b1 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el])
Google Protocol Buffers for Haskell; profiling libraries
libghc-protobuf-prof-0.2.1.3-53dc8
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-72843
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-752c3
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-7ef12
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-88843
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-af81e
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-c240e
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-protobuf-prof-0.2.1.3-c4238
本虛擬套件由這些套件填實: libghc-protobuf-prof
libghc-psqueue-dev (1.1.0.1-3+b2 [s390x], 1.1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Priority Search Queue
libghc-psqueue-dev-1.1.0.1-86374
本虛擬套件由這些套件填實: libghc-psqueue-dev
libghc-psqueue-dev-1.1.0.1-cffd4
本虛擬套件由這些套件填實: libghc-psqueue-dev
libghc-psqueue-dev-1.1.0.1-e05bd
本虛擬套件由這些套件填實: libghc-psqueue-dev
libghc-psqueue-dev-1.1.0.1-e97f6
本虛擬套件由這些套件填實: libghc-psqueue-dev
libghc-psqueue-doc (1.1.0.1-3)
Priority Search Queue; documentation
libghc-psqueue-prof (1.1.0.1-3+b2 [s390x], 1.1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Priority Search Queue; profiling libraries
libghc-psqueue-prof-1.1.0.1-86374
本虛擬套件由這些套件填實: libghc-psqueue-prof
libghc-psqueue-prof-1.1.0.1-cffd4
本虛擬套件由這些套件填實: libghc-psqueue-prof
libghc-psqueue-prof-1.1.0.1-e05bd
本虛擬套件由這些套件填實: libghc-psqueue-prof
libghc-psqueue-prof-1.1.0.1-e97f6
本虛擬套件由這些套件填實: libghc-psqueue-prof
libghc-psqueues-dev (0.2.7.2-2+b2 [s390x], 0.2.7.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Pure priority search queues
libghc-psqueues-dev-0.2.7.2-07bd7
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-4d643
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-5f1a0
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-7689a
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-9b64e
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-a907a
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-cc81a
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-dev-0.2.7.2-ec6c1
本虛擬套件由這些套件填實: libghc-psqueues-dev
libghc-psqueues-doc (0.2.7.2-2)
Pure priority search queues; documentation
libghc-psqueues-prof (0.2.7.2-2+b2 [s390x], 0.2.7.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Pure priority search queues; profiling libraries
libghc-psqueues-prof-0.2.7.2-07bd7
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-4d643
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-5f1a0
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-7689a
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-9b64e
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-a907a
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-cc81a
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-psqueues-prof-0.2.7.2-ec6c1
本虛擬套件由這些套件填實: libghc-psqueues-prof
libghc-publicsuffixlist-dev (0.1-13+b3 [mipsel, s390x], 0.1-13+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1-13+b1 [mips64el])
routines for testing whether something is a "public suffix"
libghc-publicsuffixlist-dev-0.1-7fbbd
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-90264
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-a6dff
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-bba60
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-bdc27
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-d656e
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-ded1f
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-dfce1
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-dev-0.1-e12ff
本虛擬套件由這些套件填實: libghc-publicsuffixlist-dev
libghc-publicsuffixlist-doc (0.1-13)
routines for testing whether something is a "public suffix"; documentation
libghc-publicsuffixlist-prof (0.1-13+b3 [mipsel, s390x], 0.1-13+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1-13+b1 [mips64el])
routines for testing whether something is a "public suffix"; profiling libraries
libghc-publicsuffixlist-prof-0.1-7fbbd
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-90264
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-a6dff
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-bba60
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-bdc27
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-d656e
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-ded1f
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-dfce1
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-publicsuffixlist-prof-0.1-e12ff
本虛擬套件由這些套件填實: libghc-publicsuffixlist-prof
libghc-punycode-dev (2.0-12+b2 [s390x], 2.0-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Encode unicode strings to ascii forms according to RFC 3492
libghc-punycode-dev-2.0-0aba3
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-0b5f3
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-4173a
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-4f952
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-9c2af
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-b375c
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-bb082
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-dev-2.0-e1421
本虛擬套件由這些套件填實: libghc-punycode-dev
libghc-punycode-doc (2.0-12)
Encode unicode strings to ascii forms according to RFC 3492; documentation
libghc-punycode-prof (2.0-12+b2 [s390x], 2.0-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Encode unicode strings to ascii forms according to RFC 3492; profiling libraries
libghc-punycode-prof-2.0-0aba3
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-0b5f3
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-4173a
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-4f952
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-9c2af
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-b375c
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-bb082
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-punycode-prof-2.0-e1421
本虛擬套件由這些套件填實: libghc-punycode-prof
libghc-puremd5-dev (2.1.3-6+b2 [s390x], 2.1.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of the MD5 digest (hash) algorithm
libghc-puremd5-dev-2.1.3-1b6b7
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-56d2b
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-5ae5f
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-a0cd8
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-a7f10
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-b3d1c
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-e700d
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-dev-2.1.3-f3039
本虛擬套件由這些套件填實: libghc-puremd5-dev
libghc-puremd5-doc (2.1.3-6)
implementation of the MD5 digest (hash) algorithm; documentation
libghc-puremd5-prof (2.1.3-6+b2 [s390x], 2.1.3-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of the MD5 digest (hash) algorithm; profiling libraries
libghc-puremd5-prof-2.1.3-1b6b7
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-56d2b
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-5ae5f
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-a0cd8
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-a7f10
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-b3d1c
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-e700d
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-puremd5-prof-2.1.3-f3039
本虛擬套件由這些套件填實: libghc-puremd5-prof
libghc-pwstore-fast-dev (2.4.4-10+b2 [s390x], 2.4.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
secure password storage
libghc-pwstore-fast-dev-2.4.4-07210
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-07764
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-2b3da
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-800f7
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-8506c
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-8b80c
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-aa250
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-d2d4a
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-dev-2.4.4-f5922
本虛擬套件由這些套件填實: libghc-pwstore-fast-dev
libghc-pwstore-fast-doc (2.4.4-10)
secure password storage; documentation
libghc-pwstore-fast-prof (2.4.4-10+b2 [s390x], 2.4.4-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
secure password storage; profiling libraries
libghc-pwstore-fast-prof-2.4.4-07210
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-07764
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-2b3da
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-800f7
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-8506c
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-8b80c
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-aa250
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-d2d4a
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-pwstore-fast-prof-2.4.4-f5922
本虛擬套件由這些套件填實: libghc-pwstore-fast-prof
libghc-qrencode-dev (1.0.4-11+b2 [s390x], 1.0.4-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings for libqrencode
libghc-qrencode-doc (1.0.4-11)
Haskell bindings for libqrencode; documentation
libghc-qrencode-prof (1.0.4-11+b2 [s390x], 1.0.4-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings for libqrencode; profiling libraries
libghc-quickcheck-dev-2.13.2-0eeab
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-18dcf
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-3c094
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-3e7ed
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-44737
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-c76f1
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-d25e2
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-dev-2.13.2-e1317
本虛擬套件由這些套件填實: libghc-quickcheck2-dev
libghc-quickcheck-instances-dev (0.3.23-1)
common QuickCheck instances
libghc-quickcheck-instances-dev-0.3.23-34edf
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-6cfb6
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-71195
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-80513
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-82806
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-82a24
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-d71f4
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-dev-0.3.23-eea20
本虛擬套件由這些套件填實: libghc-quickcheck-instances-dev
libghc-quickcheck-instances-doc (0.3.23-1)
common QuickCheck instances; documentation
libghc-quickcheck-instances-prof (0.3.23-1)
common QuickCheck instances; profiling libraries
libghc-quickcheck-instances-prof-0.3.23-34edf
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-6cfb6
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-71195
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-80513
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-82806
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-82a24
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-d71f4
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-instances-prof-0.3.23-eea20
本虛擬套件由這些套件填實: libghc-quickcheck-instances-prof
libghc-quickcheck-io-dev (0.2.0-4+b3 [s390x], 0.2.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.0-4+b1 [mips64el, mipsel])
use HUnit assertions as QuickCheck properties
libghc-quickcheck-io-dev-0.2.0-3ab9d
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-5df9e
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-7ce87
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-7db3c
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-8c808
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-b08f5
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-bb16b
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-dev-0.2.0-f79db
本虛擬套件由這些套件填實: libghc-quickcheck-io-dev
libghc-quickcheck-io-doc (0.2.0-4)
use HUnit assertions as QuickCheck properties; documentation
libghc-quickcheck-io-prof (0.2.0-4+b3 [s390x], 0.2.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.0-4+b1 [mips64el, mipsel])
use HUnit assertions as QuickCheck properties; profiling libraries
libghc-quickcheck-io-prof-0.2.0-3ab9d
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-5df9e
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-7ce87
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-7db3c
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-8c808
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-b08f5
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-bb16b
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-io-prof-0.2.0-f79db
本虛擬套件由這些套件填實: libghc-quickcheck-io-prof
libghc-quickcheck-prof-2.13.2-0eeab
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-18dcf
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-3c094
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-3e7ed
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-44737
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-c76f1
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-d25e2
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-prof-2.13.2-e1317
本虛擬套件由這些套件填實: libghc-quickcheck2-prof
libghc-quickcheck-safe-dev (0.1.0.6-2+b3 [s390x], 0.1.0.6-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.6-2+b1 [mips64el, mipsel])
Safe reimplementation of QuickCheck's core
libghc-quickcheck-safe-dev-0.1.0.6-0432e
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-394e5
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-64d3b
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-78e86
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-7af81
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-8ff9d
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-941bd
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-dev-0.1.0.6-b053d
本虛擬套件由這些套件填實: libghc-quickcheck-safe-dev
libghc-quickcheck-safe-doc (0.1.0.6-2)
Safe reimplementation of QuickCheck's core; documentation
libghc-quickcheck-safe-prof (0.1.0.6-2+b3 [s390x], 0.1.0.6-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.0.6-2+b1 [mips64el, mipsel])
Safe reimplementation of QuickCheck's core; profiling libraries
libghc-quickcheck-safe-prof-0.1.0.6-0432e
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-394e5
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-64d3b
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-78e86
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-7af81
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-8ff9d
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-941bd
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-safe-prof-0.1.0.6-b053d
本虛擬套件由這些套件填實: libghc-quickcheck-safe-prof
libghc-quickcheck-simple-dev (0.1.1.1-1+b3 [s390x], 0.1.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.1-1+b1 [mips64el, mipsel])
Test properties and default-mains for QuickCheck
libghc-quickcheck-simple-dev-0.1.1.1-0d539
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-1274a
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-54643
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-6ac11
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-82ec0
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-974bb
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-bba50
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-dev-0.1.1.1-d96d0
本虛擬套件由這些套件填實: libghc-quickcheck-simple-dev
libghc-quickcheck-simple-doc (0.1.1.1-1)
Test properties and default-mains for QuickCheck; documentation
libghc-quickcheck-simple-prof (0.1.1.1-1+b3 [s390x], 0.1.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.1-1+b1 [mips64el, mipsel])
Test properties and default-mains for QuickCheck; profiling libraries
libghc-quickcheck-simple-prof-0.1.1.1-0d539
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-1274a
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-54643
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-6ac11
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-82ec0
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-974bb
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-bba50
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-simple-prof-0.1.1.1-d96d0
本虛擬套件由這些套件填實: libghc-quickcheck-simple-prof
libghc-quickcheck-text-dev (0.1.2.1-5+b3 [s390x], 0.1.2.1-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2.1-5+b1 [mips64el, mipsel])
alternative arbitrary instance for Text
libghc-quickcheck-text-dev-0.1.2.1-02305
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-242f2
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-2dce4
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-45ba3
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-64a5b
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-bc6b3
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-c21f8
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-dev-0.1.2.1-f4159
本虛擬套件由這些套件填實: libghc-quickcheck-text-dev
libghc-quickcheck-text-doc (0.1.2.1-5)
alternative arbitrary instance for Text; documentation
libghc-quickcheck-text-prof (0.1.2.1-5+b3 [s390x], 0.1.2.1-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.2.1-5+b1 [mips64el, mipsel])
alternative arbitrary instance for Text; profiling libraries
libghc-quickcheck-text-prof-0.1.2.1-02305
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-242f2
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-2dce4
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-45ba3
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-64a5b
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-bc6b3
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-c21f8
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-text-prof-0.1.2.1-f4159
本虛擬套件由這些套件填實: libghc-quickcheck-text-prof
libghc-quickcheck-unicode-dev (1.0.1.0-4+b3 [s390x], 1.0.1.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1.0-4+b1 [mips64el, mipsel])
Generator and shrink functions for testing Unicode-related software.
libghc-quickcheck-unicode-dev-1.0.1.0-1abfa
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-35c67
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-5b28d
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-66f35
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-73d1e
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-a438b
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-a5daa
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-dev-1.0.1.0-b22a0
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-dev
libghc-quickcheck-unicode-doc (1.0.1.0-4)
Generator and shrink functions for testing Unicode-related software.; documentation
libghc-quickcheck-unicode-prof (1.0.1.0-4+b3 [s390x], 1.0.1.0-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1.0-4+b1 [mips64el, mipsel])
Generator and shrink functions for testing Unicode-related software.; profiling libraries
libghc-quickcheck-unicode-prof-1.0.1.0-1abfa
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-35c67
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-5b28d
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-66f35
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-73d1e
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-a438b
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-a5daa
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck-unicode-prof-1.0.1.0-b22a0
本虛擬套件由這些套件填實: libghc-quickcheck-unicode-prof
libghc-quickcheck2-dev (2.13.2-1+b3 [s390x], 2.13.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.13.2-1+b1 [mips64el, mipsel])
Haskell automatic testing library for GHC
libghc-quickcheck2-doc (2.13.2-1)
Haskell automatic testing library for GHC; documentation
libghc-quickcheck2-prof (2.13.2-1+b3 [s390x], 2.13.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.13.2-1+b1 [mips64el, mipsel])
Haskell automatic testing library for GHC; profiling libraries
libghc-raaz-dev (0.2.1-2+b2 [s390x], 0.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic networking library for Haskell
libghc-raaz-dev-0.2.1-09a90
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-0e35c
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-33110
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-83262
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-8ca3f
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-99439
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-b2116
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-dev-0.2.1-c0a5a
本虛擬套件由這些套件填實: libghc-raaz-dev
libghc-raaz-doc (0.2.1-2)
cryptographic networking library for Haskell; documentation
libghc-raaz-prof (0.2.1-2+b2 [s390x], 0.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
cryptographic networking library for Haskell; profiling libraries
libghc-raaz-prof-0.2.1-09a90
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-0e35c
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-33110
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-83262
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-8ca3f
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-99439
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-b2116
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-raaz-prof-0.2.1-c0a5a
本虛擬套件由這些套件填實: libghc-raaz-prof
libghc-random-dev (1.1-12+b2 [s390x], 1.1-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
random number generator for Haskell
libghc-random-dev-1.1-00a11
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-406f9
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-523a8
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-5dc23
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-8b80a
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-b7207
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-cd8e9
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-dev-1.1-ecbdf
本虛擬套件由這些套件填實: libghc-random-dev
libghc-random-doc (1.1-12)
random number generator for Haskell; documentation
libghc-random-fu-dev (0.2.7.4-1+b3 [s390x], 0.2.7.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.7.4-1+b1 [mips64el, mipsel])
random number generation
libghc-random-fu-dev-0.2.7.4-060d2
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-40587
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-88235
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-88cf9
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-aacf4
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-b9f20
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-ed1d2
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-dev-0.2.7.4-f9ebb
本虛擬套件由這些套件填實: libghc-random-fu-dev
libghc-random-fu-doc (0.2.7.4-1)
random number generation; documentation
libghc-random-fu-prof (0.2.7.4-1+b3 [s390x], 0.2.7.4-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.7.4-1+b1 [mips64el, mipsel])
random number generation; profiling libraries
libghc-random-fu-prof-0.2.7.4-060d2
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-40587
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-88235
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-88cf9
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-aacf4
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-b9f20
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-ed1d2
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-fu-prof-0.2.7.4-f9ebb
本虛擬套件由這些套件填實: libghc-random-fu-prof
libghc-random-prof (1.1-12+b2 [s390x], 1.1-12+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
random number generator for Haskell; profiling libraries
libghc-random-prof-1.1-00a11
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-406f9
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-523a8
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-5dc23
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-8b80a
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-b7207
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-cd8e9
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-prof-1.1-ecbdf
本虛擬套件由這些套件填實: libghc-random-prof
libghc-random-shuffle-dev (0.0.4-11+b3 [s390x], 0.0.4-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.0.4-11+b1 [mips64el, mipsel])
random shuffle library
libghc-random-shuffle-dev-0.0.4-2e65a
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-39555
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-4667f
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-8345e
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-96ebf
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-aa2ea
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-d9238
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-dev-0.0.4-e2108
本虛擬套件由這些套件填實: libghc-random-shuffle-dev
libghc-random-shuffle-doc (0.0.4-11)
random shuffle library; documentation
libghc-random-shuffle-prof (0.0.4-11+b3 [s390x], 0.0.4-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.0.4-11+b1 [mips64el, mipsel])
random shuffle library; profiling libraries
libghc-random-shuffle-prof-0.0.4-2e65a
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-39555
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-4667f
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-8345e
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-96ebf
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-aa2ea
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-d9238
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-shuffle-prof-0.0.4-e2108
本虛擬套件由這些套件填實: libghc-random-shuffle-prof
libghc-random-source-dev (0.3.0.8-1+b3 [s390x], 0.3.0.8-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.0.8-1+b1 [mips64el, mipsel])
generic basis for random number generators
libghc-random-source-dev-0.3.0.8-0fa45
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-24dd0
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-6f81b
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-7a5a9
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-8bfe3
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-93cf1
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-ab11f
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-dev-0.3.0.8-ad3bc
本虛擬套件由這些套件填實: libghc-random-source-dev
libghc-random-source-doc (0.3.0.8-1)
generic basis for random number generators; documentation
libghc-random-source-prof (0.3.0.8-1+b3 [s390x], 0.3.0.8-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.0.8-1+b1 [mips64el, mipsel])
generic basis for random number generators; profiling libraries
libghc-random-source-prof-0.3.0.8-0fa45
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-24dd0
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-6f81b
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-7a5a9
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-8bfe3
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-93cf1
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-ab11f
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-random-source-prof-0.3.0.8-ad3bc
本虛擬套件由這些套件填實: libghc-random-source-prof
libghc-rank2classes-dev (1.3.2.1-3+b2 [s390x], 1.3.2.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
rank-2-type standard type constructor class hierarchy
libghc-rank2classes-dev-1.3.2.1-36292
本虛擬套件由這些套件填實: libghc-rank2classes-dev
libghc-rank2classes-dev-1.3.2.1-3a680
本虛擬套件由這些套件填實: libghc-rank2classes-dev
libghc-rank2classes-dev-1.3.2.1-3d154
本虛擬套件由這些套件填實: libghc-rank2classes-dev
libghc-rank2classes-dev-1.3.2.1-62013
本虛擬套件由這些套件填實: libghc-rank2classes-dev
libghc-rank2classes-dev-1.3.2.1-e23db
本虛擬套件由這些套件填實: libghc-rank2classes-dev
libghc-rank2classes-dev-1.3.2.1-f66e3
本虛擬套件由這些套件填實: libghc-rank2classes-dev
libghc-rank2classes-doc (1.3.2.1-3)
rank-2-type standard type constructor class hierarchy; documentation
libghc-rank2classes-prof (1.3.2.1-3+b2 [s390x], 1.3.2.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
rank-2-type standard type constructor class hierarchy; profiling libraries
libghc-rank2classes-prof-1.3.2.1-36292
本虛擬套件由這些套件填實: libghc-rank2classes-prof
libghc-rank2classes-prof-1.3.2.1-3a680
本虛擬套件由這些套件填實: libghc-rank2classes-prof
libghc-rank2classes-prof-1.3.2.1-3d154
本虛擬套件由這些套件填實: libghc-rank2classes-prof
libghc-rank2classes-prof-1.3.2.1-62013
本虛擬套件由這些套件填實: libghc-rank2classes-prof
libghc-rank2classes-prof-1.3.2.1-e23db
本虛擬套件由這些套件填實: libghc-rank2classes-prof
libghc-rank2classes-prof-1.3.2.1-f66e3
本虛擬套件由這些套件填實: libghc-rank2classes-prof
libghc-rate-limit-dev (1.4.2-1+b2 [s390x], 1.4.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
rate-limiting IO actions
libghc-rate-limit-dev-1.4.2-0eba0
本虛擬套件由這些套件填實: libghc-rate-limit-dev
libghc-rate-limit-dev-1.4.2-9c426
本虛擬套件由這些套件填實: libghc-rate-limit-dev
libghc-rate-limit-dev-1.4.2-aba7e
本虛擬套件由這些套件填實: libghc-rate-limit-dev
libghc-rate-limit-dev-1.4.2-b6aa9
本虛擬套件由這些套件填實: libghc-rate-limit-dev
libghc-rate-limit-dev-1.4.2-f7701
本虛擬套件由這些套件填實: libghc-rate-limit-dev
libghc-rate-limit-doc (1.4.2-1)
rate-limiting IO actions; documentation
libghc-rate-limit-prof (1.4.2-1+b2 [s390x], 1.4.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
rate-limiting IO actions; profiling libraries
libghc-rate-limit-prof-1.4.2-0eba0
本虛擬套件由這些套件填實: libghc-rate-limit-prof
libghc-rate-limit-prof-1.4.2-9c426
本虛擬套件由這些套件填實: libghc-rate-limit-prof
libghc-rate-limit-prof-1.4.2-aba7e
本虛擬套件由這些套件填實: libghc-rate-limit-prof
libghc-rate-limit-prof-1.4.2-b6aa9
本虛擬套件由這些套件填實: libghc-rate-limit-prof
libghc-rate-limit-prof-1.4.2-f7701
本虛擬套件由這些套件填實: libghc-rate-limit-prof
libghc-raw-strings-qq-dev (1.1-3+b2 [s390x], 1.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Raw string literals for Haskell
libghc-raw-strings-qq-dev-1.1-2de01
本虛擬套件由這些套件填實: libghc-raw-strings-qq-dev
libghc-raw-strings-qq-dev-1.1-a8e4a
本虛擬套件由這些套件填實: libghc-raw-strings-qq-dev
libghc-raw-strings-qq-dev-1.1-c6ef9
本虛擬套件由這些套件填實: libghc-raw-strings-qq-dev
libghc-raw-strings-qq-dev-1.1-cec28
本虛擬套件由這些套件填實: libghc-raw-strings-qq-dev
libghc-raw-strings-qq-dev-1.1-f182f
本虛擬套件由這些套件填實: libghc-raw-strings-qq-dev
libghc-raw-strings-qq-doc (1.1-3)
Raw string literals for Haskell; documentation
libghc-raw-strings-qq-prof (1.1-3+b2 [s390x], 1.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Raw string literals for Haskell; profiling libraries
libghc-raw-strings-qq-prof-1.1-2de01
本虛擬套件由這些套件填實: libghc-raw-strings-qq-prof
libghc-raw-strings-qq-prof-1.1-a8e4a
本虛擬套件由這些套件填實: libghc-raw-strings-qq-prof
libghc-raw-strings-qq-prof-1.1-c6ef9
本虛擬套件由這些套件填實: libghc-raw-strings-qq-prof
libghc-raw-strings-qq-prof-1.1-cec28
本虛擬套件由這些套件填實: libghc-raw-strings-qq-prof
libghc-raw-strings-qq-prof-1.1-f182f
本虛擬套件由這些套件填實: libghc-raw-strings-qq-prof
libghc-reactive-banana-dev (1.2.1.0-2+b3 [s390x], 1.2.1.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.2.1.0-2+b1 [mips64el, mipsel])
Library for functional reactive programming (FRP)
libghc-reactive-banana-dev-1.2.1.0-33d78
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-3d074
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-474ee
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-4a7c0
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-570d2
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-7544e
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-842c8
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-dev-1.2.1.0-fc0cd
本虛擬套件由這些套件填實: libghc-reactive-banana-dev
libghc-reactive-banana-doc (1.2.1.0-2)
Library for functional reactive programming (FRP); documentation
libghc-reactive-banana-prof (1.2.1.0-2+b3 [s390x], 1.2.1.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.2.1.0-2+b1 [mips64el, mipsel])
Library for functional reactive programming (FRP); profiling libraries
libghc-reactive-banana-prof-1.2.1.0-33d78
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-3d074
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-474ee
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-4a7c0
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-570d2
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-7544e
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-842c8
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-reactive-banana-prof-1.2.1.0-fc0cd
本虛擬套件由這些套件填實: libghc-reactive-banana-prof
libghc-readable-dev (0.3.1-7+b2 [s390x], 0.3.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
reading from Text and ByteString
libghc-readable-dev-0.3.1-303ba
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-54bd1
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-69ff3
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-76653
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-94a67
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-ad333
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-eec13
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-dev-0.3.1-f92f9
本虛擬套件由這些套件填實: libghc-readable-dev
libghc-readable-doc (0.3.1-7)
reading from Text and ByteString; documentation
libghc-readable-prof (0.3.1-7+b2 [s390x], 0.3.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
reading from Text and ByteString; profiling libraries
libghc-readable-prof-0.3.1-303ba
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-54bd1
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-69ff3
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-76653
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-94a67
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-ad333
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-eec13
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readable-prof-0.3.1-f92f9
本虛擬套件由這些套件填實: libghc-readable-prof
libghc-readargs-dev (1.2.3-5+b2 [s390x], 1.2.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple command-line argument parsing
libghc-readargs-dev-1.2.3-3aec9
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-61824
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-682af
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-96320
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-e6b6d
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-f3bfc
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-f4872
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-dev-1.2.3-f522a
本虛擬套件由這些套件填實: libghc-readargs-dev
libghc-readargs-doc (1.2.3-5)
simple command-line argument parsing; documentation
libghc-readargs-prof (1.2.3-5+b2 [s390x], 1.2.3-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple command-line argument parsing; profiling libraries
libghc-readargs-prof-1.2.3-3aec9
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-61824
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-682af
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-96320
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-e6b6d
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-f3bfc
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-f4872
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readargs-prof-1.2.3-f522a
本虛擬套件由這些套件填實: libghc-readargs-prof
libghc-readline-dev (1.0.3.0-11+b2 [s390x], 1.0.3.0-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to GNU readline library
libghc-readline-dev-1.0.3.0-032d8
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-0a76b
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-1adad
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-50698
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-7a9d3
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-c8414
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-d604f
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-dev-1.0.3.0-e4ebe
本虛擬套件由這些套件填實: libghc-readline-dev
libghc-readline-doc (1.0.3.0-11)
Haskell bindings to GNU readline library; documentation
libghc-readline-prof (1.0.3.0-11+b2 [s390x], 1.0.3.0-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to GNU readline library; profiling libraries
libghc-readline-prof-1.0.3.0-032d8
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-0a76b
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-1adad
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-50698
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-7a9d3
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-c8414
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-d604f
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-readline-prof-1.0.3.0-e4ebe
本虛擬套件由這些套件填實: libghc-readline-prof
libghc-recaptcha-dev (0.1.0.4-3+b2 [s390x], 0.1.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell interface to reCAPTCHA service
libghc-recaptcha-dev-0.1.0.4-3f7b5
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-5caa5
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-6dbe6
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-8dbce
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-9bc9b
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-a6ca3
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-d7302
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-dev-0.1.0.4-f196f
本虛擬套件由這些套件填實: libghc-recaptcha-dev
libghc-recaptcha-doc (0.1.0.4-3)
Haskell interface to reCAPTCHA service; documentation
libghc-recaptcha-prof (0.1.0.4-3+b2 [s390x], 0.1.0.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell interface to reCAPTCHA service; profiling libraries
libghc-recaptcha-prof-0.1.0.4-3f7b5
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-5caa5
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-6dbe6
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-8dbce
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-9bc9b
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-a6ca3
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-d7302
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recaptcha-prof-0.1.0.4-f196f
本虛擬套件由這些套件填實: libghc-recaptcha-prof
libghc-recursion-schemes-dev (5.1.3-2+b2 [s390x], 5.1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generalized bananas, lenses and barbed wire
libghc-recursion-schemes-dev-5.1.3-11715
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-3ee08
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-7edb3
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-abdae
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-bc8e3
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-c7657
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-ccf44
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-e9127
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-dev-5.1.3-f0799
本虛擬套件由這些套件填實: libghc-recursion-schemes-dev
libghc-recursion-schemes-doc (5.1.3-2)
generalized bananas, lenses and barbed wire; documentation
libghc-recursion-schemes-prof (5.1.3-2+b2 [s390x], 5.1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
generalized bananas, lenses and barbed wire; profiling libraries
libghc-recursion-schemes-prof-5.1.3-11715
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-3ee08
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-7edb3
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-abdae
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-bc8e3
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-c7657
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-ccf44
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-e9127
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-recursion-schemes-prof-5.1.3-f0799
本虛擬套件由這些套件填實: libghc-recursion-schemes-prof
libghc-reducers-dev (3.12.3-3+b2 [s390x], 3.12.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
semigroup-based containers and reductions
libghc-reducers-dev-3.12.3-10054
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-106a0
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-2d2d1
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-31a11
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-34515
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-356e5
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-5cb41
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-756a7
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-dev-3.12.3-cf6e4
本虛擬套件由這些套件填實: libghc-reducers-dev
libghc-reducers-doc (3.12.3-3)
semigroup-based containers and reductions; documentation
libghc-reducers-prof (3.12.3-3+b2 [s390x], 3.12.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
semigroup-based containers and reductions; profiling libraries
libghc-reducers-prof-3.12.3-10054
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-106a0
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-2d2d1
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-31a11
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-34515
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-356e5
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-5cb41
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-756a7
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-reducers-prof-3.12.3-cf6e4
本虛擬套件由這些套件填實: libghc-reducers-prof
libghc-refact-dev (0.3.0.2-6+b2 [s390x], 0.3.0.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
specify refactorings to perform with apply-refact
libghc-refact-dev-0.3.0.2-0ee46
本虛擬套件由這些套件填實: libghc-refact-dev
libghc-refact-dev-0.3.0.2-63a00
本虛擬套件由這些套件填實: libghc-refact-dev
libghc-refact-dev-0.3.0.2-6a5bc
本虛擬套件由這些套件填實: libghc-refact-dev
libghc-refact-dev-0.3.0.2-a7bc1
本虛擬套件由這些套件填實: libghc-refact-dev
libghc-refact-dev-0.3.0.2-b0e8f
本虛擬套件由這些套件填實: libghc-refact-dev
libghc-refact-doc (0.3.0.2-6)
specify refactorings to perform with apply-refact; documentation
libghc-refact-prof (0.3.0.2-6+b2 [s390x], 0.3.0.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
specify refactorings to perform with apply-refact; profiling libraries
libghc-refact-prof-0.3.0.2-0ee46
本虛擬套件由這些套件填實: libghc-refact-prof
libghc-refact-prof-0.3.0.2-63a00
本虛擬套件由這些套件填實: libghc-refact-prof
libghc-refact-prof-0.3.0.2-6a5bc
本虛擬套件由這些套件填實: libghc-refact-prof
libghc-refact-prof-0.3.0.2-a7bc1
本虛擬套件由這些套件填實: libghc-refact-prof
libghc-refact-prof-0.3.0.2-b0e8f
本虛擬套件由這些套件填實: libghc-refact-prof
libghc-reflection-dev (2.1.6-1)
reifies arbitrary terms into types that can be reflected back into terms
libghc-reflection-dev-2.1.6-755fe
本虛擬套件由這些套件填實: libghc-reflection-dev
libghc-reflection-dev-2.1.6-7d228
本虛擬套件由這些套件填實: libghc-reflection-dev
libghc-reflection-dev-2.1.6-803c4
本虛擬套件由這些套件填實: libghc-reflection-dev
libghc-reflection-dev-2.1.6-b8277
本虛擬套件由這些套件填實: libghc-reflection-dev
libghc-reflection-dev-2.1.6-d7912
本虛擬套件由這些套件填實: libghc-reflection-dev
libghc-reflection-doc (2.1.6-1)
reifies arbitrary terms into types that can be reflected; documentation
libghc-reflection-prof (2.1.6-1)
reifies arbitrary terms into types that can be reflected; profiling libraries
libghc-reflection-prof-2.1.6-755fe
本虛擬套件由這些套件填實: libghc-reflection-prof
libghc-reflection-prof-2.1.6-7d228
本虛擬套件由這些套件填實: libghc-reflection-prof
libghc-reflection-prof-2.1.6-803c4
本虛擬套件由這些套件填實: libghc-reflection-prof
libghc-reflection-prof-2.1.6-b8277
本虛擬套件由這些套件填實: libghc-reflection-prof
libghc-reflection-prof-2.1.6-d7912
本虛擬套件由這些套件填實: libghc-reflection-prof
libghc-reform-dev (0.2.7.4-2+b2 [s390x], 0.2.7.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTML form generation and validation library
libghc-reform-dev-0.2.7.4-2f8b9
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-42799
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-5cb01
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-87bf2
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-94735
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-98c7c
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-a016e
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-dev-0.2.7.4-f9d0f
本虛擬套件由這些套件填實: libghc-reform-dev
libghc-reform-doc (0.2.7.4-2)
HTML form generation and validation library; documentation
libghc-reform-happstack-dev (0.2.5.3-1+b3 [s390x], 0.2.5.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.5.3-1+b1 [mips64el, mipsel])
Happstack support for reform
libghc-reform-happstack-dev-0.2.5.3-1309c
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-1a681
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-35bf7
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-7beac
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-c133f
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-cacdd
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-d9a45
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-e2728
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-dev-0.2.5.3-f50e9
本虛擬套件由這些套件填實: libghc-reform-happstack-dev
libghc-reform-happstack-doc (0.2.5.3-1)
Happstack support for reform; documentation
libghc-reform-happstack-prof (0.2.5.3-1+b3 [s390x], 0.2.5.3-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.5.3-1+b1 [mips64el, mipsel])
Happstack support for reform; profiling libraries
libghc-reform-happstack-prof-0.2.5.3-1309c
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-1a681
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-35bf7
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-7beac
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-c133f
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-cacdd
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-d9a45
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-e2728
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-happstack-prof-0.2.5.3-f50e9
本虛擬套件由這些套件填實: libghc-reform-happstack-prof
libghc-reform-hsp-dev (0.2.7.2-1+b3 [armhf, s390x], 0.2.7.2-1+b2 [amd64, arm64, armel, i386, ppc64el], 0.2.7.2-1+b1 [mips64el, mipsel])
support for using HSP with Reform
libghc-reform-hsp-dev-0.2.7.2-091a2
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-0a63d
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-1a646
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-6363a
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-6a947
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-a6dbf
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-ca969
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-e9ccf
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-dev-0.2.7.2-f8667
本虛擬套件由這些套件填實: libghc-reform-hsp-dev
libghc-reform-hsp-doc (0.2.7.2-1)
support for using HSP with Reform; documentation
libghc-reform-hsp-prof (0.2.7.2-1+b3 [armhf, s390x], 0.2.7.2-1+b2 [amd64, arm64, armel, i386, ppc64el], 0.2.7.2-1+b1 [mips64el, mipsel])
support for using HSP with Reform; profiling libraries
libghc-reform-hsp-prof-0.2.7.2-091a2
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-0a63d
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-1a646
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-6363a
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-6a947
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-a6dbf
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-ca969
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-e9ccf
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-hsp-prof-0.2.7.2-f8667
本虛擬套件由這些套件填實: libghc-reform-hsp-prof
libghc-reform-prof (0.2.7.4-2+b2 [s390x], 0.2.7.4-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HTML form generation and validation library; profiling libraries
libghc-reform-prof-0.2.7.4-2f8b9
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-42799
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-5cb01
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-87bf2
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-94735
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-98c7c
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-a016e
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-reform-prof-0.2.7.4-f9d0f
本虛擬套件由這些套件填實: libghc-reform-prof
libghc-regex-applicative-dev (0.3.3.1-1+b2 [s390x], 0.3.3.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
regex-based parsing with applicative interface
libghc-regex-applicative-dev-0.3.3.1-084b4
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-11685
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-6f009
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-84599
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-90a58
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-9e754
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-be5a1
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-dev-0.3.3.1-bf0e6
本虛擬套件由這些套件填實: libghc-regex-applicative-dev
libghc-regex-applicative-doc (0.3.3.1-1)
regex-based parsing with applicative interface; documentation
libghc-regex-applicative-prof (0.3.3.1-1+b2 [s390x], 0.3.3.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
regex-based parsing with applicative interface; profiling libraries
libghc-regex-applicative-prof-0.3.3.1-084b4
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-11685
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-6f009
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-84599
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-90a58
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-9e754
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-be5a1
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-prof-0.3.3.1-bf0e6
本虛擬套件由這些套件填實: libghc-regex-applicative-prof
libghc-regex-applicative-text-dev (0.1.0.1-8+b2 [s390x], 0.1.0.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
regex-applicative on text
libghc-regex-applicative-text-dev-0.1.0.1-24c1b
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-50f56
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-767a6
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-7c88c
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-a5e62
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-b2f7f
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-c2c36
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-dev-0.1.0.1-f6840
本虛擬套件由這些套件填實: libghc-regex-applicative-text-dev
libghc-regex-applicative-text-doc (0.1.0.1-8)
regex-applicative on text; documentation
libghc-regex-applicative-text-prof (0.1.0.1-8+b2 [s390x], 0.1.0.1-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
regex-applicative on text; profiling libraries
libghc-regex-applicative-text-prof-0.1.0.1-24c1b
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-50f56
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-767a6
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-7c88c
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-a5e62
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-b2f7f
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-c2c36
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-applicative-text-prof-0.1.0.1-f6840
本虛擬套件由這些套件填實: libghc-regex-applicative-text-prof
libghc-regex-base-dev (0.94.0.0-1+b2 [s390x], 0.94.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC library providing an API for regular expressions
libghc-regex-base-dev-0.94.0.0-189c7
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-1eb9f
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-3a9ee
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-7e75b
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-a989a
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-acc48
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-ba5e9
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-dev-0.94.0.0-e2d12
本虛擬套件由這些套件填實: libghc-regex-base-dev
libghc-regex-base-doc (0.94.0.0-1)
GHC library providing an API for regular expressions; documentation
libghc-regex-base-prof (0.94.0.0-1+b2 [s390x], 0.94.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC library providing an API for regular expressions; profiling libraries
libghc-regex-base-prof-0.94.0.0-189c7
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-1eb9f
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-3a9ee
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-7e75b
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-a989a
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-acc48
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-ba5e9
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-base-prof-0.94.0.0-e2d12
本虛擬套件由這些套件填實: libghc-regex-base-prof
libghc-regex-compat-dev (0.95.2.0-1+b2 [s390x], 0.95.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC library providing old Text.Regex interface
libghc-regex-compat-dev-0.95.2.0-18b07
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-56baf
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-6479e
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-7fc7c
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-93224
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-9a89f
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-a7a75
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-dev-0.95.2.0-bebfa
本虛擬套件由這些套件填實: libghc-regex-compat-dev
libghc-regex-compat-doc (0.95.2.0-1)
API documentation for regex-compat Haskell library
libghc-regex-compat-prof (0.95.2.0-1+b2 [s390x], 0.95.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC profiling library providing old Text.Regex interface
libghc-regex-compat-prof-0.95.2.0-18b07
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-56baf
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-6479e
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-7fc7c
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-93224
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-9a89f
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-a7a75
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-prof-0.95.2.0-bebfa
本虛擬套件由這些套件填實: libghc-regex-compat-prof
libghc-regex-compat-tdfa-dev (0.95.1.4-8+b2 [s390x], 0.95.1.4-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unicode Support version of Text.Regex, using regex-tdfa
libghc-regex-compat-tdfa-dev-0.95.1.4-0d375
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-61b51
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-6cb03
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-76d7b
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-7d9b4
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-c24cd
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-c599e
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-dev-0.95.1.4-dbb8b
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-dev
libghc-regex-compat-tdfa-doc (0.95.1.4-8)
Unicode Support version of Text.Regex, using regex-tdfa; documentation
libghc-regex-compat-tdfa-prof (0.95.1.4-8+b2 [s390x], 0.95.1.4-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unicode Support version of Text.Regex, using regex-tdfa; profiling libraries
libghc-regex-compat-tdfa-prof-0.95.1.4-0d375
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-61b51
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-6cb03
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-76d7b
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-7d9b4
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-c24cd
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-c599e
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-compat-tdfa-prof-0.95.1.4-dbb8b
本虛擬套件由這些套件填實: libghc-regex-compat-tdfa-prof
libghc-regex-pcre-dev (0.95.0.0-2+b2 [s390x], 0.95.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Perl-compatible regular expressions
libghc-regex-pcre-dev-0.95.0.0-04d86
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-06c44
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-3893b
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-7127d
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-7c0df
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-81e23
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-a6b1d
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-dev-0.95.0.0-fa4b2
本虛擬套件由這些套件填實: libghc-regex-pcre-dev
libghc-regex-pcre-doc (0.95.0.0-2)
Perl-compatible regular expressions; documentation
libghc-regex-pcre-prof (0.95.0.0-2+b2 [s390x], 0.95.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Perl-compatible regular expressions; profiling libraries
libghc-regex-pcre-prof-0.95.0.0-04d86
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-06c44
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-3893b
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-7127d
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-7c0df
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-81e23
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-a6b1d
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-pcre-prof-0.95.0.0-fa4b2
本虛擬套件由這些套件填實: libghc-regex-pcre-prof
libghc-regex-posix-dev (0.96.0.0-1+b2 [s390x], 0.96.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC library of the POSIX regex backend for regex-base
libghc-regex-posix-dev-0.96.0.0-0a4c9
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-26d36
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-555ba
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-88650
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-cbe61
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-ccf68
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-e3924
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-dev-0.96.0.0-f6ee8
本虛擬套件由這些套件填實: libghc-regex-posix-dev
libghc-regex-posix-doc (0.96.0.0-1)
API documentation for regex-posix Haskell library
libghc-regex-posix-prof (0.96.0.0-1+b2 [s390x], 0.96.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC profiling library of the POSIX regex backend for regex-base
libghc-regex-posix-prof-0.96.0.0-0a4c9
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-26d36
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-555ba
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-88650
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-cbe61
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-ccf68
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-e3924
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-posix-prof-0.96.0.0-f6ee8
本虛擬套件由這些套件填實: libghc-regex-posix-prof
libghc-regex-tdfa-dev (1.3.1.0-2+b2 [s390x], 1.3.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for a tagged DFA regex engine
libghc-regex-tdfa-dev-1.3.1.0-14693
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-2427b
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-36d34
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-56fca
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-97267
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-a1c6c
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-d2031
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-dev-1.3.1.0-e150f
本虛擬套件由這些套件填實: libghc-regex-tdfa-dev
libghc-regex-tdfa-doc (1.3.1.0-2)
Haskell library for a tagged DFA regex engine; documentation
libghc-regex-tdfa-prof (1.3.1.0-2+b2 [s390x], 1.3.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for a tagged DFA regex engine; profiling libraries
libghc-regex-tdfa-prof-1.3.1.0-14693
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-2427b
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-36d34
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-56fca
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-97267
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-a1c6c
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-d2031
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regex-tdfa-prof-1.3.1.0-e150f
本虛擬套件由這些套件填實: libghc-regex-tdfa-prof
libghc-regexpr-dev (0.5.4-15+b2 [s390x], 0.5.4-15+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Regular expression library like Perl/Ruby
libghc-regexpr-dev-0.5.4-4fdf5
本虛擬套件由這些套件填實: libghc-regexpr-dev
libghc-regexpr-dev-0.5.4-68139
本虛擬套件由這些套件填實: libghc-regexpr-dev
libghc-regexpr-dev-0.5.4-a760f
本虛擬套件由這些套件填實: libghc-regexpr-dev
libghc-regexpr-dev-0.5.4-c63ad
本虛擬套件由這些套件填實: libghc-regexpr-dev
libghc-regexpr-dev-0.5.4-f2b1a
本虛擬套件由這些套件填實: libghc-regexpr-dev
libghc-regexpr-doc (0.5.4-15)
Regular expression library like Perl/Ruby; documentation
libghc-regexpr-prof (0.5.4-15+b2 [s390x], 0.5.4-15+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Regular expression library like Perl/Ruby; profiling libraries
libghc-regexpr-prof-0.5.4-4fdf5
本虛擬套件由這些套件填實: libghc-regexpr-prof
libghc-regexpr-prof-0.5.4-68139
本虛擬套件由這些套件填實: libghc-regexpr-prof
libghc-regexpr-prof-0.5.4-a760f
本虛擬套件由這些套件填實: libghc-regexpr-prof
libghc-regexpr-prof-0.5.4-c63ad
本虛擬套件由這些套件填實: libghc-regexpr-prof
libghc-regexpr-prof-0.5.4-f2b1a
本虛擬套件由這些套件填實: libghc-regexpr-prof
libghc-reinterpret-cast-dev (0.1.0-2+b2 [s390x], 0.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memory reinterpretation casts for Float/Double/Word32/Word64
libghc-reinterpret-cast-dev-0.1.0-09392
本虛擬套件由這些套件填實: libghc-reinterpret-cast-dev
libghc-reinterpret-cast-dev-0.1.0-2af99
本虛擬套件由這些套件填實: libghc-reinterpret-cast-dev
libghc-reinterpret-cast-dev-0.1.0-5e7ce
本虛擬套件由這些套件填實: libghc-reinterpret-cast-dev
libghc-reinterpret-cast-dev-0.1.0-bb74d
本虛擬套件由這些套件填實: libghc-reinterpret-cast-dev
libghc-reinterpret-cast-dev-0.1.0-edf34
本虛擬套件由這些套件填實: libghc-reinterpret-cast-dev
libghc-reinterpret-cast-doc (0.1.0-2)
Memory reinterpretation casts for Float/Double/Word32/Word64; documentation
libghc-reinterpret-cast-prof (0.1.0-2+b2 [s390x], 0.1.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memory reinterpretation casts for Float/Double/Word32/Word64; profiling libraries
libghc-reinterpret-cast-prof-0.1.0-09392
本虛擬套件由這些套件填實: libghc-reinterpret-cast-prof
libghc-reinterpret-cast-prof-0.1.0-2af99
本虛擬套件由這些套件填實: libghc-reinterpret-cast-prof
libghc-reinterpret-cast-prof-0.1.0-5e7ce
本虛擬套件由這些套件填實: libghc-reinterpret-cast-prof
libghc-reinterpret-cast-prof-0.1.0-bb74d
本虛擬套件由這些套件填實: libghc-reinterpret-cast-prof
libghc-reinterpret-cast-prof-0.1.0-edf34
本虛擬套件由這些套件填實: libghc-reinterpret-cast-prof
libghc-relational-query-dev (0.12.2.3-1)
Typeful, Modular, Relational, algebraic query engine
libghc-relational-query-dev-0.12.2.3-20b1d
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-5d09d
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-813c0
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-9de47
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-d9453
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-e48e9
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-f13d3
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-dev-0.12.2.3-feb00
本虛擬套件由這些套件填實: libghc-relational-query-dev
libghc-relational-query-doc (0.12.2.3-1)
Typeful, Modular, Relational, algebraic query engine; documentation
libghc-relational-query-hdbc-dev (0.7.2.0-2+b3 [s390x], 0.7.2.0-2+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
relational-query instance and typed interface for HDBC
libghc-relational-query-hdbc-dev-0.7.2.0-294d3
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-42567
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-6fecc
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-81bee
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-87cbd
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-9a703
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-b539c
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-dev-0.7.2.0-cfde6
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-dev
libghc-relational-query-hdbc-doc (0.7.2.0-2)
relational-query instance and typed interface for HDBC; documentation
libghc-relational-query-hdbc-prof (0.7.2.0-2+b3 [s390x], 0.7.2.0-2+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
relational-query instance and typed interface for HDBC; profiling libraries
libghc-relational-query-hdbc-prof-0.7.2.0-294d3
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-42567
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-6fecc
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-81bee
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-87cbd
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-9a703
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-b539c
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-hdbc-prof-0.7.2.0-cfde6
本虛擬套件由這些套件填實: libghc-relational-query-hdbc-prof
libghc-relational-query-prof (0.12.2.3-1)
Typeful, Modular, Relational, algebraic query engine; profiling libraries
libghc-relational-query-prof-0.12.2.3-20b1d
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-5d09d
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-813c0
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-9de47
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-d9453
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-e48e9
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-f13d3
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-query-prof-0.12.2.3-feb00
本虛擬套件由這些套件填實: libghc-relational-query-prof
libghc-relational-record-dev (0.2.2.0-5)
metapackage of Relational Record
libghc-relational-record-doc (0.2.2.0-5)
Documentation package of Haskell Relational Record
libghc-relational-record-prof (0.2.2.0-5)
metapackage of Relational Record; profiling libraries
libghc-relational-schemas-dev (0.1.8.0-1+b3 [s390x], 0.1.8.0-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
RDBMSs' schema templates for relational-query
libghc-relational-schemas-dev-0.1.8.0-03848
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-36641
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-60907
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-69fb3
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-6ea9a
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-6f7df
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-720eb
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-dev-0.1.8.0-7d59a
本虛擬套件由這些套件填實: libghc-relational-schemas-dev
libghc-relational-schemas-doc (0.1.8.0-1)
RDBMSs' schema templates for relational-query; documentation
libghc-relational-schemas-prof (0.1.8.0-1+b3 [s390x], 0.1.8.0-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
RDBMSs' schema templates for relational-query; profiling libraries
libghc-relational-schemas-prof-0.1.8.0-03848
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-36641
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-60907
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-69fb3
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-6ea9a
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-6f7df
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-720eb
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-relational-schemas-prof-0.1.8.0-7d59a
本虛擬套件由這些套件填實: libghc-relational-schemas-prof
libghc-repa-dev (3.4.1.4-3+b3 [s390x], 3.4.1.4-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.4.1.4-3+b1 [mips64el, mipsel])
Regular parallel arrays for Haskell
libghc-repa-dev-3.4.1.4-148ba
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-23986
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-3dc96
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-41f9f
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-4dbaa
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-9dbd8
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-a0091
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-dev-3.4.1.4-e8949
本虛擬套件由這些套件填實: libghc-repa-dev
libghc-repa-doc (3.4.1.4-3)
Regular parallel arrays for Haskell; documentation
libghc-repa-prof (3.4.1.4-3+b3 [s390x], 3.4.1.4-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.4.1.4-3+b1 [mips64el, mipsel])
Regular parallel arrays for Haskell; profiling libraries
libghc-repa-prof-3.4.1.4-148ba
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-23986
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-3dc96
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-41f9f
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-4dbaa
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-9dbd8
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-a0091
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repa-prof-3.4.1.4-e8949
本虛擬套件由這些套件填實: libghc-repa-prof
libghc-repline-dev (0.2.2.0-1+b2 [s390x], 0.2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskeline wrapper for GHCi-like REPL interfaces.
libghc-repline-dev-0.2.2.0-012c2
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-20b61
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-6c6fe
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-7377f
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-761c3
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-79613
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-bf846
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-dev-0.2.2.0-d4a62
本虛擬套件由這些套件填實: libghc-repline-dev
libghc-repline-doc (0.2.2.0-1)
Haskeline wrapper for GHCi-like REPL interfaces.; documentation
libghc-repline-prof (0.2.2.0-1+b2 [s390x], 0.2.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskeline wrapper for GHCi-like REPL interfaces.; profiling libraries
libghc-repline-prof-0.2.2.0-012c2
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-20b61
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-6c6fe
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-7377f
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-761c3
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-79613
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-bf846
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-repline-prof-0.2.2.0-d4a62
本虛擬套件由這些套件填實: libghc-repline-prof
libghc-resolv-dev (0.1.2.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.2.0-2+b1 [mips64el, mipsel])
Domain Name Service (DNS) lookup via libresolv
libghc-resolv-dev-0.1.2.0-0c99b
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-127d7
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-1e31c
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-33a8d
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-3d1fd
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-4aa4f
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-4b9d8
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-dev-0.1.2.0-ebe44
本虛擬套件由這些套件填實: libghc-resolv-dev
libghc-resolv-doc (0.1.2.0-2)
Domain Name Service (DNS) lookup via libresolv; documentation
libghc-resolv-prof (0.1.2.0-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.2.0-2+b1 [mips64el, mipsel])
Domain Name Service (DNS) lookup via libresolv; profiling libraries
libghc-resolv-prof-0.1.2.0-0c99b
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-127d7
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-1e31c
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-33a8d
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-3d1fd
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-4aa4f
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-4b9d8
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resolv-prof-0.1.2.0-ebe44
本虛擬套件由這些套件填實: libghc-resolv-prof
libghc-resource-pool-dev (0.2.3.2-10+b2 [s390x], 0.2.3.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
high-performance striped resource-pooling implementation
libghc-resource-pool-dev-0.2.3.2-0bf23
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-13ac3
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-1c697
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-3cb82
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-46942
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-acf46
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-b2a6f
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-dev-0.2.3.2-fa032
本虛擬套件由這些套件填實: libghc-resource-pool-dev
libghc-resource-pool-doc (0.2.3.2-10)
high-performance striped resource-pooling implementation; documentation
libghc-resource-pool-prof (0.2.3.2-10+b2 [s390x], 0.2.3.2-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
high-performance striped resource-pooling implementation; profiling libraries
libghc-resource-pool-prof-0.2.3.2-0bf23
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-13ac3
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-1c697
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-3cb82
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-46942
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-acf46
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-b2a6f
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resource-pool-prof-0.2.3.2-fa032
本虛擬套件由這些套件填實: libghc-resource-pool-prof
libghc-resourcet-dev (1.2.4.2-1)
deterministic allocation and freeing of scarce resources
libghc-resourcet-dev-1.2.4.2-1a4fb
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-2371f
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-304bf
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-80c57
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-d1f01
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-dcb04
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-ebf88
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-dev-1.2.4.2-f8652
本虛擬套件由這些套件填實: libghc-resourcet-dev
libghc-resourcet-doc (1.2.4.2-1)
deterministic allocation and freeing of scarce resources; documentation
libghc-resourcet-prof (1.2.4.2-1)
deterministic allocation and freeing of scarce resources; profiling libraries
libghc-resourcet-prof-1.2.4.2-1a4fb
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-2371f
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-304bf
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-80c57
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-d1f01
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-dcb04
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-ebf88
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-resourcet-prof-1.2.4.2-f8652
本虛擬套件由這些套件填實: libghc-resourcet-prof
libghc-retry-dev (0.8.1.2-2+b2 [s390x], 0.8.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Retry combinators for monadic actions that may fail
libghc-retry-dev-0.8.1.2-43946
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-62b5e
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-9425f
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-98ca5
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-c9cec
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-d63e4
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-de877
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-dev-0.8.1.2-e559f
本虛擬套件由這些套件填實: libghc-retry-dev
libghc-retry-doc (0.8.1.2-2)
Retry combinators for monadic actions that may fail; documentation
libghc-retry-prof (0.8.1.2-2+b2 [s390x], 0.8.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Retry combinators for monadic actions that may fail; profiling libraries
libghc-retry-prof-0.8.1.2-43946
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-62b5e
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-9425f
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-98ca5
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-c9cec
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-d63e4
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-de877
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-retry-prof-0.8.1.2-e559f
本虛擬套件由這些套件填實: libghc-retry-prof
libghc-rio-dev (0.1.18.0-1)
standard library for Haskell
libghc-rio-dev-0.1.18.0-3ce2e
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-6b87a
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-77765
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-80bd5
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-84652
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-86d2d
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-8a8a2
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-8bf00
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-dev-0.1.18.0-e5085
本虛擬套件由這些套件填實: libghc-rio-dev
libghc-rio-doc (0.1.18.0-1)
standard library for Haskell; documentation
libghc-rio-orphans-dev (0.1.1.0-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.1.0-2+b2 [mipsel], 0.1.1.0-2+b1 [mips64el])
Orphan instances for the RIO type in the rio package
libghc-rio-orphans-dev-0.1.1.0-0cfb1
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-11c0d
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-2bfed
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-41eac
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-61066
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-611b3
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-82a51
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-97d71
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-dev-0.1.1.0-c7c76
本虛擬套件由這些套件填實: libghc-rio-orphans-dev
libghc-rio-orphans-doc (0.1.1.0-2)
Orphan instances for the RIO type in the rio package; documentation
libghc-rio-orphans-prof (0.1.1.0-2+b3 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.1.0-2+b2 [mipsel], 0.1.1.0-2+b1 [mips64el])
Orphan instances for the RIO type in the rio package; profiling libraries
libghc-rio-orphans-prof-0.1.1.0-0cfb1
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-11c0d
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-2bfed
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-41eac
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-61066
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-611b3
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-82a51
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-97d71
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-orphans-prof-0.1.1.0-c7c76
本虛擬套件由這些套件填實: libghc-rio-orphans-prof
libghc-rio-prettyprint-dev (0.1.1.0-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.1.0-1 [mips64el, mipsel])
Pretty-printing for RIO
libghc-rio-prettyprint-dev-0.1.1.0-16d8c
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-285de
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-33c37
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-679ff
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-a8f85
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-af13a
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-d0ce9
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-f66c9
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-dev-0.1.1.0-fb148
本虛擬套件由這些套件填實: libghc-rio-prettyprint-dev
libghc-rio-prettyprint-doc (0.1.1.0-1)
Pretty-printing for RIO; documentation
libghc-rio-prettyprint-prof (0.1.1.0-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.1.1.0-1 [mips64el, mipsel])
Pretty-printing for RIO; profiling libraries
libghc-rio-prettyprint-prof-0.1.1.0-16d8c
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-285de
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-33c37
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-679ff
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-a8f85
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-af13a
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-d0ce9
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-f66c9
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prettyprint-prof-0.1.1.0-fb148
本虛擬套件由這些套件填實: libghc-rio-prettyprint-prof
libghc-rio-prof (0.1.18.0-1)
standard library for Haskell; profiling libraries
libghc-rio-prof-0.1.18.0-3ce2e
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-6b87a
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-77765
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-80bd5
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-84652
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-86d2d
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-8a8a2
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-8bf00
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rio-prof-0.1.18.0-e5085
本虛擬套件由這些套件填實: libghc-rio-prof
libghc-rsa-dev (2.4.1-1+b2 [s390x], 2.4.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of RSA, using the padding schemes of PKCS#1 v2.1.
libghc-rsa-dev-2.4.1-38eb6
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-496bd
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-49aa9
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-6a876
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-a69c3
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-c65e3
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-c7d62
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-dev-2.4.1-f76ce
本虛擬套件由這些套件填實: libghc-rsa-dev
libghc-rsa-doc (2.4.1-1)
implementation of RSA, using padding schemes of PKCS#1 v2.1.; documentation
libghc-rsa-prof (2.4.1-1+b2 [s390x], 2.4.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of RSA, using padding schemes of PKCS#1; profiling libraries
libghc-rsa-prof-2.4.1-38eb6
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-496bd
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-49aa9
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-6a876
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-a69c3
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-c65e3
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-c7d62
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rsa-prof-2.4.1-f76ce
本虛擬套件由這些套件填實: libghc-rsa-prof
libghc-rts-dev-1.0-
本虛擬套件由這些套件填實: ghc
libghc-rts-prof-1.0-
本虛擬套件由這些套件填實: ghc-prof
libghc-rvar-dev (0.2.0.6-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.2.0.6-1 [mips64el, mipsel])
random variables
libghc-rvar-dev-0.2.0.6-120e2
本虛擬套件由這些套件填實: libghc-rvar-dev
libghc-rvar-dev-0.2.0.6-16f4f
本虛擬套件由這些套件填實: libghc-rvar-dev
libghc-rvar-dev-0.2.0.6-2b615
本虛擬套件由這些套件填實: libghc-rvar-dev
libghc-rvar-dev-0.2.0.6-c5093
本虛擬套件由這些套件填實: libghc-rvar-dev
libghc-rvar-dev-0.2.0.6-ce836
本虛擬套件由這些套件填實: libghc-rvar-dev
libghc-rvar-doc (0.2.0.6-1)
random variables; documentation
libghc-rvar-prof (0.2.0.6-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.2.0.6-1 [mips64el, mipsel])
random variables; profiling libraries
libghc-rvar-prof-0.2.0.6-120e2
本虛擬套件由這些套件填實: libghc-rvar-prof
libghc-rvar-prof-0.2.0.6-16f4f
本虛擬套件由這些套件填實: libghc-rvar-prof
libghc-rvar-prof-0.2.0.6-2b615
本虛擬套件由這些套件填實: libghc-rvar-prof
libghc-rvar-prof-0.2.0.6-c5093
本虛擬套件由這些套件填實: libghc-rvar-prof
libghc-rvar-prof-0.2.0.6-ce836
本虛擬套件由這些套件填實: libghc-rvar-prof
libghc-safe-dev (0.3.19-1)
GHC Library for safe (pattern match free) functions
libghc-safe-dev-0.3.19-369cd
本虛擬套件由這些套件填實: libghc-safe-dev
libghc-safe-dev-0.3.19-7914e
本虛擬套件由這些套件填實: libghc-safe-dev
libghc-safe-dev-0.3.19-7ae12
本虛擬套件由這些套件填實: libghc-safe-dev
libghc-safe-dev-0.3.19-82602
本虛擬套件由這些套件填實: libghc-safe-dev
libghc-safe-doc (0.3.19-1)
Documentation for safe library for (pattern match free) functions; documentation
libghc-safe-exceptions-dev (0.1.7.0-6+b2 [s390x], 0.1.7.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
safe, consistent, and easy exception handling
libghc-safe-exceptions-dev-0.1.7.0-047cb
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-09974
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-2dd11
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-4e929
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-655dc
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-70824
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-7a330
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-81826
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-dev-0.1.7.0-cb8a5
本虛擬套件由這些套件填實: libghc-safe-exceptions-dev
libghc-safe-exceptions-doc (0.1.7.0-6)
safe, consistent, and easy exception handling; documentation
libghc-safe-exceptions-prof (0.1.7.0-6+b2 [s390x], 0.1.7.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
safe, consistent, and easy exception handling; profiling libraries
libghc-safe-exceptions-prof-0.1.7.0-047cb
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-09974
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-2dd11
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-4e929
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-655dc
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-70824
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-7a330
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-81826
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-exceptions-prof-0.1.7.0-cb8a5
本虛擬套件由這些套件填實: libghc-safe-exceptions-prof
libghc-safe-prof (0.3.19-1)
Profiling library for safe (pattern match free) functions; profiling libraries
libghc-safe-prof-0.3.19-369cd
本虛擬套件由這些套件填實: libghc-safe-prof
libghc-safe-prof-0.3.19-7914e
本虛擬套件由這些套件填實: libghc-safe-prof
libghc-safe-prof-0.3.19-7ae12
本虛擬套件由這些套件填實: libghc-safe-prof
libghc-safe-prof-0.3.19-82602
本虛擬套件由這些套件填實: libghc-safe-prof
libghc-safecopy-dev (0.10.3-1+b4 [s390x], 0.10.3-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.10.3-1+b2 [mipsel], 0.10.3-1+b1 [mips64el])
Haskell serialization library with version control - GHC libraries
libghc-safecopy-dev-0.10.3-09fef
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-34d76
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-75670
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-7af58
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-8d527
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-9a356
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-b3b6d
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-dev-0.10.3-f2873
本虛擬套件由這些套件填實: libghc-safecopy-dev
libghc-safecopy-doc (0.10.3-1)
Haskell serialization library with version control - documentation; documentation
libghc-safecopy-prof (0.10.3-1+b4 [s390x], 0.10.3-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.10.3-1+b2 [mipsel], 0.10.3-1+b1 [mips64el])
Haskell serialization library with version control - GHC profiling libraries; profiling libraries
libghc-safecopy-prof-0.10.3-09fef
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-34d76
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-75670
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-7af58
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-8d527
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-9a356
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-b3b6d
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safecopy-prof-0.10.3-f2873
本虛擬套件由這些套件填實: libghc-safecopy-prof
libghc-safesemaphore-dev (0.10.1-11+b2 [s390x], 0.10.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
exception safe alternatives to QSem, QSemN, and SampleVar - GHC libraries
libghc-safesemaphore-dev-0.10.1-3ff4d
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-4355f
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-6a77e
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-98c46
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-ca36d
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-e4266
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-ed4ca
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-dev-0.10.1-f7b17
本虛擬套件由這些套件填實: libghc-safesemaphore-dev
libghc-safesemaphore-doc (0.10.1-11)
exception safe alternatives to QSem, QSemN, and SampleVar - documentation; documentation
libghc-safesemaphore-prof (0.10.1-11+b2 [s390x], 0.10.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
exception safe alternatives to QSem, QSemN, and SampleVar - GHC profiling data; profiling libraries
libghc-safesemaphore-prof-0.10.1-3ff4d
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-4355f
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-6a77e
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-98c46
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-ca36d
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-e4266
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-ed4ca
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-safesemaphore-prof-0.10.1-f7b17
本虛擬套件由這些套件填實: libghc-safesemaphore-prof
libghc-sandi-dev (0.5-3+b3 [s390x], 0.5-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5-3+b1 [mips64el, mipsel])
Haskell library of data encoders and decoders
libghc-sandi-dev-0.5-1444e
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-369af
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-5eadb
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-63cad
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-6e383
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-6e3ab
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-79ee7
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-98437
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-dev-0.5-b1f3f
本虛擬套件由這些套件填實: libghc-sandi-dev
libghc-sandi-doc (0.5-3)
Haskell library of data encoders and decoders; documentation
libghc-sandi-prof (0.5-3+b3 [s390x], 0.5-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5-3+b1 [mips64el, mipsel])
Haskell library of data encoders and decoders; profiling libraries
libghc-sandi-prof-0.5-1444e
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-369af
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-5eadb
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-63cad
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-6e383
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-6e3ab
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-79ee7
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-98437
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-sandi-prof-0.5-b1f3f
本虛擬套件由這些套件填實: libghc-sandi-prof
libghc-say-dev (0.1.0.1-3+b2 [s390x], 0.1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
send textual messages to a Handle in a thread-friendly way
libghc-say-dev-0.1.0.1-2cd06
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-4ea68
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-5bdde
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-961c0
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-c0e32
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-d9dea
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-dbdf7
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-dev-0.1.0.1-e6c87
本虛擬套件由這些套件填實: libghc-say-dev
libghc-say-doc (0.1.0.1-3)
send textual messages to a Handle in a thread-friendly way; documentation
libghc-say-prof (0.1.0.1-3+b2 [s390x], 0.1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
send textual messages to a Handle in a thread-friendly way; profiling libraries
libghc-say-prof-0.1.0.1-2cd06
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-4ea68
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-5bdde
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-961c0
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-c0e32
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-d9dea
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-dbdf7
本虛擬套件由這些套件填實: libghc-say-prof
libghc-say-prof-0.1.0.1-e6c87
本虛擬套件由這些套件填實: libghc-say-prof
libghc-sbv-dev (8.7-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 8.7-1 [mips64el, mipsel])
symbolic Haskell theorem prover using SMT solving
libghc-sbv-dev-8.7-00bc1
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-0ec89
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-1abb7
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-21510
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-2b3f1
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-8f070
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-b655d
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-dev-8.7-d4635
本虛擬套件由這些套件填實: libghc-sbv-dev
libghc-sbv-doc (8.7-1)
symbolic Haskell theorem prover using SMT solving; documentation
libghc-sbv-prof (8.7-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 8.7-1 [mips64el, mipsel])
symbolic Haskell theorem prover using SMT solving; profiling libraries
libghc-sbv-prof-8.7-00bc1
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-0ec89
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-1abb7
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-21510
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-2b3f1
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-8f070
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-b655d
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-sbv-prof-8.7-d4635
本虛擬套件由這些套件填實: libghc-sbv-prof
libghc-scanner-dev (0.3.1-1+b2 [s390x], 0.3.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast incremental combinator parsing for bytestrings
libghc-scanner-dev-0.3.1-22406
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-24754
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-5f4ad
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-6f43d
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-7c137
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-8c952
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-c13dc
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-dev-0.3.1-f33f5
本虛擬套件由這些套件填實: libghc-scanner-dev
libghc-scanner-doc (0.3.1-1)
fast incremental combinator parsing for bytestrings; documentation
libghc-scanner-prof (0.3.1-1+b2 [s390x], 0.3.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast incremental combinator parsing for bytestrings; profiling libraries
libghc-scanner-prof-0.3.1-22406
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-24754
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-5f4ad
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-6f43d
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-7c137
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-8c952
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-c13dc
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scanner-prof-0.3.1-f33f5
本虛擬套件由這些套件填實: libghc-scanner-prof
libghc-scientific-dev (0.3.6.2-4+b2 [s390x], 0.3.6.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
scientific notation for floating-point numbers
libghc-scientific-dev-0.3.6.2-098c7
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-3ce19
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-8ab34
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-92be7
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-ba99b
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-d5470
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-dc855
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-dev-0.3.6.2-efaa8
本虛擬套件由這些套件填實: libghc-scientific-dev
libghc-scientific-doc (0.3.6.2-4)
scientific notation for floating-point numbers; documentation
libghc-scientific-prof (0.3.6.2-4+b2 [s390x], 0.3.6.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
scientific notation for floating-point numbers; profiling libraries
libghc-scientific-prof-0.3.6.2-098c7
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-3ce19
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-8ab34
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-92be7
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-ba99b
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-d5470
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-dc855
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scientific-prof-0.3.6.2-efaa8
本虛擬套件由這些套件填實: libghc-scientific-prof
libghc-scotty-dev (0.11.6+dfsg-1)
Haskell web framework inspired by Ruby's Sinatra
libghc-scotty-dev-0.11.6-0b509
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-0dfae
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-1825c
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-36f3e
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-5a219
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-6f0f9
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-afe4a
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-b9a51
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-dev-0.11.6-c924b
本虛擬套件由這些套件填實: libghc-scotty-dev
libghc-scotty-doc (0.11.6+dfsg-1)
Haskell web framework inspired by Ruby's Sinatra; documentation
libghc-scotty-prof (0.11.6+dfsg-1)
Haskell web framework inspired by Ruby's Sinatra; profiling libraries
libghc-scotty-prof-0.11.6-0b509
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-0dfae
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-1825c
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-36f3e
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-5a219
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-6f0f9
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-afe4a
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-b9a51
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-scotty-prof-0.11.6-c924b
本虛擬套件由這些套件填實: libghc-scotty-prof
libghc-sdl-dev (0.6.7.0-2+b2 [s390x], 0.6.7.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL binding for GHC
libghc-sdl-dev-0.6.7.0-0942d
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-dev-0.6.7.0-6c476
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-dev-0.6.7.0-97b28
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-dev-0.6.7.0-a2692
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-dev-0.6.7.0-d2aea
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-dev-0.6.7.0-d2f0a
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-dev-0.6.7.0-e078b
本虛擬套件由這些套件填實: libghc-sdl-dev
libghc-sdl-doc (0.6.7.0-2)
Haskell SDL binding for GHC - documentation
libghc-sdl-gfx-dev (0.7.0.0-2+b2 [s390x], 0.7.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL gfx binding for GHC
libghc-sdl-gfx-doc (0.7.0.0-2)
Haskell SDL gfx binding for GHC - documentation
libghc-sdl-gfx-prof (0.7.0.0-2+b2 [s390x], 0.7.0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL gfx binding for GHC - profiling libraries
libghc-sdl-image-dev (0.6.2.0-2+b2 [s390x], 0.6.2.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL Image binding for GHC
libghc-sdl-image-doc (0.6.2.0-2)
Haskell SDL Image binding for GHC - documentation
libghc-sdl-image-prof (0.6.2.0-2+b2 [s390x], 0.6.2.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL Image binding for GHC - profiling libraries
libghc-sdl-mixer-dev (0.6.3.0-2+b2 [s390x], 0.6.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL Mixer binding for GHC
libghc-sdl-mixer-doc (0.6.3.0-2)
Haskell SDL Mixer binding for GHC - documentation
libghc-sdl-mixer-prof (0.6.3.0-2+b2 [s390x], 0.6.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL Mixer binding for GHC - profiling libraries
libghc-sdl-prof (0.6.7.0-2+b2 [s390x], 0.6.7.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL binding for GHC - profiling libraries
libghc-sdl-prof-0.6.7.0-0942d
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-prof-0.6.7.0-6c476
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-prof-0.6.7.0-97b28
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-prof-0.6.7.0-a2692
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-prof-0.6.7.0-d2aea
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-prof-0.6.7.0-d2f0a
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-prof-0.6.7.0-e078b
本虛擬套件由這些套件填實: libghc-sdl-prof
libghc-sdl-ttf-dev (0.6.3.0-2+b2 [s390x], 0.6.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL TTF binding for GHC
libghc-sdl-ttf-doc (0.6.3.0-2)
Haskell SDL TTF binding for GHC - documentation
libghc-sdl-ttf-prof (0.6.3.0-2+b2 [s390x], 0.6.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SDL TTF binding for GHC - profiling libraries
libghc-sdl2-dev (2.5.2.0-1+b4 [s390x], 2.5.2.0-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 2.5.2.0-1+b2 [mipsel], 2.5.2.0-1+b1 [mips64el])
high- and low-level bindings to the SDL 2 library
libghc-sdl2-dev-2.5.2.0-05577
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-1ba49
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-33434
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-4c9d4
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-5a758
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-6774d
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-6ab0d
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-76127
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-dev-2.5.2.0-8d0f4
本虛擬套件由這些套件填實: libghc-sdl2-dev
libghc-sdl2-doc (2.5.2.0-1)
high- and low-level bindings to the SDL 2 library; documentation
libghc-sdl2-prof (2.5.2.0-1+b4 [s390x], 2.5.2.0-1+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 2.5.2.0-1+b2 [mipsel], 2.5.2.0-1+b1 [mips64el])
high- and low-level bindings to the SDL 2 library; profiling libraries
libghc-sdl2-prof-2.5.2.0-05577
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-1ba49
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-33434
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-4c9d4
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-5a758
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-6774d
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-6ab0d
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-76127
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-prof-2.5.2.0-8d0f4
本虛擬套件由這些套件填實: libghc-sdl2-prof
libghc-sdl2-ttf-dev (2.1.1-1+b3 [mipsel, s390x], 2.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.1.1-1+b1 [mips64el])
bindings to SDL2_ttf
libghc-sdl2-ttf-dev-2.1.1-18730
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-3e75a
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-65785
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-7d53b
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-94341
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-a7cbb
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-c3fd1
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-e41d5
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-dev-2.1.1-f07a5
本虛擬套件由這些套件填實: libghc-sdl2-ttf-dev
libghc-sdl2-ttf-doc (2.1.1-1)
bindings to SDL2_ttf; documentation
libghc-sdl2-ttf-prof (2.1.1-1+b3 [mipsel, s390x], 2.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.1.1-1+b1 [mips64el])
bindings to SDL2_ttf; profiling libraries
libghc-sdl2-ttf-prof-2.1.1-18730
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-3e75a
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-65785
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-7d53b
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-94341
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-a7cbb
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-c3fd1
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-e41d5
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-sdl2-ttf-prof-2.1.1-f07a5
本虛擬套件由這些套件填實: libghc-sdl2-ttf-prof
libghc-secret-sharing-dev (1.0.1.2-1+b3 [s390x], 1.0.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1.2-1+b1 [mips64el, mipsel])
(m, n)-threshold secret sharing scheme
libghc-secret-sharing-dev-1.0.1.2-10e63
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-2aefb
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-552e4
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-70fe2
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-addd8
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-c56a7
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-df959
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-f2dfc
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-dev-1.0.1.2-f93cb
本虛擬套件由這些套件填實: libghc-secret-sharing-dev
libghc-secret-sharing-doc (1.0.1.2-1)
(m, n)-threshold secret sharing scheme; documentation
libghc-secret-sharing-prof (1.0.1.2-1+b3 [s390x], 1.0.1.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.0.1.2-1+b1 [mips64el, mipsel])
(m, n)-threshold secret sharing scheme; profiling libraries
libghc-secret-sharing-prof-1.0.1.2-10e63
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-2aefb
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-552e4
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-70fe2
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-addd8
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-c56a7
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-df959
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-f2dfc
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-secret-sharing-prof-1.0.1.2-f93cb
本虛擬套件由這些套件填實: libghc-secret-sharing-prof
libghc-securemem-dev (0.1.10-3+b2 [s390x], 0.1.10-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
auto-scrubbing and const-time-eq memory chunk abstraction
libghc-securemem-dev-0.1.10-3e07a
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-50bb9
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-56156
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-7eadb
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-906b6
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-92abf
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-b4079
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-dev-0.1.10-f953e
本虛擬套件由這些套件填實: libghc-securemem-dev
libghc-securemem-doc (0.1.10-3)
auto-scrubbing and const-time-eq memory chunk abstraction; documentation
libghc-securemem-prof (0.1.10-3+b2 [s390x], 0.1.10-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
auto-scrubbing and const-time-eq memory chunk abstraction; profiling libraries
libghc-securemem-prof-0.1.10-3e07a
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-50bb9
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-56156
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-7eadb
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-906b6
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-92abf
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-b4079
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-securemem-prof-0.1.10-f953e
本虛擬套件由這些套件填實: libghc-securemem-prof
libghc-selective-dev (0.4.1.1-1)
selective applicative functors
libghc-selective-dev-0.4.1.1-43885
本虛擬套件由這些套件填實: libghc-selective-dev
libghc-selective-dev-0.4.1.1-92af9
本虛擬套件由這些套件填實: libghc-selective-dev
libghc-selective-dev-0.4.1.1-99c41
本虛擬套件由這些套件填實: libghc-selective-dev
libghc-selective-dev-0.4.1.1-bca06
本虛擬套件由這些套件填實: libghc-selective-dev
libghc-selective-dev-0.4.1.1-d0588
本虛擬套件由這些套件填實: libghc-selective-dev
libghc-selective-dev-0.4.1.1-fc423
本虛擬套件由這些套件填實: libghc-selective-dev
libghc-selective-doc (0.4.1.1-1)
selective applicative functors; documentation
libghc-selective-prof (0.4.1.1-1)
selective applicative functors; profiling libraries
libghc-selective-prof-0.4.1.1-43885
本虛擬套件由這些套件填實: libghc-selective-prof
libghc-selective-prof-0.4.1.1-92af9
本虛擬套件由這些套件填實: libghc-selective-prof
libghc-selective-prof-0.4.1.1-99c41
本虛擬套件由這些套件填實: libghc-selective-prof
libghc-selective-prof-0.4.1.1-bca06
本虛擬套件由這些套件填實: libghc-selective-prof
libghc-selective-prof-0.4.1.1-d0588
本虛擬套件由這些套件填實: libghc-selective-prof
libghc-selective-prof-0.4.1.1-fc423
本虛擬套件由這些套件填實: libghc-selective-prof
libghc-semialign-dev (1.1.0.1-1)
Align and Zip type-classes from the common Semialign ancestor
libghc-semialign-dev-1.1.0.1-2251a
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-3b02e
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-3f995
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-67552
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-83771
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-c7f2d
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-ebcb3
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-f41e9
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-dev-1.1.0.1-fa344
本虛擬套件由這些套件填實: libghc-semialign-dev
libghc-semialign-doc (1.1.0.1-1)
Align and Zip type-classes from the common Semialign ancestor; documentation
libghc-semialign-prof (1.1.0.1-1)
Align and Zip type-classes from the common Semialign ancestor; profiling libraries
libghc-semialign-prof-1.1.0.1-2251a
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-3b02e
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-3f995
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-67552
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-83771
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-c7f2d
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-ebcb3
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-f41e9
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semialign-prof-1.1.0.1-fa344
本虛擬套件由這些套件填實: libghc-semialign-prof
libghc-semigroupoids-dev (5.3.4-1+b2 [s390x], 5.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 semigroupoids: Category sans id
libghc-semigroupoids-dev-5.3.4-1a5f0
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-4885a
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-49cc6
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-6c97f
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-6ee52
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-7a094
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-8e634
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-c16d8
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-dev-5.3.4-c5a14
本虛擬套件由這些套件填實: libghc-semigroupoids-dev
libghc-semigroupoids-doc (5.3.4-1)
Haskell 98 semigroupoids: Category sans id; documentation
libghc-semigroupoids-prof (5.3.4-1+b2 [s390x], 5.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 semigroupoids: Category sans id; profiling libraries
libghc-semigroupoids-prof-5.3.4-1a5f0
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-4885a
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-49cc6
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-6c97f
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-6ee52
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-7a094
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-8e634
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-c16d8
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroupoids-prof-5.3.4-c5a14
本虛擬套件由這些套件填實: libghc-semigroupoids-prof
libghc-semigroups-dev (0.19.1-2+b2 [s390x], 0.19.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell98 semigroups
libghc-semigroups-dev-0.19.1-31c30
本虛擬套件由這些套件填實: libghc-semigroups-dev
libghc-semigroups-dev-0.19.1-716d0
本虛擬套件由這些套件填實: libghc-semigroups-dev
libghc-semigroups-dev-0.19.1-c183d
本虛擬套件由這些套件填實: libghc-semigroups-dev
libghc-semigroups-dev-0.19.1-cb9b7
本虛擬套件由這些套件填實: libghc-semigroups-dev
libghc-semigroups-doc (0.19.1-2)
Haskell98 semigroups; documentation
libghc-semigroups-prof (0.19.1-2+b2 [s390x], 0.19.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell98 semigroups; profiling libraries
libghc-semigroups-prof-0.19.1-31c30
本虛擬套件由這些套件填實: libghc-semigroups-prof
libghc-semigroups-prof-0.19.1-716d0
本虛擬套件由這些套件填實: libghc-semigroups-prof
libghc-semigroups-prof-0.19.1-c183d
本虛擬套件由這些套件填實: libghc-semigroups-prof
libghc-semigroups-prof-0.19.1-cb9b7
本虛擬套件由這些套件填實: libghc-semigroups-prof
libghc-sendfile-dev (0.7.11.1-2+b2 [s390x], 0.7.11.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell portable sendfile library
libghc-sendfile-dev-0.7.11.1-0588b
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-60079
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-64b90
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-730f1
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-7dd1b
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-7ebfd
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-a7bbd
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-dev-0.7.11.1-de694
本虛擬套件由這些套件填實: libghc-sendfile-dev
libghc-sendfile-doc (0.7.11.1-2)
Haskell portable sendfile library; documentation
libghc-sendfile-prof (0.7.11.1-2+b2 [s390x], 0.7.11.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell portable sendfile library; profiling libraries
libghc-sendfile-prof-0.7.11.1-0588b
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-60079
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-64b90
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-730f1
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-7dd1b
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-7ebfd
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-a7bbd
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-sendfile-prof-0.7.11.1-de694
本虛擬套件由這些套件填實: libghc-sendfile-prof
libghc-servant-client-core-dev (0.16-2+b3 [s390x], 0.16-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.16-2+b1 [mips64el, mipsel])
Core functionality and class for client function generation for servant APIs
libghc-servant-client-core-dev-0.16-1fd6a
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-2ebc2
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-5ad10
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-7d1d4
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-87b2b
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-96151
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-9909d
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-a0801
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-dev-0.16-c933d
本虛擬套件由這些套件填實: libghc-servant-client-core-dev
libghc-servant-client-core-doc (0.16-2)
Core functionality and class for client function generation for servant APIs; documentation
libghc-servant-client-core-prof (0.16-2+b3 [s390x], 0.16-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.16-2+b1 [mips64el, mipsel])
Core functionality and class for client function generation for servant APIs; profiling libraries
libghc-servant-client-core-prof-0.16-1fd6a
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-2ebc2
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-5ad10
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-7d1d4
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-87b2b
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-96151
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-9909d
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-a0801
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-core-prof-0.16-c933d
本虛擬套件由這些套件填實: libghc-servant-client-core-prof
libghc-servant-client-dev (0.16.0.1-1+b4 [s390x], 0.16.0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.16.0.1-1+b1 [mips64el])
library for writing clients for servant webservices
libghc-servant-client-dev-0.16.0.1-158fb
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-35b44
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-7e108
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-816d5
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-8ed4c
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-96ec1
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-aaaeb
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-d281c
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-dev-0.16.0.1-f8f82
本虛擬套件由這些套件填實: libghc-servant-client-dev
libghc-servant-client-doc (0.16.0.1-1)
library for writing clients for servant webservices; documentation
libghc-servant-client-prof (0.16.0.1-1+b4 [s390x], 0.16.0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.16.0.1-1+b1 [mips64el])
library for writing clients for servant webservices; profiling libraries
libghc-servant-client-prof-0.16.0.1-158fb
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-35b44
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-7e108
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-816d5
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-8ed4c
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-96ec1
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-aaaeb
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-d281c
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-client-prof-0.16.0.1-f8f82
本虛擬套件由這些套件填實: libghc-servant-client-prof
libghc-servant-dev (0.16.2-2+b3 [s390x], 0.16.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.16.2-2+b1 [mips64el, mipsel])
family of combinators for defining webservices APIs
libghc-servant-dev-0.16.2-1fbd9
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-2cfb3
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-4ef9e
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-65342
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-683f1
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-88952
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-d7c88
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-dev-0.16.2-f0cda
本虛擬套件由這些套件填實: libghc-servant-dev
libghc-servant-doc (0.16.2-2)
family of combinators for defining webservices APIs; documentation
libghc-servant-prof (0.16.2-2+b3 [s390x], 0.16.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.16.2-2+b1 [mips64el, mipsel])
family of combinators for defining webservices APIs; profiling libraries
libghc-servant-prof-0.16.2-1fbd9
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-2cfb3
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-4ef9e
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-65342
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-683f1
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-88952
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-d7c88
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-prof-0.16.2-f0cda
本虛擬套件由這些套件填實: libghc-servant-prof
libghc-servant-server-dev (0.16.2-2+b4 [s390x], 0.16.2-2+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.16.2-2+b1 [mips64el])
combinators for defining webservices APIs and serving them
libghc-servant-server-dev-0.16.2-1445c
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-4a327
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-679b3
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-81bce
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-93ca8
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-9be55
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-9e04a
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-d5733
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-dev-0.16.2-fb725
本虛擬套件由這些套件填實: libghc-servant-server-dev
libghc-servant-server-doc (0.16.2-2)
combinators for defining webservices APIs and serving them; documentation
libghc-servant-server-prof (0.16.2-2+b4 [s390x], 0.16.2-2+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.16.2-2+b1 [mips64el])
combinators for defining webservices APIs and serving them; profiling libraries
libghc-servant-server-prof-0.16.2-1445c
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-4a327
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-679b3
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-81bce
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-93ca8
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-9be55
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-9e04a
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-d5733
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-servant-server-prof-0.16.2-fb725
本虛擬套件由這些套件填實: libghc-servant-server-prof
libghc-set-extra-dev (1.4.1-4+b2 [s390x], 1.4.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utility functions for working with sets
libghc-set-extra-dev-1.4.1-0c89f
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-146db
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-734fa
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-7c8b7
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-870e9
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-93753
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-e26cd
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-dev-1.4.1-fe566
本虛擬套件由這些套件填實: libghc-set-extra-dev
libghc-set-extra-doc (1.4.1-4)
utility functions for working with sets; documentation
libghc-set-extra-prof (1.4.1-4+b2 [s390x], 1.4.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
utility functions for working with sets; profiling libraries
libghc-set-extra-prof-1.4.1-0c89f
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-146db
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-734fa
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-7c8b7
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-870e9
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-93753
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-e26cd
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-set-extra-prof-1.4.1-fe566
本虛擬套件由這些套件填實: libghc-set-extra-prof
libghc-setenv-dev (0.1.1.3-9+b2 [s390x], 0.1.1.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross-platform library for setting environment variables
libghc-setenv-dev-0.1.1.3-12e01
本虛擬套件由這些套件填實: libghc-setenv-dev
libghc-setenv-dev-0.1.1.3-6868c
本虛擬套件由這些套件填實: libghc-setenv-dev
libghc-setenv-dev-0.1.1.3-8ca4e
本虛擬套件由這些套件填實: libghc-setenv-dev
libghc-setenv-dev-0.1.1.3-f1e5c
本虛擬套件由這些套件填實: libghc-setenv-dev
libghc-setenv-doc (0.1.1.3-9)
Cross-platform library for setting environment variables; documentation
libghc-setenv-prof (0.1.1.3-9+b2 [s390x], 0.1.1.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross-platform library for setting environment variables; profiling libraries
libghc-setenv-prof-0.1.1.3-12e01
本虛擬套件由這些套件填實: libghc-setenv-prof
libghc-setenv-prof-0.1.1.3-6868c
本虛擬套件由這些套件填實: libghc-setenv-prof
libghc-setenv-prof-0.1.1.3-8ca4e
本虛擬套件由這些套件填實: libghc-setenv-prof
libghc-setenv-prof-0.1.1.3-f1e5c
本虛擬套件由這些套件填實: libghc-setenv-prof
libghc-setlocale-dev (1.0.0.9-2+b2 [s390x], 1.0.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to setlocale
libghc-setlocale-dev-1.0.0.9-2b690
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-dev-1.0.0.9-57f34
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-dev-1.0.0.9-5ac67
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-dev-1.0.0.9-7cd8b
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-dev-1.0.0.9-8e0cd
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-dev-1.0.0.9-a89d6
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-dev-1.0.0.9-e2193
本虛擬套件由這些套件填實: libghc-setlocale-dev
libghc-setlocale-doc (1.0.0.9-2)
Haskell bindings to setlocale; documentation
libghc-setlocale-prof (1.0.0.9-2+b2 [s390x], 1.0.0.9-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to setlocale; profiling libraries
libghc-setlocale-prof-1.0.0.9-2b690
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-setlocale-prof-1.0.0.9-57f34
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-setlocale-prof-1.0.0.9-5ac67
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-setlocale-prof-1.0.0.9-7cd8b
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-setlocale-prof-1.0.0.9-8e0cd
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-setlocale-prof-1.0.0.9-a89d6
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-setlocale-prof-1.0.0.9-e2193
本虛擬套件由這些套件填實: libghc-setlocale-prof
libghc-sha-dev (1.6.4.4-3+b2 [s390x], 1.6.4.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SHA suite of message digest functions
libghc-sha-dev-1.6.4.4-01477
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-5e37e
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-5eba5
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-6d7b8
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-bb204
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-dd2c0
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-eade0
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-dev-1.6.4.4-ee628
本虛擬套件由這些套件填實: libghc-sha-dev
libghc-sha-doc (1.6.4.4-3)
Haskell SHA suite of message digest functions; documentation
libghc-sha-prof (1.6.4.4-3+b2 [s390x], 1.6.4.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell SHA suite of message digest functions; profiling libraries
libghc-sha-prof-1.6.4.4-01477
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-5e37e
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-5eba5
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-6d7b8
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-bb204
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-dd2c0
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-eade0
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-sha-prof-1.6.4.4-ee628
本虛擬套件由這些套件填實: libghc-sha-prof
libghc-shake-data (0.19.1-2)
Haskell library for writing build systems; data files
libghc-shake-dev (0.19.1-2)
Haskell library for writing build systems
libghc-shake-dev-0.19.1-1dc24
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-5f731
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-85fd1
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-8a32a
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-8bce8
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-8d336
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-abcb8
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-dev-0.19.1-b60f8
本虛擬套件由這些套件填實: libghc-shake-dev
libghc-shake-doc (0.19.1-2)
Haskell library for writing build systems; documentation
libghc-shake-prof (0.19.1-2)
Haskell library for writing build systems; profiling libraries
libghc-shake-prof-0.19.1-1dc24
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-5f731
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-85fd1
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-8a32a
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-8bce8
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-8d336
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-abcb8
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shake-prof-0.19.1-b60f8
本虛擬套件由這些套件填實: libghc-shake-prof
libghc-shakespeare-dev (2.0.24.1-1)
toolkit for making compile-time interpolated templates
libghc-shakespeare-dev-2.0.24.1-005bf
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-00eb8
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-71460
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-72a7f
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-7dbc0
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-b070f
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-b9cb4
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-dev-2.0.24.1-f79cb
本虛擬套件由這些套件填實: libghc-shakespeare-dev
libghc-shakespeare-doc (2.0.24.1-1)
toolkit for making compile-time interpolated templates; documentation
libghc-shakespeare-prof (2.0.24.1-1)
toolkit for making compile-time interpolated templates; profiling libraries
libghc-shakespeare-prof-2.0.24.1-005bf
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-00eb8
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-71460
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-72a7f
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-7dbc0
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-b070f
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-b9cb4
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shakespeare-prof-2.0.24.1-f79cb
本虛擬套件由這些套件填實: libghc-shakespeare-prof
libghc-shell-conduit-dev (4.7.0-4+b4 [s390x], 4.7.0-4+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 4.7.0-4+b1 [mips64el])
write shell scripts with Conduit
libghc-shell-conduit-dev-4.7.0-10432
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-4773c
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-4d60b
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-571f8
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-6339a
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-89c8d
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-93c55
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-d6273
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-dev-4.7.0-eb2ae
本虛擬套件由這些套件填實: libghc-shell-conduit-dev
libghc-shell-conduit-doc (4.7.0-4)
write shell scripts with Conduit; documentation
libghc-shell-conduit-prof (4.7.0-4+b4 [s390x], 4.7.0-4+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 4.7.0-4+b1 [mips64el])
write shell scripts with Conduit; profiling libraries
libghc-shell-conduit-prof-4.7.0-10432
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-4773c
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-4d60b
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-571f8
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-6339a
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-89c8d
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-93c55
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-d6273
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shell-conduit-prof-4.7.0-eb2ae
本虛擬套件由這些套件填實: libghc-shell-conduit-prof
libghc-shelly-dev (1.9.0-1+b3 [s390x], 1.9.0-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.9.0-1+b1 [mips64el])
shell-like (systems) programming in Haskell
libghc-shelly-dev-1.9.0-598aa
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-5f9b2
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-82b65
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-8e2c6
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-9cadd
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-bc3fe
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-e3dda
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-dev-1.9.0-f95e1
本虛擬套件由這些套件填實: libghc-shelly-dev
libghc-shelly-doc (1.9.0-1)
shell-like (systems) programming in Haskell; documentation
libghc-shelly-prof (1.9.0-1+b3 [s390x], 1.9.0-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.9.0-1+b1 [mips64el])
shell-like (systems) programming in Haskell; profiling libraries
libghc-shelly-prof-1.9.0-598aa
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-5f9b2
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-82b65
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-8e2c6
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-9cadd
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-bc3fe
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-e3dda
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-shelly-prof-1.9.0-f95e1
本虛擬套件由這些套件填實: libghc-shelly-prof
libghc-should-not-typecheck-dev (2.1.0-6+b2 [s390x], 2.1.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
verify that an expression does not typecheck
libghc-should-not-typecheck-dev-2.1.0-03f76
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-0b19d
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-2f0e4
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-73013
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-90538
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-a4208
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-c8032
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-dev-2.1.0-e44a9
本虛擬套件由這些套件填實: libghc-should-not-typecheck-dev
libghc-should-not-typecheck-doc (2.1.0-6)
verify that an expression does not typecheck; documentation
libghc-should-not-typecheck-prof (2.1.0-6+b2 [s390x], 2.1.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
verify that an expression does not typecheck; profiling libraries
libghc-should-not-typecheck-prof-2.1.0-03f76
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-0b19d
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-2f0e4
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-73013
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-90538
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-a4208
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-c8032
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-should-not-typecheck-prof-2.1.0-e44a9
本虛擬套件由這些套件填實: libghc-should-not-typecheck-prof
libghc-show-combinators-dev (0.2.0.0-1)
combinators to write Show instances
libghc-show-combinators-dev-0.2.0.0-2130c
本虛擬套件由這些套件填實: libghc-show-combinators-dev
libghc-show-combinators-dev-0.2.0.0-6b5e7
本虛擬套件由這些套件填實: libghc-show-combinators-dev
libghc-show-combinators-dev-0.2.0.0-d8109
本虛擬套件由這些套件填實: libghc-show-combinators-dev
libghc-show-combinators-dev-0.2.0.0-f181b
本虛擬套件由這些套件填實: libghc-show-combinators-dev
libghc-show-combinators-doc (0.2.0.0-1)
combinators to write Show instances; documentation
libghc-show-combinators-prof (0.2.0.0-1)
combinators to write Show instances; profiling libraries
libghc-show-combinators-prof-0.2.0.0-2130c
本虛擬套件由這些套件填實: libghc-show-combinators-prof
libghc-show-combinators-prof-0.2.0.0-6b5e7
本虛擬套件由這些套件填實: libghc-show-combinators-prof
libghc-show-combinators-prof-0.2.0.0-d8109
本虛擬套件由這些套件填實: libghc-show-combinators-prof
libghc-show-combinators-prof-0.2.0.0-f181b
本虛擬套件由這些套件填實: libghc-show-combinators-prof
libghc-show-dev (0.6-10+b2 [s390x], 0.6-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Show instances for lambdabot
libghc-show-dev-0.6-155da
本虛擬套件由這些套件填實: libghc-show-dev
libghc-show-dev-0.6-2f67e
本虛擬套件由這些套件填實: libghc-show-dev
libghc-show-dev-0.6-92d9d
本虛擬套件由這些套件填實: libghc-show-dev
libghc-show-dev-0.6-e2e28
本虛擬套件由這些套件填實: libghc-show-dev
libghc-show-doc (0.6-10)
Show instances for lambdabot; documentation
libghc-show-prof (0.6-10+b2 [s390x], 0.6-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Show instances for lambdabot; profiling libraries
libghc-show-prof-0.6-155da
本虛擬套件由這些套件填實: libghc-show-prof
libghc-show-prof-0.6-2f67e
本虛擬套件由這些套件填實: libghc-show-prof
libghc-show-prof-0.6-92d9d
本虛擬套件由這些套件填實: libghc-show-prof
libghc-show-prof-0.6-e2e28
本虛擬套件由這些套件填實: libghc-show-prof
libghc-silently-dev (1.2.5.1-1+b2 [s390x], 1.2.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
prevent or capture writing to stdout and other handles
libghc-silently-dev-1.2.5.1-1774b
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-4e84e
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-6635d
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-79300
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-9329c
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-c3e0e
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-d3e46
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-dev-1.2.5.1-d61c6
本虛擬套件由這些套件填實: libghc-silently-dev
libghc-silently-doc (1.2.5.1-1)
prevent or capture writing to stdout and other handles; documentation
libghc-silently-prof (1.2.5.1-1+b2 [s390x], 1.2.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
prevent or capture writing to stdout and other handles; profiling libraries
libghc-silently-prof-1.2.5.1-1774b
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-4e84e
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-6635d
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-79300
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-9329c
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-c3e0e
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-d3e46
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-silently-prof-1.2.5.1-d61c6
本虛擬套件由這些套件填實: libghc-silently-prof
libghc-simple-dev (1.0.0-1+b4 [amd64, arm64, ppc64el, s390x], 1.0.0-1+b3 [armel, armhf, i386, mipsel], 1.0.0-1+b2 [mips64el])
minimalist web framework for the WAI server interface
libghc-simple-dev-1.0.0-2712e
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-4821a
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-50009
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-78042
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-82d24
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-a61df
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-bc4c1
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-fab73
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-dev-1.0.0-feca0
本虛擬套件由這些套件填實: libghc-simple-dev
libghc-simple-doc (1.0.0-1)
minimalist web framework for the WAI server interface; documentation
libghc-simple-prof (1.0.0-1+b4 [amd64, arm64, ppc64el, s390x], 1.0.0-1+b3 [armel, armhf, i386, mipsel], 1.0.0-1+b2 [mips64el])
minimalist web framework for the WAI server interface; profiling libraries
libghc-simple-prof-1.0.0-2712e
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-4821a
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-50009
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-78042
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-82d24
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-a61df
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-bc4c1
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-fab73
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-prof-1.0.0-feca0
本虛擬套件由這些套件填實: libghc-simple-prof
libghc-simple-reflect-dev (0.3.3-3+b2 [s390x], 0.3.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple reflection of expressions containing variables
libghc-simple-reflect-dev-0.3.3-acb71
本虛擬套件由這些套件填實: libghc-simple-reflect-dev
libghc-simple-reflect-dev-0.3.3-bc929
本虛擬套件由這些套件填實: libghc-simple-reflect-dev
libghc-simple-reflect-dev-0.3.3-c813c
本虛擬套件由這些套件填實: libghc-simple-reflect-dev
libghc-simple-reflect-dev-0.3.3-fc952
本虛擬套件由這些套件填實: libghc-simple-reflect-dev
libghc-simple-reflect-doc (0.3.3-3)
simple reflection of expressions containing variables; documentation
libghc-simple-reflect-prof (0.3.3-3+b2 [s390x], 0.3.3-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple reflection of expressions containing variables; profiling libraries
libghc-simple-reflect-prof-0.3.3-acb71
本虛擬套件由這些套件填實: libghc-simple-reflect-prof
libghc-simple-reflect-prof-0.3.3-bc929
本虛擬套件由這些套件填實: libghc-simple-reflect-prof
libghc-simple-reflect-prof-0.3.3-c813c
本虛擬套件由這些套件填實: libghc-simple-reflect-prof
libghc-simple-reflect-prof-0.3.3-fc952
本虛擬套件由這些套件填實: libghc-simple-reflect-prof
libghc-simple-sendfile-dev (0.2.30-1+b2 [s390x], 0.2.30-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross platform library for the sendfile system call
libghc-simple-sendfile-dev-0.2.30-0e177
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-3ed3f
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-50db8
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-554c5
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-58421
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-75bdb
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-8ce7f
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-dev-0.2.30-b42c3
本虛擬套件由這些套件填實: libghc-simple-sendfile-dev
libghc-simple-sendfile-doc (0.2.30-1)
Cross platform library for the sendfile system call; documentation
libghc-simple-sendfile-prof (0.2.30-1+b2 [s390x], 0.2.30-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cross platform library for the sendfile system call; profiling libraries
libghc-simple-sendfile-prof-0.2.30-0e177
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-3ed3f
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-50db8
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-554c5
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-58421
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-75bdb
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-8ce7f
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-sendfile-prof-0.2.30-b42c3
本虛擬套件由這些套件填實: libghc-simple-sendfile-prof
libghc-simple-smt-dev (0.9.5-1)
A simple way to interact with an SMT solver process.
libghc-simple-smt-dev-0.9.5-0f04e
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-dev-0.9.5-3199f
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-dev-0.9.5-3c2c7
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-dev-0.9.5-b2dae
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-dev-0.9.5-b7be9
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-dev-0.9.5-d806f
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-dev-0.9.5-f5acb
本虛擬套件由這些套件填實: libghc-simple-smt-dev
libghc-simple-smt-doc (0.9.5-1)
A simple way to interact with an SMT solver process.; documentation
libghc-simple-smt-prof (0.9.5-1)
A simple way to interact with an SMT solver process.; profiling libraries
libghc-simple-smt-prof-0.9.5-0f04e
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-smt-prof-0.9.5-3199f
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-smt-prof-0.9.5-3c2c7
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-smt-prof-0.9.5-b2dae
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-smt-prof-0.9.5-b7be9
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-smt-prof-0.9.5-d806f
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-smt-prof-0.9.5-f5acb
本虛擬套件由這些套件填實: libghc-simple-smt-prof
libghc-simple-templates-dev (1.0.0-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 1.0.0-1+b1 [armel, armhf, i386])
basic template language for the Simple web framework
libghc-simple-templates-dev-1.0.0-2ce3f
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-75c71
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-783be
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-787bb
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-8308b
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-a4129
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-c7598
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-dev-1.0.0-e58f7
本虛擬套件由這些套件填實: libghc-simple-templates-dev
libghc-simple-templates-doc (1.0.0-1)
basic template language for the Simple web framework; documentation
libghc-simple-templates-prof (1.0.0-1+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 1.0.0-1+b1 [armel, armhf, i386])
basic template language for the Simple web framework; profiling libraries
libghc-simple-templates-prof-1.0.0-2ce3f
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-75c71
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-783be
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-787bb
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-8308b
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-a4129
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-c7598
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-simple-templates-prof-1.0.0-e58f7
本虛擬套件由這些套件填實: libghc-simple-templates-prof
libghc-singleton-bool-dev (0.1.5-2+b2 [s390x], 0.1.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type-level booleans
libghc-singleton-bool-dev-0.1.5-224dd
本虛擬套件由這些套件填實: libghc-singleton-bool-dev
libghc-singleton-bool-dev-0.1.5-3e00b
本虛擬套件由這些套件填實: libghc-singleton-bool-dev
libghc-singleton-bool-dev-0.1.5-4969a
本虛擬套件由這些套件填實: libghc-singleton-bool-dev
libghc-singleton-bool-dev-0.1.5-6662c
本虛擬套件由這些套件填實: libghc-singleton-bool-dev
libghc-singleton-bool-dev-0.1.5-b014a
本虛擬套件由這些套件填實: libghc-singleton-bool-dev
libghc-singleton-bool-doc (0.1.5-2)
type-level booleans; documentation
libghc-singleton-bool-prof (0.1.5-2+b2 [s390x], 0.1.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
type-level booleans; profiling libraries
libghc-singleton-bool-prof-0.1.5-224dd
本虛擬套件由這些套件填實: libghc-singleton-bool-prof
libghc-singleton-bool-prof-0.1.5-3e00b
本虛擬套件由這些套件填實: libghc-singleton-bool-prof
libghc-singleton-bool-prof-0.1.5-4969a
本虛擬套件由這些套件填實: libghc-singleton-bool-prof
libghc-singleton-bool-prof-0.1.5-6662c
本虛擬套件由這些套件填實: libghc-singleton-bool-prof
libghc-singleton-bool-prof-0.1.5-b014a
本虛擬套件由這些套件填實: libghc-singleton-bool-prof
libghc-singletons-dev (2.6-1+b3 [s390x], 2.6-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.6-1+b1 [mips64el, mipsel])
Haskell framework for generating singleton types
libghc-singletons-dev-2.6-0a7a7
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-0fc91
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-1d41b
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-4aae9
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-7c296
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-9492f
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-ca073
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-dev-2.6-fdd15
本虛擬套件由這些套件填實: libghc-singletons-dev
libghc-singletons-doc (2.6-1)
Haskell framework for generating singleton types; documentation
libghc-singletons-prof (2.6-1+b3 [s390x], 2.6-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.6-1+b1 [mips64el, mipsel])
Haskell framework for generating singleton types; profiling libraries
libghc-singletons-prof-2.6-0a7a7
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-0fc91
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-1d41b
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-4aae9
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-7c296
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-9492f
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-ca073
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-singletons-prof-2.6-fdd15
本虛擬套件由這些套件填實: libghc-singletons-prof
libghc-skein-dev (1.0.9.4-6+b2 [s390x], 1.0.9.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
family of cryptographic hash functions
libghc-skein-dev-1.0.9.4-4330b
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-553c7
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-7dd03
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-c836b
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-cc691
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-d0e2c
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-e1f8d
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-dev-1.0.9.4-f4080
本虛擬套件由這些套件填實: libghc-skein-dev
libghc-skein-doc (1.0.9.4-6)
family of cryptographic hash functions; documentation
libghc-skein-prof (1.0.9.4-6+b2 [s390x], 1.0.9.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
family of cryptographic hash functions; profiling libraries
libghc-skein-prof-1.0.9.4-4330b
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-553c7
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-7dd03
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-c836b
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-cc691
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-d0e2c
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-e1f8d
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skein-prof-1.0.9.4-f4080
本虛擬套件由這些套件填實: libghc-skein-prof
libghc-skylighting-core-dev (0.8.5-1)
syntax highlighting library
libghc-skylighting-core-dev-0.8.5-1a094
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-29c6e
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-2cfde
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-41aaa
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-4b83c
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-765d7
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-85b32
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-ba525
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-dev-0.8.5-e4763
本虛擬套件由這些套件填實: libghc-skylighting-core-dev
libghc-skylighting-core-doc (0.8.5-1)
syntax highlighting library; documentation
libghc-skylighting-core-prof (0.8.5-1)
syntax highlighting library; profiling libraries
libghc-skylighting-core-prof-0.8.5-1a094
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-29c6e
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-2cfde
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-41aaa
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-4b83c
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-765d7
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-85b32
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-ba525
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-core-prof-0.8.5-e4763
本虛擬套件由這些套件填實: libghc-skylighting-core-prof
libghc-skylighting-dev (0.8.5-1)
syntax highlighting library
libghc-skylighting-dev-0.8.5-04a2b
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-2d655
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-35c28
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-3b216
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-5675c
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-7c26b
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-9ec26
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-ea761
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-dev-0.8.5-f9443
本虛擬套件由這些套件填實: libghc-skylighting-dev
libghc-skylighting-doc (0.8.5-1)
syntax highlighting library; documentation
libghc-skylighting-prof (0.8.5-1)
syntax highlighting library; profiling libraries
libghc-skylighting-prof-0.8.5-04a2b
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-2d655
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-35c28
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-3b216
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-5675c
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-7c26b
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-9ec26
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-ea761
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-skylighting-prof-0.8.5-f9443
本虛擬套件由這些套件填實: libghc-skylighting-prof
libghc-smallcheck-dev (1.1.7-1)
Another lightweight testing library
libghc-smallcheck-dev-1.1.7-1bc57
本虛擬套件由這些套件填實: libghc-smallcheck-dev
libghc-smallcheck-dev-1.1.7-46a24
本虛擬套件由這些套件填實: libghc-smallcheck-dev
libghc-smallcheck-dev-1.1.7-48789
本虛擬套件由這些套件填實: libghc-smallcheck-dev
libghc-smallcheck-dev-1.1.7-70567
本虛擬套件由這些套件填實: libghc-smallcheck-dev
libghc-smallcheck-dev-1.1.7-f18fd
本虛擬套件由這些套件填實: libghc-smallcheck-dev
libghc-smallcheck-doc (1.1.7-1)
Another lightweight testing library; documentation
libghc-smallcheck-prof (1.1.7-1)
Another lightweight testing library; profiling libraries
libghc-smallcheck-prof-1.1.7-1bc57
本虛擬套件由這些套件填實: libghc-smallcheck-prof
libghc-smallcheck-prof-1.1.7-46a24
本虛擬套件由這些套件填實: libghc-smallcheck-prof
libghc-smallcheck-prof-1.1.7-48789
本虛擬套件由這些套件填實: libghc-smallcheck-prof
libghc-smallcheck-prof-1.1.7-70567
本虛擬套件由這些套件填實: libghc-smallcheck-prof
libghc-smallcheck-prof-1.1.7-f18fd
本虛擬套件由這些套件填實: libghc-smallcheck-prof
libghc-smtlib-dev (1.1-1+b2 [s390x], 1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A library for working with the SMTLIB format.
libghc-smtlib-dev-1.1-1e940
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-222f0
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-9747b
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-b22bb
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-b6311
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-c123a
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-d0589
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-dev-1.1-fea78
本虛擬套件由這些套件填實: libghc-smtlib-dev
libghc-smtlib-doc (1.1-1)
A library for working with the SMTLIB format.; documentation
libghc-smtlib-prof (1.1-1+b2 [s390x], 1.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A library for working with the SMTLIB format.; profiling libraries
libghc-smtlib-prof-1.1-1e940
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-222f0
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-9747b
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-b22bb
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-b6311
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-c123a
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-d0589
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtlib-prof-1.1-fea78
本虛擬套件由這些套件填實: libghc-smtlib-prof
libghc-smtp-mail-dev (0.3.0.0-1)
Simple email sending via SMTP
libghc-smtp-mail-dev-0.3.0.0-0cb9f
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-17169
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-192a9
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-34851
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-5b085
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-713cf
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-7df0b
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-98f7a
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-dev-0.3.0.0-bced4
本虛擬套件由這些套件填實: libghc-smtp-mail-dev
libghc-smtp-mail-doc (0.3.0.0-1)
Simple email sending via SMTP; documentation
libghc-smtp-mail-prof (0.3.0.0-1)
Simple email sending via SMTP; profiling libraries
libghc-smtp-mail-prof-0.3.0.0-0cb9f
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-17169
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-192a9
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-34851
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-5b085
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-713cf
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-7df0b
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-98f7a
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-smtp-mail-prof-0.3.0.0-bced4
本虛擬套件由這些套件填實: libghc-smtp-mail-prof
libghc-snap-core-dev (1.0.4.2-1)
Snap: A Haskell Web Framework (Core)
libghc-snap-core-dev-1.0.4.2-178eb
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-1c81a
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-26612
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-45185
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-67b43
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-c58ad
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-c7311
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-dev-1.0.4.2-ef434
本虛擬套件由這些套件填實: libghc-snap-core-dev
libghc-snap-core-doc (1.0.4.2-1)
Snap: A Haskell Web Framework (Core); documentation
libghc-snap-core-prof (1.0.4.2-1)
Snap: A Haskell Web Framework (Core); profiling libraries
libghc-snap-core-prof-1.0.4.2-178eb
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-1c81a
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-26612
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-45185
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-67b43
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-c58ad
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-c7311
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-core-prof-1.0.4.2-ef434
本虛擬套件由這些套件填實: libghc-snap-core-prof
libghc-snap-dev (1.1.3.0-1+b5 [s390x], 1.1.3.0-1+b4 [amd64, arm64, armel, armhf, ppc64el], 1.1.3.0-1+b3 [i386, mipsel], 1.1.3.0-1+b1 [mips64el])
Snap Web Framework
libghc-snap-dev-1.1.3.0-020bd
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-2e186
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-4b5ad
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-5f29d
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-7b028
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-873f3
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-a2dd9
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-c29cd
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-dev-1.1.3.0-eb165
本虛擬套件由這些套件填實: libghc-snap-dev
libghc-snap-doc (1.1.3.0-1)
Snap Web Framework; documentation
libghc-snap-prof (1.1.3.0-1+b5 [s390x], 1.1.3.0-1+b4 [amd64, arm64, armel, armhf, ppc64el], 1.1.3.0-1+b3 [i386, mipsel], 1.1.3.0-1+b1 [mips64el])
Snap Web Framework; profiling libraries
libghc-snap-prof-1.1.3.0-020bd
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-2e186
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-4b5ad
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-5f29d
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-7b028
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-873f3
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-a2dd9
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-c29cd
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-prof-1.1.3.0-eb165
本虛擬套件由這些套件填實: libghc-snap-prof
libghc-snap-server-dev (1.1.1.2-1)
iteratee-based, epoll-enabled web server for the Snap Framework
libghc-snap-server-dev-1.1.1.2-3f956
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-5f0a2
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-826d9
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-96425
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-9aa04
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-b7c03
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-cfe65
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-dev-1.1.1.2-fb490
本虛擬套件由這些套件填實: libghc-snap-server-dev
libghc-snap-server-doc (1.1.1.2-1)
iteratee-based, epoll-enabled web server for the Snap Framework; documentation
libghc-snap-server-prof (1.1.1.2-1)
iteratee-based, epoll-enabled web server for the Snap Framework; profiling lib
libghc-snap-server-prof-1.1.1.2-3f956
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-5f0a2
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-826d9
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-96425
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-9aa04
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-b7c03
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-cfe65
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-snap-server-prof-1.1.1.2-fb490
本虛擬套件由這些套件填實: libghc-snap-server-prof
libghc-soap-dev (0.2.3.6-4+b4 [s390x], 0.2.3.6-4+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.2.3.6-4+b1 [mips64el])
SOAP client library
libghc-soap-dev-0.2.3.6-10dfb
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-246b5
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-26bdf
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-77bc3
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-90c45
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-91cdc
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-aa292
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-c5ea3
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-dev-0.2.3.6-d7bc7
本虛擬套件由這些套件填實: libghc-soap-dev
libghc-soap-doc (0.2.3.6-4)
SOAP client library; documentation
libghc-soap-prof (0.2.3.6-4+b4 [s390x], 0.2.3.6-4+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.2.3.6-4+b1 [mips64el])
SOAP client library; profiling libraries
libghc-soap-prof-0.2.3.6-10dfb
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-246b5
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-26bdf
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-77bc3
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-90c45
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-91cdc
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-aa292
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-c5ea3
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-prof-0.2.3.6-d7bc7
本虛擬套件由這些套件填實: libghc-soap-prof
libghc-soap-tls-dev (0.1.1.4-4+b3 [mipsel, s390x], 0.1.1.4-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.4-4+b1 [mips64el])
TLS-enabled SOAP transport (using tls package)
libghc-soap-tls-dev-0.1.1.4-0425a
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-2a43a
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-430c6
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-45f32
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-72aed
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-aa70c
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-d424f
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-d773f
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-dev-0.1.1.4-fb4ab
本虛擬套件由這些套件填實: libghc-soap-tls-dev
libghc-soap-tls-doc (0.1.1.4-4)
TLS-enabled SOAP transport (using tls package); documentation
libghc-soap-tls-prof (0.1.1.4-4+b3 [mipsel, s390x], 0.1.1.4-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.4-4+b1 [mips64el])
TLS-enabled SOAP transport (using tls package); profiling libraries
libghc-soap-tls-prof-0.1.1.4-0425a
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-2a43a
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-430c6
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-45f32
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-72aed
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-aa70c
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-d424f
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-d773f
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-soap-tls-prof-0.1.1.4-fb4ab
本虛擬套件由這些套件填實: libghc-soap-tls-prof
libghc-sockaddr-dev (0.0.0-11+b2 [s390x], 0.0.0-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
printing SockAddr value
libghc-sockaddr-dev-0.0.0-0e909
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-28cb6
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-67c20
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-7025c
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-a1be8
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-ba978
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-c6597
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-dev-0.0.0-ca686
本虛擬套件由這些套件填實: libghc-sockaddr-dev
libghc-sockaddr-doc (0.0.0-11)
printing SockAddr value; documentation
libghc-sockaddr-prof (0.0.0-11+b2 [s390x], 0.0.0-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
printing SockAddr value; profiling libraries
libghc-sockaddr-prof-0.0.0-0e909
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-28cb6
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-67c20
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-7025c
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-a1be8
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-ba978
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-c6597
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-sockaddr-prof-0.0.0-ca686
本虛擬套件由這些套件填實: libghc-sockaddr-prof
libghc-socks-dev (0.6.1-1+b2 [s390x], 0.6.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
SOCKS proxy library
libghc-socks-dev-0.6.1-29d41
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-39865
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-4bddb
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-88adc
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-96905
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-c0363
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-e57ec
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-dev-0.6.1-e9cc2
本虛擬套件由這些套件填實: libghc-socks-dev
libghc-socks-doc (0.6.1-1)
SOCKS proxy library; documentation
libghc-socks-prof (0.6.1-1+b2 [s390x], 0.6.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
SOCKS proxy library; profiling libraries
libghc-socks-prof-0.6.1-29d41
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-39865
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-4bddb
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-88adc
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-96905
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-c0363
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-e57ec
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-socks-prof-0.6.1-e9cc2
本虛擬套件由這些套件填實: libghc-socks-prof
libghc-some-dev (1.0.1-2+b2 [s390x], 1.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
existential type: Some
libghc-some-dev-1.0.1-0f23c
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-292a9
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-3e766
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-412f0
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-7c6ec
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-d133b
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-f244a
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-dev-1.0.1-fd1b5
本虛擬套件由這些套件填實: libghc-some-dev
libghc-some-doc (1.0.1-2)
existential type: Some; documentation
libghc-some-prof (1.0.1-2+b2 [s390x], 1.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
existential type: Some; profiling libraries
libghc-some-prof-1.0.1-0f23c
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-292a9
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-3e766
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-412f0
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-7c6ec
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-d133b
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-f244a
本虛擬套件由這些套件填實: libghc-some-prof
libghc-some-prof-1.0.1-fd1b5
本虛擬套件由這些套件填實: libghc-some-prof
libghc-sop-core-dev (0.5.0.1-2+b2 [s390x], 0.5.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
true sums of products
libghc-sop-core-dev-0.5.0.1-0147b
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-0f290
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-1e5a5
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-57756
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-598c7
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-af3e3
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-b1717
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-dev-0.5.0.1-fbf80
本虛擬套件由這些套件填實: libghc-sop-core-dev
libghc-sop-core-doc (0.5.0.1-2)
true sums of products; documentation
libghc-sop-core-prof (0.5.0.1-2+b2 [s390x], 0.5.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
true sums of products; profiling libraries
libghc-sop-core-prof-0.5.0.1-0147b
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-0f290
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-1e5a5
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-57756
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-598c7
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-af3e3
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-b1717
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-sop-core-prof-0.5.0.1-fbf80
本虛擬套件由這些套件填實: libghc-sop-core-prof
libghc-split-dev (0.2.3.4-1+b2 [s390x], 0.2.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for splitting lists
libghc-split-dev-0.2.3.4-a5cd3
本虛擬套件由這些套件填實: libghc-split-dev
libghc-split-dev-0.2.3.4-b8004
本虛擬套件由這些套件填實: libghc-split-dev
libghc-split-dev-0.2.3.4-be2b5
本虛擬套件由這些套件填實: libghc-split-dev
libghc-split-dev-0.2.3.4-f32d3
本虛擬套件由這些套件填實: libghc-split-dev
libghc-split-doc (0.2.3.4-1)
Haskell library for splitting lists; documentation
libghc-split-prof (0.2.3.4-1+b2 [s390x], 0.2.3.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for splitting lists; profiling libraries
libghc-split-prof-0.2.3.4-a5cd3
本虛擬套件由這些套件填實: libghc-split-prof
libghc-split-prof-0.2.3.4-b8004
本虛擬套件由這些套件填實: libghc-split-prof
libghc-split-prof-0.2.3.4-be2b5
本虛擬套件由這些套件填實: libghc-split-prof
libghc-split-prof-0.2.3.4-f32d3
本虛擬套件由這些套件填實: libghc-split-prof
libghc-splitmix-dev (0.0.5-1)
fast splittable PRNG
libghc-splitmix-dev-0.0.5-0fd06
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-3c7d1
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-5ab4f
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-82c26
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-84b6c
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-bf18f
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-ea0c3
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-dev-0.0.5-f4ed1
本虛擬套件由這些套件填實: libghc-splitmix-dev
libghc-splitmix-doc (0.0.5-1)
fast splittable PRNG; documentation
libghc-splitmix-prof (0.0.5-1)
fast splittable PRNG; profiling libraries
libghc-splitmix-prof-0.0.5-0fd06
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-3c7d1
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-5ab4f
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-82c26
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-84b6c
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-bf18f
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-ea0c3
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-splitmix-prof-0.0.5-f4ed1
本虛擬套件由這些套件填實: libghc-splitmix-prof
libghc-spool-dev (0.1-2+b2 [s390x], 0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
copyless conversion between ByteString and Vector.Storable
libghc-spool-dev-0.1-2695f
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-319d4
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-43934
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-44dab
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-644e3
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-674af
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-a48c8
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-dev-0.1-fd3fe
本虛擬套件由這些套件填實: libghc-spool-dev
libghc-spool-doc (0.1-2)
copyless conversion between ByteString and Vector.Storable; documentation
libghc-spool-prof (0.1-2+b2 [s390x], 0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
copyless conversion between ByteString and Vector.Storable; profiling libraries
libghc-spool-prof-0.1-2695f
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-319d4
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-43934
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-44dab
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-644e3
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-674af
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-a48c8
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-spool-prof-0.1-fd3fe
本虛擬套件由這些套件填實: libghc-spool-prof
libghc-sql-words-dev (0.1.6.4-1+b2 [s390x], 0.1.6.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
SQL keywords data constructor into OverloadedString
libghc-sql-words-dev-0.1.6.4-00fdc
本虛擬套件由這些套件填實: libghc-sql-words-dev
libghc-sql-words-dev-0.1.6.4-1c115
本虛擬套件由這些套件填實: libghc-sql-words-dev
libghc-sql-words-dev-0.1.6.4-76206
本虛擬套件由這些套件填實: libghc-sql-words-dev
libghc-sql-words-dev-0.1.6.4-8bb78
本虛擬套件由這些套件填實: libghc-sql-words-dev
libghc-sql-words-dev-0.1.6.4-9b57d
本虛擬套件由這些套件填實: libghc-sql-words-dev
libghc-sql-words-dev-0.1.6.4-c36ce
本虛擬套件由這些套件填實: libghc-sql-words-dev
libghc-sql-words-doc (0.1.6.4-1)
SQL keywords data constructor into OverloadedString; documentation
libghc-sql-words-prof (0.1.6.4-1+b2 [s390x], 0.1.6.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
SQL keywords data constructor into OverloadedString; profiling libraries
libghc-sql-words-prof-0.1.6.4-00fdc
本虛擬套件由這些套件填實: libghc-sql-words-prof
libghc-sql-words-prof-0.1.6.4-1c115
本虛擬套件由這些套件填實: libghc-sql-words-prof
libghc-sql-words-prof-0.1.6.4-76206
本虛擬套件由這些套件填實: libghc-sql-words-prof
libghc-sql-words-prof-0.1.6.4-8bb78
本虛擬套件由這些套件填實: libghc-sql-words-prof
libghc-sql-words-prof-0.1.6.4-9b57d
本虛擬套件由這些套件填實: libghc-sql-words-prof
libghc-sql-words-prof-0.1.6.4-c36ce
本虛擬套件由這些套件填實: libghc-sql-words-prof
libghc-src-exts-dev (1.23.1-2)
Haskell-Source with eXtensions library for GHC
libghc-src-exts-doc (1.23.1-2)
API documentation of the haskell-src-exts library; documentation
libghc-src-exts-prof (1.23.1-2)
Haskell-Source with eXtensions library for GHC; profiling libraries
libghc-src-exts-simple-dev (1.23.0.0-1+b1 [armhf], 1.23.0.0-1 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el, s390x])
simplified view on the haskell-src-exts AST
libghc-src-exts-simple-doc (1.23.0.0-1)
simplified view on the haskell-src-exts AST; documentation
libghc-src-exts-simple-prof (1.23.0.0-1+b1 [armhf], 1.23.0.0-1 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el, s390x])
simplified view on the haskell-src-exts AST; profiling libraries
libghc-src-exts-util-dev (0.2.5-2+b3 [armhf, s390x], 0.2.5-2+b2 [amd64, arm64, armel, i386, ppc64el], 0.2.5-2+b1 [mips64el, mipsel])
helper functions for working with haskell-src-exts trees
libghc-src-exts-util-doc (0.2.5-2)
helper functions for working with haskell-src-exts trees; documentation
libghc-src-exts-util-prof (0.2.5-2+b3 [armhf, s390x], 0.2.5-2+b2 [amd64, arm64, armel, i386, ppc64el], 0.2.5-2+b1 [mips64el, mipsel])
helper functions for working with haskell-src-exts trees; profiling libraries
libghc-src-meta-dev (0.8.5-2+b1 [armhf], 0.8.5-2 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el, s390x])
parse source to Template Haskell abstract syntax
libghc-src-meta-doc (0.8.5-2)
parse source to Template Haskell abstract syntax; documentation
libghc-src-meta-prof (0.8.5-2+b1 [armhf], 0.8.5-2 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el, s390x])
parse source to Template Haskell abstract syntax; profiling libraries
libghc-stateref-dev (0.3-9+b2 [s390x], 0.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstraction for things that work like IORef
libghc-stateref-dev-0.3-00404
本虛擬套件由這些套件填實: libghc-stateref-dev
libghc-stateref-dev-0.3-2e0ca
本虛擬套件由這些套件填實: libghc-stateref-dev
libghc-stateref-dev-0.3-2fc97
本虛擬套件由這些套件填實: libghc-stateref-dev
libghc-stateref-dev-0.3-70605
本虛擬套件由這些套件填實: libghc-stateref-dev
libghc-stateref-dev-0.3-7f027
本虛擬套件由這些套件填實: libghc-stateref-dev
libghc-stateref-doc (0.3-9)
abstraction for things that work like IORef; documentation
libghc-stateref-prof (0.3-9+b2 [s390x], 0.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
abstraction for things that work like IORef; profiling libraries
libghc-stateref-prof-0.3-00404
本虛擬套件由這些套件填實: libghc-stateref-prof
libghc-stateref-prof-0.3-2e0ca
本虛擬套件由這些套件填實: libghc-stateref-prof
libghc-stateref-prof-0.3-2fc97
本虛擬套件由這些套件填實: libghc-stateref-prof
libghc-stateref-prof-0.3-70605
本虛擬套件由這些套件填實: libghc-stateref-prof
libghc-stateref-prof-0.3-7f027
本虛擬套件由這些套件填實: libghc-stateref-prof
libghc-statestack-dev (0.3-1+b2 [s390x], 0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple State-like monad transformer state push/pop
libghc-statestack-dev-0.3-05d34
本虛擬套件由這些套件填實: libghc-statestack-dev
libghc-statestack-dev-0.3-61ed5
本虛擬套件由這些套件填實: libghc-statestack-dev
libghc-statestack-dev-0.3-f47eb
本虛擬套件由這些套件填實: libghc-statestack-dev
libghc-statestack-dev-0.3-f6759
本虛擬套件由這些套件填實: libghc-statestack-dev
libghc-statestack-dev-0.3-fcbaf
本虛擬套件由這些套件填實: libghc-statestack-dev
libghc-statestack-doc (0.3-1)
simple State-like monad transformer state push/pop; documentation
libghc-statestack-prof (0.3-1+b2 [s390x], 0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple State-like monad transformer state push/pop; profiling libraries
libghc-statestack-prof-0.3-05d34
本虛擬套件由這些套件填實: libghc-statestack-prof
libghc-statestack-prof-0.3-61ed5
本虛擬套件由這些套件填實: libghc-statestack-prof
libghc-statestack-prof-0.3-f47eb
本虛擬套件由這些套件填實: libghc-statestack-prof
libghc-statestack-prof-0.3-f6759
本虛擬套件由這些套件填實: libghc-statestack-prof
libghc-statestack-prof-0.3-fcbaf
本虛擬套件由這些套件填實: libghc-statestack-prof
libghc-statevar-dev (1.2-1+b2 [s390x], 1.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
state variables in Haskell
libghc-statevar-dev-1.2-0d8c0
本虛擬套件由這些套件填實: libghc-statevar-dev
libghc-statevar-dev-1.2-18918
本虛擬套件由這些套件填實: libghc-statevar-dev
libghc-statevar-dev-1.2-2f6dc
本虛擬套件由這些套件填實: libghc-statevar-dev
libghc-statevar-dev-1.2-8a8fd
本虛擬套件由這些套件填實: libghc-statevar-dev
libghc-statevar-dev-1.2-e2c41
本虛擬套件由這些套件填實: libghc-statevar-dev
libghc-statevar-doc (1.2-1)
state variables in Haskell; documentation
libghc-statevar-prof (1.2-1+b2 [s390x], 1.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
state variables in Haskell; profiling libraries
libghc-statevar-prof-1.2-0d8c0
本虛擬套件由這些套件填實: libghc-statevar-prof
libghc-statevar-prof-1.2-18918
本虛擬套件由這些套件填實: libghc-statevar-prof
libghc-statevar-prof-1.2-2f6dc
本虛擬套件由這些套件填實: libghc-statevar-prof
libghc-statevar-prof-1.2-8a8fd
本虛擬套件由這些套件填實: libghc-statevar-prof
libghc-statevar-prof-1.2-e2c41
本虛擬套件由這些套件填實: libghc-statevar-prof
libghc-static-hash-dev (0.0.1-14+b2 [s390x], 0.0.1-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure immutable hash
libghc-static-hash-dev-0.0.1-12968
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-5eff7
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-690e1
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-8e676
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-91a6c
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-ac1ed
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-db1a7
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-dev-0.0.1-ec594
本虛擬套件由這些套件填實: libghc-static-hash-dev
libghc-static-hash-doc (0.0.1-14)
pure immutable hash; documentation
libghc-static-hash-prof (0.0.1-14+b2 [s390x], 0.0.1-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
pure immutable hash; profiling libraries
libghc-static-hash-prof-0.0.1-12968
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-5eff7
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-690e1
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-8e676
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-91a6c
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-ac1ed
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-db1a7
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-static-hash-prof-0.0.1-ec594
本虛擬套件由這些套件填實: libghc-static-hash-prof
libghc-statistics-dev (0.15.2.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.15.2.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
A library of statistical types, data, and functions
libghc-statistics-dev-0.15.2.0-0f90c
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-162de
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-55aa7
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-56e6e
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-7d8d4
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-9b40e
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-9d896
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-af830
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-dev-0.15.2.0-e757c
本虛擬套件由這些套件填實: libghc-statistics-dev
libghc-statistics-doc (0.15.2.0-1)
A library of statistical types, data, and functions; documentation
libghc-statistics-prof (0.15.2.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.15.2.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
A library of statistical types, data, and functions; profiling libraries
libghc-statistics-prof-0.15.2.0-0f90c
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-162de
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-55aa7
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-56e6e
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-7d8d4
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-9b40e
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-9d896
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-af830
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-statistics-prof-0.15.2.0-e757c
本虛擬套件由這些套件填實: libghc-statistics-prof
libghc-status-notifier-item-dev (0.3.0.5-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 0.3.0.5-1+b1 [mips64el])
StatusNotifierItem/libappindicator dbus implementation
libghc-status-notifier-item-dev-0.3.0.5-35292
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-4c655
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-92350
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-9c3fb
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-a2abb
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-a7177
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-c62e5
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-c84bb
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-dev-0.3.0.5-dbd44
本虛擬套件由這些套件填實: libghc-status-notifier-item-dev
libghc-status-notifier-item-doc (0.3.0.5-1)
StatusNotifierItem/libappindicator dbus implementation; documentation
libghc-status-notifier-item-prof (0.3.0.5-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 0.3.0.5-1+b1 [mips64el])
StatusNotifierItem/libappindicator dbus implementation; profiling libraries
libghc-status-notifier-item-prof-0.3.0.5-35292
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-4c655
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-92350
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-9c3fb
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-a2abb
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-a7177
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-c62e5
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-c84bb
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-status-notifier-item-prof-0.3.0.5-dbd44
本虛擬套件由這些套件填實: libghc-status-notifier-item-prof
libghc-stm-chans-dev (3.0.0.4-9+b2 [s390x], 3.0.0.4-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
additional types of channels for STM
libghc-stm-chans-dev-3.0.0.4-43cd4
本虛擬套件由這些套件填實: libghc-stm-chans-dev
libghc-stm-chans-dev-3.0.0.4-4ab07
本虛擬套件由這些套件填實: libghc-stm-chans-dev
libghc-stm-chans-dev-3.0.0.4-635b0
本虛擬套件由這些套件填實: libghc-stm-chans-dev
libghc-stm-chans-dev-3.0.0.4-8a8e8
本虛擬套件由這些套件填實: libghc-stm-chans-dev
libghc-stm-chans-doc (3.0.0.4-9)
additional types of channels for STM; documentation
libghc-stm-chans-prof (3.0.0.4-9+b2 [s390x], 3.0.0.4-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
additional types of channels for STM; profiling libraries
libghc-stm-chans-prof-3.0.0.4-43cd4
本虛擬套件由這些套件填實: libghc-stm-chans-prof
libghc-stm-chans-prof-3.0.0.4-4ab07
本虛擬套件由這些套件填實: libghc-stm-chans-prof
libghc-stm-chans-prof-3.0.0.4-635b0
本虛擬套件由這些套件填實: libghc-stm-chans-prof
libghc-stm-chans-prof-3.0.0.4-8a8e8
本虛擬套件由這些套件填實: libghc-stm-chans-prof
libghc-stm-delay-dev (0.1.1.1-2+b2 [s390x], 0.1.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
updatable one-shot timer polled with STM
libghc-stm-delay-dev-0.1.1.1-2adfd
本虛擬套件由這些套件填實: libghc-stm-delay-dev
libghc-stm-delay-dev-0.1.1.1-454ed
本虛擬套件由這些套件填實: libghc-stm-delay-dev
libghc-stm-delay-dev-0.1.1.1-7b2cc
本虛擬套件由這些套件填實: libghc-stm-delay-dev
libghc-stm-delay-dev-0.1.1.1-a7d33
本虛擬套件由這些套件填實: libghc-stm-delay-dev
libghc-stm-delay-dev-0.1.1.1-dbaac
本虛擬套件由這些套件填實: libghc-stm-delay-dev
libghc-stm-delay-doc (0.1.1.1-2)
updatable one-shot timer polled with STM; documentation
libghc-stm-delay-prof (0.1.1.1-2+b2 [s390x], 0.1.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
updatable one-shot timer polled with STM; profiling libraries
libghc-stm-delay-prof-0.1.1.1-2adfd
本虛擬套件由這些套件填實: libghc-stm-delay-prof
libghc-stm-delay-prof-0.1.1.1-454ed
本虛擬套件由這些套件填實: libghc-stm-delay-prof
libghc-stm-delay-prof-0.1.1.1-7b2cc
本虛擬套件由這些套件填實: libghc-stm-delay-prof
libghc-stm-delay-prof-0.1.1.1-a7d33
本虛擬套件由這些套件填實: libghc-stm-delay-prof
libghc-stm-delay-prof-0.1.1.1-dbaac
本虛擬套件由這些套件填實: libghc-stm-delay-prof
libghc-stm-dev (= 2.5.0.0)
本虛擬套件由這些套件填實: ghc
libghc-stm-dev-2.5.0.0-28cfe
本虛擬套件由這些套件填實: ghc
libghc-stm-dev-2.5.0.0-7e2c4
本虛擬套件由這些套件填實: ghc
libghc-stm-dev-2.5.0.0-b76c2
本虛擬套件由這些套件填實: ghc
libghc-stm-dev-2.5.0.0-d009b
本虛擬套件由這些套件填實: ghc
libghc-stm-doc (= 2.5.0.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-stm-prof (= 2.5.0.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-stm-prof-2.5.0.0-28cfe
本虛擬套件由這些套件填實: ghc-prof
libghc-stm-prof-2.5.0.0-7e2c4
本虛擬套件由這些套件填實: ghc-prof
libghc-stm-prof-2.5.0.0-b76c2
本虛擬套件由這些套件填實: ghc-prof
libghc-stm-prof-2.5.0.0-d009b
本虛擬套件由這些套件填實: ghc-prof
libghc-stmonadtrans-dev (0.4.4-1+b2 [s390x], 0.4.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad transformer version of the ST monad
libghc-stmonadtrans-dev-0.4.4-247e8
本虛擬套件由這些套件填實: libghc-stmonadtrans-dev
libghc-stmonadtrans-dev-0.4.4-41979
本虛擬套件由這些套件填實: libghc-stmonadtrans-dev
libghc-stmonadtrans-dev-0.4.4-ab77f
本虛擬套件由這些套件填實: libghc-stmonadtrans-dev
libghc-stmonadtrans-dev-0.4.4-b87d6
本虛擬套件由這些套件填實: libghc-stmonadtrans-dev
libghc-stmonadtrans-dev-0.4.4-e1e08
本虛擬套件由這些套件填實: libghc-stmonadtrans-dev
libghc-stmonadtrans-doc (0.4.4-1)
monad transformer version of the ST monad; documentation
libghc-stmonadtrans-prof (0.4.4-1+b2 [s390x], 0.4.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
monad transformer version of the ST monad; profiling libraries
libghc-stmonadtrans-prof-0.4.4-247e8
本虛擬套件由這些套件填實: libghc-stmonadtrans-prof
libghc-stmonadtrans-prof-0.4.4-41979
本虛擬套件由這些套件填實: libghc-stmonadtrans-prof
libghc-stmonadtrans-prof-0.4.4-ab77f
本虛擬套件由這些套件填實: libghc-stmonadtrans-prof
libghc-stmonadtrans-prof-0.4.4-b87d6
本虛擬套件由這些套件填實: libghc-stmonadtrans-prof
libghc-stmonadtrans-prof-0.4.4-e1e08
本虛擬套件由這些套件填實: libghc-stmonadtrans-prof
libghc-storable-complex-dev (0.2.3.0-2+b2 [s390x], 0.2.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Storable instance for Complex
libghc-storable-complex-dev-0.2.3.0-1d0af
本虛擬套件由這些套件填實: libghc-storable-complex-dev
libghc-storable-complex-dev-0.2.3.0-6e34b
本虛擬套件由這些套件填實: libghc-storable-complex-dev
libghc-storable-complex-dev-0.2.3.0-b6df7
本虛擬套件由這些套件填實: libghc-storable-complex-dev
libghc-storable-complex-dev-0.2.3.0-c1795
本虛擬套件由這些套件填實: libghc-storable-complex-dev
libghc-storable-complex-dev-0.2.3.0-fea3b
本虛擬套件由這些套件填實: libghc-storable-complex-dev
libghc-storable-complex-doc (0.2.3.0-2)
Storable instance for Complex; documentation
libghc-storable-complex-prof (0.2.3.0-2+b2 [s390x], 0.2.3.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Storable instance for Complex; profiling libraries
libghc-storable-complex-prof-0.2.3.0-1d0af
本虛擬套件由這些套件填實: libghc-storable-complex-prof
libghc-storable-complex-prof-0.2.3.0-6e34b
本虛擬套件由這些套件填實: libghc-storable-complex-prof
libghc-storable-complex-prof-0.2.3.0-b6df7
本虛擬套件由這些套件填實: libghc-storable-complex-prof
libghc-storable-complex-prof-0.2.3.0-c1795
本虛擬套件由這些套件填實: libghc-storable-complex-prof
libghc-storable-complex-prof-0.2.3.0-fea3b
本虛擬套件由這些套件填實: libghc-storable-complex-prof
libghc-storable-record-dev (0.0.5-1+b2 [s390x], 0.0.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
elegant definition of Storable instances for records
libghc-storable-record-dev-0.0.5-06c3d
本虛擬套件由這些套件填實: libghc-storable-record-dev
libghc-storable-record-dev-0.0.5-20807
本虛擬套件由這些套件填實: libghc-storable-record-dev
libghc-storable-record-dev-0.0.5-24f87
本虛擬套件由這些套件填實: libghc-storable-record-dev
libghc-storable-record-dev-0.0.5-a5436
本虛擬套件由這些套件填實: libghc-storable-record-dev
libghc-storable-record-dev-0.0.5-b3a46
本虛擬套件由這些套件填實: libghc-storable-record-dev
libghc-storable-record-doc (0.0.5-1)
elegant definition of Storable instances for records; documentation
libghc-storable-record-prof (0.0.5-1+b2 [s390x], 0.0.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
elegant definition of Storable instances for records; profiling libraries
libghc-storable-record-prof-0.0.5-06c3d
本虛擬套件由這些套件填實: libghc-storable-record-prof
libghc-storable-record-prof-0.0.5-20807
本虛擬套件由這些套件填實: libghc-storable-record-prof
libghc-storable-record-prof-0.0.5-24f87
本虛擬套件由這些套件填實: libghc-storable-record-prof
libghc-storable-record-prof-0.0.5-a5436
本虛擬套件由這些套件填實: libghc-storable-record-prof
libghc-storable-record-prof-0.0.5-b3a46
本虛擬套件由這些套件填實: libghc-storable-record-prof
libghc-storable-tuple-dev (0.0.3.3-4+b2 [s390x], 0.0.3.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Storable instance for pairs and triples
libghc-storable-tuple-dev-0.0.3.3-0a6d8
本虛擬套件由這些套件填實: libghc-storable-tuple-dev
libghc-storable-tuple-dev-0.0.3.3-16599
本虛擬套件由這些套件填實: libghc-storable-tuple-dev
libghc-storable-tuple-dev-0.0.3.3-477d6
本虛擬套件由這些套件填實: libghc-storable-tuple-dev
libghc-storable-tuple-dev-0.0.3.3-5d61f
本虛擬套件由這些套件填實: libghc-storable-tuple-dev
libghc-storable-tuple-dev-0.0.3.3-9318b
本虛擬套件由這些套件填實: libghc-storable-tuple-dev
libghc-storable-tuple-doc (0.0.3.3-4)
Storable instance for pairs and triples; documentation
libghc-storable-tuple-prof (0.0.3.3-4+b2 [s390x], 0.0.3.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Storable instance for pairs and triples; profiling libraries
libghc-storable-tuple-prof-0.0.3.3-0a6d8
本虛擬套件由這些套件填實: libghc-storable-tuple-prof
libghc-storable-tuple-prof-0.0.3.3-16599
本虛擬套件由這些套件填實: libghc-storable-tuple-prof
libghc-storable-tuple-prof-0.0.3.3-477d6
本虛擬套件由這些套件填實: libghc-storable-tuple-prof
libghc-storable-tuple-prof-0.0.3.3-5d61f
本虛擬套件由這些套件填實: libghc-storable-tuple-prof
libghc-storable-tuple-prof-0.0.3.3-9318b
本虛擬套件由這些套件填實: libghc-storable-tuple-prof
libghc-store-core-dev (0.4.4.3-1)
fast and lightweight binary serialization
libghc-store-core-dev-0.4.4.3-149d6
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-3a31a
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-51cb7
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-b22b7
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-b8891
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-d7d3d
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-ecf38
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-dev-0.4.4.3-ff745
本虛擬套件由這些套件填實: libghc-store-core-dev
libghc-store-core-doc (0.4.4.3-1)
fast and lightweight binary serialization; documentation
libghc-store-core-prof (0.4.4.3-1)
fast and lightweight binary serialization; profiling libraries
libghc-store-core-prof-0.4.4.3-149d6
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-3a31a
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-51cb7
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-b22b7
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-b8891
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-d7d3d
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-ecf38
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-core-prof-0.4.4.3-ff745
本虛擬套件由這些套件填實: libghc-store-core-prof
libghc-store-dev (0.7.6-1)
Fast binary serialization
libghc-store-dev-0.7.6-137f1
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-201c8
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-308d0
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-84809
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-e97c3
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-ebffe
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-f6fa2
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-dev-0.7.6-f77c5
本虛擬套件由這些套件填實: libghc-store-dev
libghc-store-doc (0.7.6-1)
Fast binary serialization; documentation
libghc-store-prof (0.7.6-1)
Fast binary serialization; profiling libraries
libghc-store-prof-0.7.6-137f1
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-201c8
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-308d0
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-84809
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-e97c3
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-ebffe
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-f6fa2
本虛擬套件由這些套件填實: libghc-store-prof
libghc-store-prof-0.7.6-f77c5
本虛擬套件由這些套件填實: libghc-store-prof
libghc-stream-dev (0.4.7.2-7+b3 [s390x], 0.4.7.2-7+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.7.2-7+b1 [mips64el, mipsel])
Haskell stream (infinite list) library for GHC
libghc-stream-dev-0.4.7.2-01f9f
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-0bb54
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-0c9ef
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-0e995
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-5e010
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-757fb
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-c6263
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-dev-0.4.7.2-dec77
本虛擬套件由這些套件填實: libghc-stream-dev
libghc-stream-doc (0.4.7.2-7)
Haskell stream (infinite list) library for GHC; documentation
libghc-stream-prof (0.4.7.2-7+b3 [s390x], 0.4.7.2-7+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.7.2-7+b1 [mips64el, mipsel])
Haskell stream (infinite list) library for GHC; profiling libraries
libghc-stream-prof-0.4.7.2-01f9f
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-0bb54
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-0c9ef
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-0e995
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-5e010
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-757fb
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-c6263
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-stream-prof-0.4.7.2-dec77
本虛擬套件由這些套件填實: libghc-stream-prof
libghc-streaming-commons-dev (0.2.2.1-1)
common lower-level functions for various streaming data libraries
libghc-streaming-commons-dev-0.2.2.1-00756
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-22707
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-25841
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-2f103
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-4a218
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-53d31
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-6272d
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-dev-0.2.2.1-74642
本虛擬套件由這些套件填實: libghc-streaming-commons-dev
libghc-streaming-commons-doc (0.2.2.1-1)
common lower-level functions for various streaming data libraries; documentation
libghc-streaming-commons-prof (0.2.2.1-1)
common lower-level functions for various streaming data libraries; profiling libraries
libghc-streaming-commons-prof-0.2.2.1-00756
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-22707
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-25841
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-2f103
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-4a218
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-53d31
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-6272d
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-streaming-commons-prof-0.2.2.1-74642
本虛擬套件由這些套件填實: libghc-streaming-commons-prof
libghc-strict-dev (0.3.2-16+b2 [s390x], 0.3.2-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Strict variants of standard Haskell datatypes
libghc-strict-dev-0.3.2-0c403
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-dev-0.3.2-14856
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-dev-0.3.2-34732
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-dev-0.3.2-57ed8
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-dev-0.3.2-610d6
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-dev-0.3.2-b0999
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-dev-0.3.2-c16aa
本虛擬套件由這些套件填實: libghc-strict-dev
libghc-strict-doc (0.3.2-16)
Strict variants of standard Haskell datatypes; documentation
libghc-strict-list-dev (0.1.5-1+b2 [s390x], 0.1.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
strict linked list
libghc-strict-list-dev-0.1.5-14b03
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-15b52
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-3f020
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-6d279
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-95274
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-cf3c9
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-e145d
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-eb29e
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-dev-0.1.5-f9904
本虛擬套件由這些套件填實: libghc-strict-list-dev
libghc-strict-list-doc (0.1.5-1)
strict linked list; documentation
libghc-strict-list-prof (0.1.5-1+b2 [s390x], 0.1.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
strict linked list; profiling libraries
libghc-strict-list-prof-0.1.5-14b03
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-15b52
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-3f020
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-6d279
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-95274
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-cf3c9
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-e145d
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-eb29e
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-list-prof-0.1.5-f9904
本虛擬套件由這些套件填實: libghc-strict-list-prof
libghc-strict-prof (0.3.2-16+b2 [s390x], 0.3.2-16+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Strict variants of standard Haskell datatypes; profiling libraries
libghc-strict-prof-0.3.2-0c403
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-strict-prof-0.3.2-14856
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-strict-prof-0.3.2-34732
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-strict-prof-0.3.2-57ed8
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-strict-prof-0.3.2-610d6
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-strict-prof-0.3.2-b0999
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-strict-prof-0.3.2-c16aa
本虛擬套件由這些套件填實: libghc-strict-prof
libghc-string-conversions-dev (0.4.0.1-5+b2 [s390x], 0.4.0.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simplifies dealing with different types for strings
libghc-string-conversions-dev-0.4.0.1-1213c
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-19567
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-3dcca
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-567b1
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-602e8
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-8e0bf
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-d069b
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-dev-0.4.0.1-d15b8
本虛擬套件由這些套件填實: libghc-string-conversions-dev
libghc-string-conversions-doc (0.4.0.1-5)
simplifies dealing with different types for strings; documentation
libghc-string-conversions-prof (0.4.0.1-5+b2 [s390x], 0.4.0.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simplifies dealing with different types for strings; profiling libraries
libghc-string-conversions-prof-0.4.0.1-1213c
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-19567
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-3dcca
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-567b1
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-602e8
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-8e0bf
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-d069b
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-string-conversions-prof-0.4.0.1-d15b8
本虛擬套件由這些套件填實: libghc-string-conversions-prof
libghc-stringbuilder-dev (0.5.1-3+b2 [s390x], 0.5.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
writer monad for multi-line string literals
libghc-stringbuilder-dev-0.5.1-13d10
本虛擬套件由這些套件填實: libghc-stringbuilder-dev
libghc-stringbuilder-dev-0.5.1-1935d
本虛擬套件由這些套件填實: libghc-stringbuilder-dev
libghc-stringbuilder-dev-0.5.1-72218
本虛擬套件由這些套件填實: libghc-stringbuilder-dev
libghc-stringbuilder-dev-0.5.1-b7637
本虛擬套件由這些套件填實: libghc-stringbuilder-dev
libghc-stringbuilder-dev-0.5.1-c34c8
本虛擬套件由這些套件填實: libghc-stringbuilder-dev
libghc-stringbuilder-doc (0.5.1-3)
writer monad for multi-line string literals; documentation
libghc-stringbuilder-prof (0.5.1-3+b2 [s390x], 0.5.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
writer monad for multi-line string literals; profiling libraries
libghc-stringbuilder-prof-0.5.1-13d10
本虛擬套件由這些套件填實: libghc-stringbuilder-prof
libghc-stringbuilder-prof-0.5.1-1935d
本虛擬套件由這些套件填實: libghc-stringbuilder-prof
libghc-stringbuilder-prof-0.5.1-72218
本虛擬套件由這些套件填實: libghc-stringbuilder-prof
libghc-stringbuilder-prof-0.5.1-b7637
本虛擬套件由這些套件填實: libghc-stringbuilder-prof
libghc-stringbuilder-prof-0.5.1-c34c8
本虛擬套件由這些套件填實: libghc-stringbuilder-prof
libghc-stringprep-dev (1.0.0-11+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1.0.0-11+b1 [mips64el])
Implements the "StringPrep" algorithm
libghc-stringprep-dev-1.0.0-03d98
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-4dd7b
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-6403d
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-7375e
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-86dc9
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-92555
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-d8311
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-dev-1.0.0-f43be
本虛擬套件由這些套件填實: libghc-stringprep-dev
libghc-stringprep-doc (1.0.0-11)
Implements the "StringPrep" algorithm; documentation
libghc-stringprep-prof (1.0.0-11+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1.0.0-11+b1 [mips64el])
Implements the "StringPrep" algorithm; profiling libraries
libghc-stringprep-prof-1.0.0-03d98
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-4dd7b
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-6403d
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-7375e
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-86dc9
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-92555
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-d8311
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringprep-prof-1.0.0-f43be
本虛擬套件由這些套件填實: libghc-stringprep-prof
libghc-stringsearch-dev (0.3.6.6-10+b2 [s390x], 0.3.6.6-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast searching, splitting and replacing of ByteStrings
libghc-stringsearch-dev-0.3.6.6-497a9
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-4cbc8
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-4d517
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-5d853
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-a444d
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-a77bd
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-b8ce7
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-dev-0.3.6.6-f45fd
本虛擬套件由這些套件填實: libghc-stringsearch-dev
libghc-stringsearch-doc (0.3.6.6-10)
Fast searching, splitting and replacing of ByteStrings; documentation
libghc-stringsearch-prof (0.3.6.6-10+b2 [s390x], 0.3.6.6-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast searching, splitting and replacing of ByteStrings; profiling libraries
libghc-stringsearch-prof-0.3.6.6-497a9
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-4cbc8
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-4d517
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-5d853
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-a444d
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-a77bd
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-b8ce7
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-stringsearch-prof-0.3.6.6-f45fd
本虛擬套件由這些套件填實: libghc-stringsearch-prof
libghc-svg-builder-dev (0.1.1-5+b2 [s390x], 0.1.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
DSL for building SVG
libghc-svg-builder-dev-0.1.1-2ff34
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-3ae0d
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-7e085
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-8511a
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-87e91
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-a8160
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-e25d4
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-dev-0.1.1-e7c56
本虛擬套件由這些套件填實: libghc-svg-builder-dev
libghc-svg-builder-doc (0.1.1-5)
DSL for building SVG; documentation
libghc-svg-builder-prof (0.1.1-5+b2 [s390x], 0.1.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
DSL for building SVG; profiling libraries
libghc-svg-builder-prof-0.1.1-2ff34
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-3ae0d
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-7e085
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-8511a
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-87e91
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-a8160
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-e25d4
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-svg-builder-prof-0.1.1-e7c56
本虛擬套件由這些套件填實: libghc-svg-builder-prof
libghc-swish-dev (0.10.0.4-1+b3 [s390x], 0.10.0.4-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
semantic web toolkit - libraries
libghc-swish-dev-0.10.0.4-11eee
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-16058
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-465a7
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-51aab
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-51b7a
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-54dfa
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-81fb4
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-dev-0.10.0.4-9ca6a
本虛擬套件由這些套件填實: libghc-swish-dev
libghc-swish-doc (0.10.0.4-1)
semantic web toolkit - library documentation
libghc-swish-prof (0.10.0.4-1+b3 [s390x], 0.10.0.4-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
semantic web toolkit - profiling libraries
libghc-swish-prof-0.10.0.4-11eee
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-16058
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-465a7
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-51aab
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-51b7a
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-54dfa
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-81fb4
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-swish-prof-0.10.0.4-9ca6a
本虛擬套件由這些套件填實: libghc-swish-prof
libghc-syb-dev (0.7.1-2+b2 [s390x], 0.7.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic programming library for Haskell
libghc-syb-dev-0.7.1-22f7e
本虛擬套件由這些套件填實: libghc-syb-dev
libghc-syb-dev-0.7.1-5ea0b
本虛擬套件由這些套件填實: libghc-syb-dev
libghc-syb-dev-0.7.1-bb80c
本虛擬套件由這些套件填實: libghc-syb-dev
libghc-syb-dev-0.7.1-e7786
本虛擬套件由這些套件填實: libghc-syb-dev
libghc-syb-dev-0.7.1-e83af
本虛擬套件由這些套件填實: libghc-syb-dev
libghc-syb-doc (0.7.1-2)
Generic programming library for Haskell; documentation
libghc-syb-prof (0.7.1-2+b2 [s390x], 0.7.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Generic programming library for Haskell; profiling libraries
libghc-syb-prof-0.7.1-22f7e
本虛擬套件由這些套件填實: libghc-syb-prof
libghc-syb-prof-0.7.1-5ea0b
本虛擬套件由這些套件填實: libghc-syb-prof
libghc-syb-prof-0.7.1-bb80c
本虛擬套件由這些套件填實: libghc-syb-prof
libghc-syb-prof-0.7.1-e7786
本虛擬套件由這些套件填實: libghc-syb-prof
libghc-syb-prof-0.7.1-e83af
本虛擬套件由這些套件填實: libghc-syb-prof
libghc-syb-with-class-dev (0.6.1.13-1+b2 [s390x], 0.6.1.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for generic programming
libghc-syb-with-class-dev-0.6.1.13-20c5c
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-2223b
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-2ac16
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-5b814
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-911d2
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-a467c
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-df316
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-dev-0.6.1.13-fcd3d
本虛擬套件由這些套件填實: libghc-syb-with-class-dev
libghc-syb-with-class-doc (0.6.1.13-1)
Haskell library for generic programming; documentation
libghc-syb-with-class-prof (0.6.1.13-1+b2 [s390x], 0.6.1.13-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for generic programming; profiling libraries
libghc-syb-with-class-prof-0.6.1.13-20c5c
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-2223b
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-2ac16
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-5b814
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-911d2
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-a467c
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-df316
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-syb-with-class-prof-0.6.1.13-fcd3d
本虛擬套件由這些套件填實: libghc-syb-with-class-prof
libghc-system-fileio-dev (0.3.16.4-3+b2 [s390x], 0.3.16.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
consistent filesystem interaction across GHC versions
libghc-system-fileio-dev-0.3.16.4-05f75
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-0c596
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-553bb
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-748d7
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-79696
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-a40c1
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-e08c6
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-dev-0.3.16.4-eec9c
本虛擬套件由這些套件填實: libghc-system-fileio-dev
libghc-system-fileio-doc (0.3.16.4-3)
consistent filesystem interaction across GHC versions; documentation
libghc-system-fileio-prof (0.3.16.4-3+b2 [s390x], 0.3.16.4-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
consistent filesystem interaction across GHC versions; profiling libraries
libghc-system-fileio-prof-0.3.16.4-05f75
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-0c596
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-553bb
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-748d7
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-79696
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-a40c1
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-e08c6
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-fileio-prof-0.3.16.4-eec9c
本虛擬套件由這些套件填實: libghc-system-fileio-prof
libghc-system-filepath-dev (0.4.14-4+b2 [s390x], 0.4.14-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
high-level, byte-based file and directory path manipulations
libghc-system-filepath-dev-0.4.14-2e30c
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-45b0d
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-57a87
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-7f90b
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-8116d
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-b4390
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-f4190
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-dev-0.4.14-f84df
本虛擬套件由這些套件填實: libghc-system-filepath-dev
libghc-system-filepath-doc (0.4.14-4)
high-level, byte-based file and directory path manipulations; documentation
libghc-system-filepath-prof (0.4.14-4+b2 [s390x], 0.4.14-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
high-level, byte-based file and directory path manipulations; profiling libraries
libghc-system-filepath-prof-0.4.14-2e30c
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-45b0d
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-57a87
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-7f90b
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-8116d
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-b4390
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-f4190
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-filepath-prof-0.4.14-f84df
本虛擬套件由這些套件填實: libghc-system-filepath-prof
libghc-system-posix-redirect-dev (1.1.0.1-2+b2 [s390x], 1.1.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
toy module to temporarily redirect a program's stdout
libghc-system-posix-redirect-dev-1.1.0.1-21dff
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-37c7d
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-4a6cc
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-4ed62
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-576ff
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-8bf04
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-b4587
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-dev-1.1.0.1-c3402
本虛擬套件由這些套件填實: libghc-system-posix-redirect-dev
libghc-system-posix-redirect-doc (1.1.0.1-2)
toy module to temporarily redirect a program's stdout; documentation
libghc-system-posix-redirect-prof (1.1.0.1-2+b2 [s390x], 1.1.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
toy module to temporarily redirect a program's stdout; profiling libraries
libghc-system-posix-redirect-prof-1.1.0.1-21dff
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-37c7d
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-4a6cc
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-4ed62
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-576ff
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-8bf04
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-b4587
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-system-posix-redirect-prof-1.1.0.1-c3402
本虛擬套件由這些套件填實: libghc-system-posix-redirect-prof
libghc-tabular-dev (0.2.2.7-10+b2 [s390x], 0.2.2.7-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
two-dimensional data tables with rendering functions
libghc-tabular-dev-0.2.2.7-01828
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-0a013
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-0d5f1
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-1ad84
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-3bf70
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-43943
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-76369
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-dev-0.2.2.7-c7c48
本虛擬套件由這些套件填實: libghc-tabular-dev
libghc-tabular-doc (0.2.2.7-10)
two-dimensional data tables with rendering functions; documentation
libghc-tabular-prof (0.2.2.7-10+b2 [s390x], 0.2.2.7-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
two-dimensional data tables with rendering functions; profiling libraries
libghc-tabular-prof-0.2.2.7-01828
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-0a013
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-0d5f1
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-1ad84
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-3bf70
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-43943
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-76369
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-tabular-prof-0.2.2.7-c7c48
本虛擬套件由這些套件填實: libghc-tabular-prof
libghc-taffybar-dev (3.2.2-2)
desktop bar extensible in Haskell
libghc-taffybar-dev-3.2.2-08e30
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-20669
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-32f12
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-60eee
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-7189f
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-8350a
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-de640
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-dev-3.2.2-e3262
本虛擬套件由這些套件填實: libghc-taffybar-dev
libghc-taffybar-doc (3.2.2-2)
desktop bar extensible in Haskell; documentation
libghc-taffybar-prof (3.2.2-2)
desktop bar extensible in Haskell; profiling libraries
libghc-taffybar-prof-3.2.2-08e30
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-20669
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-32f12
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-60eee
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-7189f
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-8350a
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-de640
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-taffybar-prof-3.2.2-e3262
本虛擬套件由這些套件填實: libghc-taffybar-prof
libghc-tagged-dev (0.8.6-3+b2 [s390x], 0.8.6-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
newtype wrappers for phantom types
libghc-tagged-dev-0.8.6-08c73
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-2f148
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-51ba5
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-671fd
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-87d4c
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-91662
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-aefe5
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-dev-0.8.6-e75c4
本虛擬套件由這些套件填實: libghc-tagged-dev
libghc-tagged-doc (0.8.6-3)
newtype wrappers for phantom types; documentation
libghc-tagged-prof (0.8.6-3+b2 [s390x], 0.8.6-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
newtype wrappers for phantom types; profiling libraries
libghc-tagged-prof-0.8.6-08c73
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-2f148
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-51ba5
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-671fd
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-87d4c
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-91662
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-aefe5
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagged-prof-0.8.6-e75c4
本虛擬套件由這些套件填實: libghc-tagged-prof
libghc-tagshare-dev (0.0-5+b2 [s390x], 0.0-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
explicit sharing with tags
libghc-tagshare-dev-0.0-79594
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-9d619
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-a66b2
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-bce76
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-c4353
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-e72cb
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-f2712
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-dev-0.0-fb0b3
本虛擬套件由這些套件填實: libghc-tagshare-dev
libghc-tagshare-doc (0.0-5)
explicit sharing with tags; documentation
libghc-tagshare-prof (0.0-5+b2 [s390x], 0.0-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
explicit sharing with tags; profiling libraries
libghc-tagshare-prof-0.0-79594
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-9d619
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-a66b2
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-bce76
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-c4353
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-e72cb
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-f2712
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagshare-prof-0.0-fb0b3
本虛擬套件由這些套件填實: libghc-tagshare-prof
libghc-tagsoup-dev (0.14.8-2+b2 [s390x], 0.14.8-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library to robustly parse unstructured HTML
libghc-tagsoup-dev-0.14.8-0bbd4
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-28880
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-2bb7d
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-2d642
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-8996c
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-a5607
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-aa427
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-dev-0.14.8-d08e8
本虛擬套件由這些套件填實: libghc-tagsoup-dev
libghc-tagsoup-doc (0.14.8-2)
Documentation for Haskell TagSoup library
libghc-tagsoup-prof (0.14.8-2+b2 [s390x], 0.14.8-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling support for TagSoup Haskell library
libghc-tagsoup-prof-0.14.8-0bbd4
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-28880
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-2bb7d
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-2d642
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-8996c
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-a5607
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-aa427
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagsoup-prof-0.14.8-d08e8
本虛擬套件由這些套件填實: libghc-tagsoup-prof
libghc-tagstream-conduit-dev (0.5.6-1+b4 [s390x], 0.5.6-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.5.6-1+b1 [mips64el])
streamlined HTML tag parser
libghc-tagstream-conduit-dev-0.5.6-1339e
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-25cd0
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-3e844
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-58ab2
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-5fd06
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-68a98
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-c8b09
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-ed467
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-dev-0.5.6-f74bb
本虛擬套件由這些套件填實: libghc-tagstream-conduit-dev
libghc-tagstream-conduit-doc (0.5.6-1)
streamlined HTML tag parser; documentation
libghc-tagstream-conduit-prof (0.5.6-1+b4 [s390x], 0.5.6-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.5.6-1+b1 [mips64el])
streamlined HTML tag parser; profiling libraries
libghc-tagstream-conduit-prof-0.5.6-1339e
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-25cd0
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-3e844
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-58ab2
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-5fd06
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-68a98
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-c8b09
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-ed467
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tagstream-conduit-prof-0.5.6-f74bb
本虛擬套件由這些套件填實: libghc-tagstream-conduit-prof
libghc-tar-conduit-dev (0.3.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.3.2-2+b1 [mips64el, mipsel])
Conduit based tar extraction mechanism
libghc-tar-conduit-dev-0.3.2-0afcf
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-13e18
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-178ce
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-1f5bf
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-3d3a5
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-78402
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-847a3
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-a0379
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-dev-0.3.2-edbd3
本虛擬套件由這些套件填實: libghc-tar-conduit-dev
libghc-tar-conduit-doc (0.3.2-2)
Conduit based tar extraction mechanism; documentation
libghc-tar-conduit-prof (0.3.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 0.3.2-2+b1 [mips64el, mipsel])
Conduit based tar extraction mechanism; profiling libraries
libghc-tar-conduit-prof-0.3.2-0afcf
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-13e18
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-178ce
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-1f5bf
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-3d3a5
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-78402
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-847a3
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-a0379
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-conduit-prof-0.3.2-edbd3
本虛擬套件由這些套件填實: libghc-tar-conduit-prof
libghc-tar-dev (0.5.1.1-2+b2 [s390x], 0.5.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
reading, writing and manipulating ".tar" archive files
libghc-tar-dev-0.5.1.1-00a7b
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-16e8d
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-21d25
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-24c48
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-622fa
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-98a7e
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-b6ff6
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-dev-0.5.1.1-d53fa
本虛擬套件由這些套件填實: libghc-tar-dev
libghc-tar-doc (0.5.1.1-2)
reading, writing and manipulating ".tar" archive files; documentation
libghc-tar-prof (0.5.1.1-2+b2 [s390x], 0.5.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
reading, writing and manipulating ".tar" archive files; profiling libraries
libghc-tar-prof-0.5.1.1-00a7b
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-16e8d
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-21d25
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-24c48
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-622fa
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-98a7e
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-b6ff6
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tar-prof-0.5.1.1-d53fa
本虛擬套件由這些套件填實: libghc-tar-prof
libghc-tasty-dev (1.2.3-1+b2 [s390x], 1.2.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell testing framework
libghc-tasty-dev-1.2.3-27501
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-2f018
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-34755
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-764ab
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-957cb
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-9a0e5
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-a2ba2
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-dev-1.2.3-de3fa
本虛擬套件由這些套件填實: libghc-tasty-dev
libghc-tasty-discover-dev (4.2.1-2+b3 [s390x], 4.2.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.2.1-2+b1 [mips64el, mipsel])
Test discovery for the tasty framework.
libghc-tasty-discover-dev-4.2.1-03958
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-30b79
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-8f94e
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-94c5b
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-9dd87
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-a1faa
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-a69e0
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-dev-4.2.1-bdd30
本虛擬套件由這些套件填實: libghc-tasty-discover-dev
libghc-tasty-discover-doc (4.2.1-2)
Test discovery for the tasty framework.; documentation
libghc-tasty-discover-prof (4.2.1-2+b3 [s390x], 4.2.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.2.1-2+b1 [mips64el, mipsel])
Test discovery for the tasty framework.; profiling libraries
libghc-tasty-discover-prof-4.2.1-03958
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-30b79
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-8f94e
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-94c5b
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-9dd87
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-a1faa
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-a69e0
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-discover-prof-4.2.1-bdd30
本虛擬套件由這些套件填實: libghc-tasty-discover-prof
libghc-tasty-doc (1.2.3-1)
Haskell testing framework; documentation
libghc-tasty-expected-failure-dev (0.11.1.2-1+b2 [s390x], 0.11.1.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mark tasty tests as failure-expected
libghc-tasty-expected-failure-dev-0.11.1.2-0692e
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-1f4dd
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-86229
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-88e75
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-890b0
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-9a9f2
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-be6dd
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-dev-0.11.1.2-dcd4a
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-dev
libghc-tasty-expected-failure-doc (0.11.1.2-1)
mark tasty tests as failure-expected; documentation
libghc-tasty-expected-failure-prof (0.11.1.2-1+b2 [s390x], 0.11.1.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
mark tasty tests as failure-expected; profiling libraries
libghc-tasty-expected-failure-prof-0.11.1.2-0692e
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-1f4dd
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-86229
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-88e75
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-890b0
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-9a9f2
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-be6dd
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-expected-failure-prof-0.11.1.2-dcd4a
本虛擬套件由這些套件填實: libghc-tasty-expected-failure-prof
libghc-tasty-golden-dev (2.3.3.2-1+b2 [s390x], 2.3.3.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Golden test support for Tasty test framework
libghc-tasty-golden-dev-2.3.3.2-00182
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-12619
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-29d1a
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-2b381
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-836f3
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-876df
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-c83eb
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-dev-2.3.3.2-f11af
本虛擬套件由這些套件填實: libghc-tasty-golden-dev
libghc-tasty-golden-doc (2.3.3.2-1)
Golden test support for Tasty test framework; documentation
libghc-tasty-golden-prof (2.3.3.2-1+b2 [s390x], 2.3.3.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Golden test support for Tasty test framework; profiling libraries
libghc-tasty-golden-prof-2.3.3.2-00182
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-12619
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-29d1a
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-2b381
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-836f3
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-876df
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-c83eb
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-golden-prof-2.3.3.2-f11af
本虛擬套件由這些套件填實: libghc-tasty-golden-prof
libghc-tasty-hedgehog-dev (1.0.0.2-2)
hedgehog-tasty integration
libghc-tasty-hedgehog-dev-1.0.0.2-0872f
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-093e0
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-2087d
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-2abab
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-4035b
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-7c3be
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-8ca79
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-dev-1.0.0.2-c15b5
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-dev
libghc-tasty-hedgehog-doc (1.0.0.2-2)
hedgehog-tasty integration; documentation
libghc-tasty-hedgehog-prof (1.0.0.2-2)
hedgehog-tasty integration; profiling libraries
libghc-tasty-hedgehog-prof-1.0.0.2-0872f
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-093e0
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-2087d
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-2abab
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-4035b
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-7c3be
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-8ca79
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hedgehog-prof-1.0.0.2-c15b5
本虛擬套件由這些套件填實: libghc-tasty-hedgehog-prof
libghc-tasty-hspec-dev (1.1.5.1-3+b3 [s390x], 1.1.5.1-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.1.5.1-3+b1 [mips64el, mipsel])
Tasty test framework, Hspec support
libghc-tasty-hspec-dev-1.1.5.1-00900
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-10caf
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-31f5a
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-3b240
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-49d0a
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-51ebd
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-c4dc5
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-dev-1.1.5.1-f33e0
本虛擬套件由這些套件填實: libghc-tasty-hspec-dev
libghc-tasty-hspec-doc (1.1.5.1-3)
Tasty test framework, Hspec support; documentation
libghc-tasty-hspec-prof (1.1.5.1-3+b3 [s390x], 1.1.5.1-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.1.5.1-3+b1 [mips64el, mipsel])
Tasty test framework, Hspec support; profiling libraries
libghc-tasty-hspec-prof-1.1.5.1-00900
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-10caf
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-31f5a
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-3b240
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-49d0a
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-51ebd
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-c4dc5
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hspec-prof-1.1.5.1-f33e0
本虛擬套件由這些套件填實: libghc-tasty-hspec-prof
libghc-tasty-hunit-dev (0.10.0.2-1+b2 [s390x], 0.10.0.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell testing framework, HUnit support
libghc-tasty-hunit-dev-0.10.0.2-14245
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-1694f
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-28cd2
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-8b381
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-94fef
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-b0806
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-efcc7
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-dev-0.10.0.2-f2e4d
本虛擬套件由這些套件填實: libghc-tasty-hunit-dev
libghc-tasty-hunit-doc (0.10.0.2-1)
Haskell testing framework, HUnit support; documentation
libghc-tasty-hunit-prof (0.10.0.2-1+b2 [s390x], 0.10.0.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell testing framework, HUnit support; profiling libraries
libghc-tasty-hunit-prof-0.10.0.2-14245
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-1694f
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-28cd2
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-8b381
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-94fef
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-b0806
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-efcc7
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-hunit-prof-0.10.0.2-f2e4d
本虛擬套件由這些套件填實: libghc-tasty-hunit-prof
libghc-tasty-kat-dev (0.0.3-10+b2 [s390x], 0.0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Known Answer Tests (KAT) framework for tasty
libghc-tasty-kat-dev-0.0.3-13d2b
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-37fcd
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-39ef7
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-6c739
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-8f0b5
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-b3c37
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-fb3a6
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-dev-0.0.3-ff8c4
本虛擬套件由這些套件填實: libghc-tasty-kat-dev
libghc-tasty-kat-doc (0.0.3-10)
Known Answer Tests (KAT) framework for tasty; documentation
libghc-tasty-kat-prof (0.0.3-10+b2 [s390x], 0.0.3-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Known Answer Tests (KAT) framework for tasty; profiling libraries
libghc-tasty-kat-prof-0.0.3-13d2b
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-37fcd
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-39ef7
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-6c739
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-8f0b5
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-b3c37
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-fb3a6
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-kat-prof-0.0.3-ff8c4
本虛擬套件由這些套件填實: libghc-tasty-kat-prof
libghc-tasty-lua-dev (0.2.2-2+b1)
Write tests in Lua, integrate into tasty
libghc-tasty-lua-dev-0.2.2-01862
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-093e3
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-2575d
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-434e4
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-c9122
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-d74f7
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-e558f
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-dev-0.2.2-f90c9
本虛擬套件由這些套件填實: libghc-tasty-lua-dev
libghc-tasty-lua-doc (0.2.2-2)
Write tests in Lua, integrate into tasty; documentation
libghc-tasty-lua-prof (0.2.2-2+b1)
Write tests in Lua, integrate into tasty; profiling libraries
libghc-tasty-lua-prof-0.2.2-01862
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-093e3
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-2575d
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-434e4
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-c9122
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-d74f7
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-e558f
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-lua-prof-0.2.2-f90c9
本虛擬套件由這些套件填實: libghc-tasty-lua-prof
libghc-tasty-prof (1.2.3-1+b2 [s390x], 1.2.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell testing framework; profiling libraries
libghc-tasty-prof-1.2.3-27501
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-2f018
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-34755
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-764ab
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-957cb
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-9a0e5
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-a2ba2
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-prof-1.2.3-de3fa
本虛擬套件由這些套件填實: libghc-tasty-prof
libghc-tasty-quickcheck-dev (0.10.1.1-1+b3 [s390x], 0.10.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.10.1.1-1+b1 [mips64el, mipsel])
Haskell testing framework, QuickCheck support
libghc-tasty-quickcheck-dev-0.10.1.1-22da0
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-295dd
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-47daf
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-656eb
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-7e1c2
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-bb02e
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-db06b
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-dev-0.10.1.1-fe46c
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-dev
libghc-tasty-quickcheck-doc (0.10.1.1-1)
Haskell testing framework, QuickCheck support; documentation
libghc-tasty-quickcheck-prof (0.10.1.1-1+b3 [s390x], 0.10.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.10.1.1-1+b1 [mips64el, mipsel])
Haskell testing framework, QuickCheck support; profiling libraries
libghc-tasty-quickcheck-prof-0.10.1.1-22da0
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-295dd
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-47daf
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-656eb
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-7e1c2
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-bb02e
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-db06b
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-quickcheck-prof-0.10.1.1-fe46c
本虛擬套件由這些套件填實: libghc-tasty-quickcheck-prof
libghc-tasty-rerun-dev (1.1.17-1+b2 [s390x], 1.1.17-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell testing framework, rerun support
libghc-tasty-rerun-dev-1.1.17-03d51
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-17298
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-4cc74
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-6b724
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-6e8d6
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-6ea1f
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-cca7f
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-dev-1.1.17-f367f
本虛擬套件由這些套件填實: libghc-tasty-rerun-dev
libghc-tasty-rerun-doc (1.1.17-1)
Haskell testing framework, rereun support; documentation
libghc-tasty-rerun-prof (1.1.17-1+b2 [s390x], 1.1.17-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell testing framework, rerun support; profiling libraries
libghc-tasty-rerun-prof-1.1.17-03d51
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-17298
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-4cc74
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-6b724
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-6e8d6
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-6ea1f
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-cca7f
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-rerun-prof-1.1.17-f367f
本虛擬套件由這些套件填實: libghc-tasty-rerun-prof
libghc-tasty-smallcheck-dev (0.8.1-5.1+b3 [s390x], 0.8.1-5.1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.8.1-5.1+b1 [mips64el, mipsel])
SmallCheck support for the Tasty test framework
libghc-tasty-smallcheck-dev-0.8.1-04dfd
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-37c5f
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-7da98
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-9a669
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-9ef98
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-b715a
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-d2a28
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-dev-0.8.1-e2406
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-dev
libghc-tasty-smallcheck-doc (0.8.1-5.1)
SmallCheck support for the Tasty test framework; documentation
libghc-tasty-smallcheck-prof (0.8.1-5.1+b3 [s390x], 0.8.1-5.1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.8.1-5.1+b1 [mips64el, mipsel])
SmallCheck support for the Tasty test framework; profiling libraries
libghc-tasty-smallcheck-prof-0.8.1-04dfd
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-37c5f
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-7da98
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-9a669
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-9ef98
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-b715a
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-d2a28
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-smallcheck-prof-0.8.1-e2406
本虛擬套件由這些套件填實: libghc-tasty-smallcheck-prof
libghc-tasty-th-dev (0.1.7-4+b3 [armhf, s390x], 0.1.7-4+b2 [amd64, arm64, armel, i386, ppc64el], 0.1.7-4+b1 [mips64el, mipsel])
TH code generation for Tasty test framework
libghc-tasty-th-dev-0.1.7-0b4a7
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-2fc91
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-5c2b2
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-6569d
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-755dd
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-ae3d8
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-ca628
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-d6475
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-dev-0.1.7-da196
本虛擬套件由這些套件填實: libghc-tasty-th-dev
libghc-tasty-th-doc (0.1.7-4)
TH code generation for Tasty test framework; documentation
libghc-tasty-th-prof (0.1.7-4+b3 [armhf, s390x], 0.1.7-4+b2 [amd64, arm64, armel, i386, ppc64el], 0.1.7-4+b1 [mips64el, mipsel])
TH code generation for Tasty test framework; profiling libraries
libghc-tasty-th-prof-0.1.7-0b4a7
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-2fc91
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-5c2b2
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-6569d
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-755dd
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-ae3d8
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-ca628
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-d6475
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-tasty-th-prof-0.1.7-da196
本虛擬套件由這些套件填實: libghc-tasty-th-prof
libghc-template-dev (0.2.0.10-10+b2 [s390x], 0.2.0.10-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
string substitution library
libghc-template-dev-0.2.0.10-185d2
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-29aac
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-4bdf2
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-4db5e
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-4f446
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-7dde3
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-ae847
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-dev-0.2.0.10-f6da9
本虛擬套件由這些套件填實: libghc-template-dev
libghc-template-doc (0.2.0.10-10)
string substitution library; documentation
libghc-template-haskell-compat-v0208-dev (0.1.2.1-1+b1)
backward-compatibility layer for TH newer than 2.8
libghc-template-haskell-compat-v0208-dev-0.1.2.1-0ba79
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-dev
libghc-template-haskell-compat-v0208-dev-0.1.2.1-71114
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-dev
libghc-template-haskell-compat-v0208-dev-0.1.2.1-7e628
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-dev
libghc-template-haskell-compat-v0208-dev-0.1.2.1-81420
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-dev
libghc-template-haskell-compat-v0208-dev-0.1.2.1-aaa55
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-dev
libghc-template-haskell-compat-v0208-doc (0.1.2.1-1)
backward-compatibility layer for TH newer than 2.8; documentation
libghc-template-haskell-compat-v0208-prof (0.1.2.1-1+b1)
backward-compatibility layer for TH newer than 2.8; profiling libraries
libghc-template-haskell-compat-v0208-prof-0.1.2.1-0ba79
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-prof
libghc-template-haskell-compat-v0208-prof-0.1.2.1-71114
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-prof
libghc-template-haskell-compat-v0208-prof-0.1.2.1-7e628
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-prof
libghc-template-haskell-compat-v0208-prof-0.1.2.1-81420
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-prof
libghc-template-haskell-compat-v0208-prof-0.1.2.1-aaa55
本虛擬套件由這些套件填實: libghc-template-haskell-compat-v0208-prof
libghc-template-haskell-dev (= 2.15.0.0)
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-20dec
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-28dea
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-51655
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-62759
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-80f0d
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-93ed7
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-d6b75
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-dev-2.15.0.0-f9a15
本虛擬套件由這些套件填實: ghc
libghc-template-haskell-doc (= 2.15.0.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-template-haskell-prof (= 2.15.0.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-20dec
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-28dea
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-51655
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-62759
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-80f0d
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-93ed7
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-d6b75
本虛擬套件由這些套件填實: ghc-prof
libghc-template-haskell-prof-2.15.0.0-f9a15
本虛擬套件由這些套件填實: ghc-prof
libghc-template-prof (0.2.0.10-10+b2 [s390x], 0.2.0.10-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
string substitution library; profiling libraries
libghc-template-prof-0.2.0.10-185d2
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-29aac
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-4bdf2
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-4db5e
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-4f446
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-7dde3
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-ae847
本虛擬套件由這些套件填實: libghc-template-prof
libghc-template-prof-0.2.0.10-f6da9
本虛擬套件由這些套件填實: libghc-template-prof
libghc-temporary-dev (1.3-2+b2 [s390x], 1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Temporary files and directories
libghc-temporary-dev-1.3-51e7f
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-5c7c7
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-91f49
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-ab9b9
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-ae326
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-c8721
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-d53ce
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-dev-1.3-fa6b3
本虛擬套件由這些套件填實: libghc-temporary-dev
libghc-temporary-doc (1.3-2)
Temporary files and directories; documentation
libghc-temporary-prof (1.3-2+b2 [s390x], 1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Temporary files and directories; profiling libraries
libghc-temporary-prof-1.3-51e7f
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-5c7c7
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-91f49
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-ab9b9
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-ae326
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-c8721
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-d53ce
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-temporary-prof-1.3-fa6b3
本虛擬套件由這些套件填實: libghc-temporary-prof
libghc-terminal-progress-bar-dev (0.4.1-3+b2 [s390x], 0.4.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A simple progress bar in the terminal
libghc-terminal-progress-bar-dev-0.4.1-04afb
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-32a1e
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-36aa9
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-51f46
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-5e869
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-6b71c
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-b87ca
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-dev-0.4.1-b8a9b
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-dev
libghc-terminal-progress-bar-doc (0.4.1-3)
A simple progress bar in the terminal; documentation
libghc-terminal-progress-bar-prof (0.4.1-3+b2 [s390x], 0.4.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A simple progress bar in the terminal; profiling libraries
libghc-terminal-progress-bar-prof-0.4.1-04afb
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-32a1e
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-36aa9
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-51f46
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-5e869
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-6b71c
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-b87ca
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-progress-bar-prof-0.4.1-b8a9b
本虛擬套件由這些套件填實: libghc-terminal-progress-bar-prof
libghc-terminal-size-dev (0.3.2.1-7+b2 [s390x], 0.3.2.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
get terminal window height and width
libghc-terminal-size-dev-0.3.2.1-16b2f
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-dev-0.3.2.1-1c281
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-dev-0.3.2.1-6450d
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-dev-0.3.2.1-76d54
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-dev-0.3.2.1-79411
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-dev-0.3.2.1-95a8a
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-dev-0.3.2.1-e9da9
本虛擬套件由這些套件填實: libghc-terminal-size-dev
libghc-terminal-size-doc (0.3.2.1-7)
get terminal window height and width; documentation
libghc-terminal-size-prof (0.3.2.1-7+b2 [s390x], 0.3.2.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
get terminal window height and width; profiling libraries
libghc-terminal-size-prof-0.3.2.1-16b2f
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminal-size-prof-0.3.2.1-1c281
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminal-size-prof-0.3.2.1-6450d
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminal-size-prof-0.3.2.1-76d54
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminal-size-prof-0.3.2.1-79411
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminal-size-prof-0.3.2.1-95a8a
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminal-size-prof-0.3.2.1-e9da9
本虛擬套件由這些套件填實: libghc-terminal-size-prof
libghc-terminfo-dev (= 0.4.1.4)
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-14ab9
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-576b2
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-76f55
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-8ec06
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-ab91a
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-ebf59
本虛擬套件由這些套件填實: ghc
libghc-terminfo-dev-0.4.1.4-fc5c0
本虛擬套件由這些套件填實: ghc
libghc-terminfo-doc (= 0.4.1.4)
本虛擬套件由這些套件填實: ghc-doc
libghc-terminfo-prof (= 0.4.1.4)
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-14ab9
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-576b2
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-76f55
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-8ec06
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-ab91a
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-ebf59
本虛擬套件由這些套件填實: ghc-prof
libghc-terminfo-prof-0.4.1.4-fc5c0
本虛擬套件由這些套件填實: ghc-prof
libghc-termonad-dev (4.0.0.1-1)
terminal emulator configurable in Haskell
libghc-termonad-dev-4.0.0.1-03ad8
本虛擬套件由這些套件填實: libghc-termonad-dev
libghc-termonad-dev-4.0.0.1-96ad9
本虛擬套件由這些套件填實: libghc-termonad-dev
libghc-termonad-doc (4.0.0.1-1)
terminal emulator configurable in Haskell; documentation
libghc-termonad-prof (4.0.0.1-1)
terminal emulator configurable in Haskell; profiling libraries
libghc-termonad-prof-4.0.0.1-03ad8
本虛擬套件由這些套件填實: libghc-termonad-prof
libghc-termonad-prof-4.0.0.1-96ad9
本虛擬套件由這些套件填實: libghc-termonad-prof
libghc-test-framework-dev (0.8.2.0-4+b2 [s390x], 0.8.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Framework for running and organising tests
libghc-test-framework-dev-0.8.2.0-013cf
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-02f45
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-0520c
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-202bf
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-2c14b
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-2cba2
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-aaf1c
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-dev-0.8.2.0-b87ff
本虛擬套件由這些套件填實: libghc-test-framework-dev
libghc-test-framework-doc (0.8.2.0-4)
Framework for running and organising tests; documentation
libghc-test-framework-hunit-dev (0.3.0.2-7+b2 [s390x], 0.3.0.2-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HUnit support for the test-framework package.
libghc-test-framework-hunit-dev-0.3.0.2-2c4e4
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-39d00
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-51dcd
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-73b44
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-8e99d
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-9611a
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-9c169
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-dev-0.3.0.2-e6083
本虛擬套件由這些套件填實: libghc-test-framework-hunit-dev
libghc-test-framework-hunit-doc (0.3.0.2-7)
HUnit support for the test-framework package.; documentation
libghc-test-framework-hunit-prof (0.3.0.2-7+b2 [s390x], 0.3.0.2-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
HUnit support for the test-framework package.; profiling libraries
libghc-test-framework-hunit-prof-0.3.0.2-2c4e4
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-39d00
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-51dcd
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-73b44
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-8e99d
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-9611a
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-9c169
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-hunit-prof-0.3.0.2-e6083
本虛擬套件由這些套件填實: libghc-test-framework-hunit-prof
libghc-test-framework-prof (0.8.2.0-4+b2 [s390x], 0.8.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Framework for running and organising tests; profiling libraries
libghc-test-framework-prof-0.8.2.0-013cf
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-02f45
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-0520c
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-202bf
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-2c14b
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-2cba2
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-aaf1c
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-prof-0.8.2.0-b87ff
本虛擬套件由這些套件填實: libghc-test-framework-prof
libghc-test-framework-quickcheck2-dev (0.3.0.5-3+b3 [s390x], 0.3.0.5-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.0.5-3+b1 [mips64el, mipsel])
QuickCheck2 support for the test-framework package.
libghc-test-framework-quickcheck2-dev-0.3.0.5-53838
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-5cfa7
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-7b202
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-97f67
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-b4b57
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-d4fa5
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-da20d
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-dev-0.3.0.5-ef407
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-dev
libghc-test-framework-quickcheck2-doc (0.3.0.5-3)
QuickCheck2 support for the test-framework package.; documentation
libghc-test-framework-quickcheck2-prof (0.3.0.5-3+b3 [s390x], 0.3.0.5-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.0.5-3+b1 [mips64el, mipsel])
QuickCheck2 support for the test-framework package.; profiling libraries
libghc-test-framework-quickcheck2-prof-0.3.0.5-53838
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-5cfa7
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-7b202
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-97f67
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-b4b57
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-d4fa5
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-da20d
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-quickcheck2-prof-0.3.0.5-ef407
本虛擬套件由這些套件填實: libghc-test-framework-quickcheck2-prof
libghc-test-framework-th-dev (0.2.4-12+b3 [armhf, s390x], 0.2.4-12+b2 [amd64, arm64, armel, i386, ppc64el], 0.2.4-12+b1 [mips64el, mipsel])
Automagically generate the {HUnit,Quickcheck}-bulk-code
libghc-test-framework-th-dev-0.2.4-38813
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-5314e
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-66cc2
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-c4187
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-c58ad
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-d0c5e
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-d70dd
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-deb63
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-dev-0.2.4-ef485
本虛擬套件由這些套件填實: libghc-test-framework-th-dev
libghc-test-framework-th-doc (0.2.4-12)
Automagically generate the {HUnit,Quickcheck}-bulk-code; documentation
libghc-test-framework-th-prime-dev (0.0.10-4+b3 [armhf, s390x], 0.0.10-4+b2 [amd64, arm64, armel, i386, ppc64el], 0.0.10-4+b1 [mips64el, mipsel])
Template Haskell for test framework
libghc-test-framework-th-prime-dev-0.0.10-72d19
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-7517f
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-7dceb
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-9c804
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-9d97b
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-afbd3
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-bb560
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-dfc47
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-dev-0.0.10-fd8f4
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-dev
libghc-test-framework-th-prime-doc (0.0.10-4)
Template Haskell for test framework; documentation
libghc-test-framework-th-prime-prof (0.0.10-4+b3 [armhf, s390x], 0.0.10-4+b2 [amd64, arm64, armel, i386, ppc64el], 0.0.10-4+b1 [mips64el, mipsel])
Template Haskell for test framework; profiling libraries
libghc-test-framework-th-prime-prof-0.0.10-72d19
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-7517f
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-7dceb
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-9c804
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-9d97b
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-afbd3
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-bb560
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-dfc47
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prime-prof-0.0.10-fd8f4
本虛擬套件由這些套件填實: libghc-test-framework-th-prime-prof
libghc-test-framework-th-prof (0.2.4-12+b3 [armhf, s390x], 0.2.4-12+b2 [amd64, arm64, armel, i386, ppc64el], 0.2.4-12+b1 [mips64el, mipsel])
Automagically generate the {HUnit,Quickcheck}-bulk-code; profiling libraries
libghc-test-framework-th-prof-0.2.4-38813
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-5314e
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-66cc2
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-c4187
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-c58ad
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-d0c5e
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-d70dd
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-deb63
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-test-framework-th-prof-0.2.4-ef485
本虛擬套件由這些套件填實: libghc-test-framework-th-prof
libghc-texmath-dev (0.12.0.2-1+b3 [amd64, arm64, ppc64el, s390x], 0.12.0.2-1+b2 [armel, armhf, i386, mips64el, mipsel])
Haskell library to convert LaTeX to MathML
libghc-texmath-dev-0.12.0.2-0b1bb
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-426c8
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-5cd12
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-7e4f3
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-958e9
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-d9388
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-e7842
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-dev-0.12.0.2-f141b
本虛擬套件由這些套件填實: libghc-texmath-dev
libghc-texmath-doc (0.12.0.2-1)
Haskell library to convert LaTeX to MathML; documentation
libghc-texmath-prof (0.12.0.2-1+b3 [amd64, arm64, ppc64el, s390x], 0.12.0.2-1+b2 [armel, armhf, i386, mips64el, mipsel])
Haskell library to convert LaTeX to MathML; profiling libraries
libghc-texmath-prof-0.12.0.2-0b1bb
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-426c8
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-5cd12
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-7e4f3
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-958e9
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-d9388
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-e7842
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-texmath-prof-0.12.0.2-f141b
本虛擬套件由這些套件填實: libghc-texmath-prof
libghc-text-binary-dev (0.2.1.1-7+b2 [s390x], 0.2.1.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Binary instances for text types
libghc-text-binary-dev-0.2.1.1-1a509
本虛擬套件由這些套件填實: libghc-text-binary-dev
libghc-text-binary-dev-0.2.1.1-29994
本虛擬套件由這些套件填實: libghc-text-binary-dev
libghc-text-binary-dev-0.2.1.1-9b4a1
本虛擬套件由這些套件填實: libghc-text-binary-dev
libghc-text-binary-dev-0.2.1.1-dd0e2
本虛擬套件由這些套件填實: libghc-text-binary-dev
libghc-text-binary-doc (0.2.1.1-7)
Binary instances for text types; documentation
libghc-text-binary-prof (0.2.1.1-7+b2 [s390x], 0.2.1.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Binary instances for text types; profiling libraries
libghc-text-binary-prof-0.2.1.1-1a509
本虛擬套件由這些套件填實: libghc-text-binary-prof
libghc-text-binary-prof-0.2.1.1-29994
本虛擬套件由這些套件填實: libghc-text-binary-prof
libghc-text-binary-prof-0.2.1.1-9b4a1
本虛擬套件由這些套件填實: libghc-text-binary-prof
libghc-text-binary-prof-0.2.1.1-dd0e2
本虛擬套件由這些套件填實: libghc-text-binary-prof
libghc-text-conversions-dev (0.3.0-3+b3 [s390x], 0.3.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.0-3+b1 [mips64el, mipsel])
Haskell library for safe conversions between textual types
libghc-text-conversions-dev-0.3.0-1a64a
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-4cb57
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-4eb57
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-5d0fc
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-968ca
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-97c5d
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-c316d
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-cad9d
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-dev-0.3.0-f137c
本虛擬套件由這些套件填實: libghc-text-conversions-dev
libghc-text-conversions-doc (0.3.0-3)
Haskell library for safe conversions between textual types; documentation
libghc-text-conversions-prof (0.3.0-3+b3 [s390x], 0.3.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.0-3+b1 [mips64el, mipsel])
Haskell library for safe conversions between textual types; profiling libraries
libghc-text-conversions-prof-0.3.0-1a64a
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-4cb57
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-4eb57
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-5d0fc
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-968ca
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-97c5d
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-c316d
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-cad9d
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-conversions-prof-0.3.0-f137c
本虛擬套件由這些套件填實: libghc-text-conversions-prof
libghc-text-dev (= 1.2.4.0)
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-30221
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-4e6fc
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-5f754
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-9798c
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-9eccd
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-a7a6e
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-bf8fc
本虛擬套件由這些套件填實: ghc
libghc-text-dev-1.2.4.0-c7435
本虛擬套件由這些套件填實: ghc
libghc-text-doc (= 1.2.4.0)
本虛擬套件由這些套件填實: ghc-doc
libghc-text-format-dev (0.3.2-4+b2 [s390x], 0.3.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
text formatting
libghc-text-format-dev-0.3.2-0b452
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-39084
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-51c9e
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-68ea2
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-b6d68
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-c0cd6
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-c62c1
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-dev-0.3.2-def17
本虛擬套件由這些套件填實: libghc-text-format-dev
libghc-text-format-doc (0.3.2-4)
text formatting; documentation
libghc-text-format-prof (0.3.2-4+b2 [s390x], 0.3.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
text formatting; profiling libraries
libghc-text-format-prof-0.3.2-0b452
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-39084
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-51c9e
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-68ea2
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-b6d68
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-c0cd6
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-c62c1
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-format-prof-0.3.2-def17
本虛擬套件由這些套件填實: libghc-text-format-prof
libghc-text-icu-dev (0.7.0.1-14)
bindings to the ICU library
libghc-text-icu-dev-0.7.0.1-28c08
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-706e7
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-ab893
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-be5f7
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-cdc9a
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-d0fb6
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-e4df7
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-dev-0.7.0.1-f5c94
本虛擬套件由這些套件填實: libghc-text-icu-dev
libghc-text-icu-doc (0.7.0.1-14)
bindings to the ICU library; documentation
libghc-text-icu-prof (0.7.0.1-14)
bindings to the ICU library; profiling libraries
libghc-text-icu-prof-0.7.0.1-28c08
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-706e7
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-ab893
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-be5f7
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-cdc9a
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-d0fb6
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-e4df7
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-icu-prof-0.7.0.1-f5c94
本虛擬套件由這些套件填實: libghc-text-icu-prof
libghc-text-manipulate-dev (0.2.0.1-2+b2 [s390x], 0.2.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
case conversion and word boundary manipulation
libghc-text-manipulate-dev-0.2.0.1-15748
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-1f714
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-2941c
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-370f4
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-4dd93
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-79c1f
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-ed061
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-dev-0.2.0.1-eea0e
本虛擬套件由這些套件填實: libghc-text-manipulate-dev
libghc-text-manipulate-doc (0.2.0.1-2)
case conversion and word boundary manipulation; documentation
libghc-text-manipulate-prof (0.2.0.1-2+b2 [s390x], 0.2.0.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
case conversion and word boundary manipulation; profiling libraries
libghc-text-manipulate-prof-0.2.0.1-15748
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-1f714
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-2941c
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-370f4
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-4dd93
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-79c1f
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-ed061
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-manipulate-prof-0.2.0.1-eea0e
本虛擬套件由這些套件填實: libghc-text-manipulate-prof
libghc-text-metrics-dev (0.3.0-6+b2 [s390x], 0.3.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Calculate various string metrics efficiently
libghc-text-metrics-dev-0.3.0-05bf0
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-1e8f1
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-38974
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-6ebe1
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-7bb2d
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-d3d5b
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-e7449
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-dev-0.3.0-eb757
本虛擬套件由這些套件填實: libghc-text-metrics-dev
libghc-text-metrics-doc (0.3.0-6)
Calculate various string metrics efficiently; documentation
libghc-text-metrics-prof (0.3.0-6+b2 [s390x], 0.3.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Calculate various string metrics efficiently; profiling libraries
libghc-text-metrics-prof-0.3.0-05bf0
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-1e8f1
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-38974
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-6ebe1
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-7bb2d
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-d3d5b
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-e7449
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-metrics-prof-0.3.0-eb757
本虛擬套件由這些套件填實: libghc-text-metrics-prof
libghc-text-postgresql-dev (0.0.3.1-3+b2 [s390x], 0.0.3.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser and Printer of PostgreSQL extended types
libghc-text-postgresql-dev-0.0.3.1-14b1f
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-2b659
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-92280
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-9b579
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-b8672
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-bd4ab
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-de078
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-dev-0.0.3.1-fc17c
本虛擬套件由這些套件填實: libghc-text-postgresql-dev
libghc-text-postgresql-doc (0.0.3.1-3)
Parser and Printer of PostgreSQL extended types; documentation
libghc-text-postgresql-prof (0.0.3.1-3+b2 [s390x], 0.0.3.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Parser and Printer of PostgreSQL extended types; profiling libraries
libghc-text-postgresql-prof-0.0.3.1-14b1f
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-2b659
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-92280
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-9b579
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-b8672
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-bd4ab
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-de078
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-postgresql-prof-0.0.3.1-fc17c
本虛擬套件由這些套件填實: libghc-text-postgresql-prof
libghc-text-prof (= 1.2.4.0)
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-30221
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-4e6fc
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-5f754
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-9798c
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-9eccd
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-a7a6e
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-bf8fc
本虛擬套件由這些套件填實: ghc-prof
libghc-text-prof-1.2.4.0-c7435
本虛擬套件由這些套件填實: ghc-prof
libghc-text-short-dev (0.1.3-2+b2 [s390x], 0.1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
memory-efficient representation of Unicode text strings
libghc-text-short-dev-0.1.3-06929
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-0cc92
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-0e458
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-2ae65
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-66162
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-ef035
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-f3a59
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-dev-0.1.3-fcadd
本虛擬套件由這些套件填實: libghc-text-short-dev
libghc-text-short-doc (0.1.3-2)
memory-efficient representation of Unicode text strings; documentation
libghc-text-short-prof (0.1.3-2+b2 [s390x], 0.1.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
memory-efficient representation of Unicode text strings; profiling libraries
libghc-text-short-prof-0.1.3-06929
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-0cc92
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-0e458
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-2ae65
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-66162
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-ef035
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-f3a59
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-short-prof-0.1.3-fcadd
本虛擬套件由這些套件填實: libghc-text-short-prof
libghc-text-show-dev (3.8.5-1+b2 [s390x], 3.8.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient conversion of values into Text
libghc-text-show-dev-3.8.5-01a79
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-53f50
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-86def
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-9e03f
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-c7f36
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-cc622
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-dad87
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-dev-3.8.5-ef71b
本虛擬套件由這些套件填實: libghc-text-show-dev
libghc-text-show-doc (3.8.5-1)
efficient conversion of values into Text; documentation
libghc-text-show-prof (3.8.5-1+b2 [s390x], 3.8.5-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient conversion of values into Text; profiling libraries
libghc-text-show-prof-3.8.5-01a79
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-53f50
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-86def
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-9e03f
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-c7f36
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-cc622
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-dad87
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-show-prof-3.8.5-ef71b
本虛擬套件由這些套件填實: libghc-text-show-prof
libghc-text-zipper-dev (0.10.1-5+b2 [s390x], 0.10.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
text editor zipper library
libghc-text-zipper-dev-0.10.1-2444e
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-3157d
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-8d788
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-ae366
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-b2048
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-d26f7
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-e279a
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-dev-0.10.1-f47da
本虛擬套件由這些套件填實: libghc-text-zipper-dev
libghc-text-zipper-doc (0.10.1-5)
text editor zipper library; documentation
libghc-text-zipper-prof (0.10.1-5+b2 [s390x], 0.10.1-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
text editor zipper library; profiling libraries
libghc-text-zipper-prof-0.10.1-2444e
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-3157d
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-8d788
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-ae366
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-b2048
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-d26f7
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-e279a
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-text-zipper-prof-0.10.1-f47da
本虛擬套件由這些套件填實: libghc-text-zipper-prof
libghc-tf-random-dev (0.5-10+b2 [s390x], 0.5-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
high-quality splittable pseudorandom number generator
libghc-tf-random-dev-0.5-082a5
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-23079
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-4ccb7
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-79910
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-7b4a1
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-d867f
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-f6aad
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-dev-0.5-fc68a
本虛擬套件由這些套件填實: libghc-tf-random-dev
libghc-tf-random-doc (0.5-10)
high-quality splittable pseudorandom number generator; documentation
libghc-tf-random-prof (0.5-10+b2 [s390x], 0.5-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
high-quality splittable pseudorandom number generator; profiling libraries
libghc-tf-random-prof-0.5-082a5
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-23079
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-4ccb7
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-79910
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-7b4a1
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-d867f
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-f6aad
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-tf-random-prof-0.5-fc68a
本虛擬套件由這些套件填實: libghc-tf-random-prof
libghc-th-abstraction-dev (0.3.2.0-1+b2 [s390x], 0.3.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
nicer interface for reified information about data types
libghc-th-abstraction-dev-0.3.2.0-044e6
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-1216b
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-2516b
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-32465
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-35835
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-9b72a
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-a4597
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-dev-0.3.2.0-c9a34
本虛擬套件由這些套件填實: libghc-th-abstraction-dev
libghc-th-abstraction-doc (0.3.2.0-1)
nicer interface for reified information about data types; documentation
libghc-th-abstraction-prof (0.3.2.0-1+b2 [s390x], 0.3.2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
nicer interface for reified information about data types; profiling libraries
libghc-th-abstraction-prof-0.3.2.0-044e6
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-1216b
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-2516b
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-32465
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-35835
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-9b72a
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-a4597
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-abstraction-prof-0.3.2.0-c9a34
本虛擬套件由這些套件填實: libghc-th-abstraction-prof
libghc-th-bang-compat-dev (0.0.1.0-2)
Compatibility for bang-type template
libghc-th-bang-compat-dev-0.0.1.0-6f5e4
本虛擬套件由這些套件填實: libghc-th-bang-compat-dev
libghc-th-bang-compat-dev-0.0.1.0-7b668
本虛擬套件由這些套件填實: libghc-th-bang-compat-dev
libghc-th-bang-compat-dev-0.0.1.0-aa5eb
本虛擬套件由這些套件填實: libghc-th-bang-compat-dev
libghc-th-bang-compat-dev-0.0.1.0-ef1ae
本虛擬套件由這些套件填實: libghc-th-bang-compat-dev
libghc-th-bang-compat-doc (0.0.1.0-2)
Compatibility for bang-type template; documentation
libghc-th-bang-compat-prof (0.0.1.0-2)
Compatibility for bang-type template; profiling libraries
libghc-th-bang-compat-prof-0.0.1.0-6f5e4
本虛擬套件由這些套件填實: libghc-th-bang-compat-prof
libghc-th-bang-compat-prof-0.0.1.0-7b668
本虛擬套件由這些套件填實: libghc-th-bang-compat-prof
libghc-th-bang-compat-prof-0.0.1.0-aa5eb
本虛擬套件由這些套件填實: libghc-th-bang-compat-prof
libghc-th-bang-compat-prof-0.0.1.0-ef1ae
本虛擬套件由這些套件填實: libghc-th-bang-compat-prof
libghc-th-constraint-compat-dev (0.0.1.0-2)
Compatibility for type constraint template
libghc-th-constraint-compat-dev-0.0.1.0-2e3b0
本虛擬套件由這些套件填實: libghc-th-constraint-compat-dev
libghc-th-constraint-compat-dev-0.0.1.0-6ed1f
本虛擬套件由這些套件填實: libghc-th-constraint-compat-dev
libghc-th-constraint-compat-dev-0.0.1.0-75ef7
本虛擬套件由這些套件填實: libghc-th-constraint-compat-dev
libghc-th-constraint-compat-dev-0.0.1.0-f850f
本虛擬套件由這些套件填實: libghc-th-constraint-compat-dev
libghc-th-constraint-compat-doc (0.0.1.0-2)
Compatibility for type constraint template; documentation
libghc-th-constraint-compat-prof (0.0.1.0-2)
Compatibility for type constraint template; profiling libraries
libghc-th-constraint-compat-prof-0.0.1.0-2e3b0
本虛擬套件由這些套件填實: libghc-th-constraint-compat-prof
libghc-th-constraint-compat-prof-0.0.1.0-6ed1f
本虛擬套件由這些套件填實: libghc-th-constraint-compat-prof
libghc-th-constraint-compat-prof-0.0.1.0-75ef7
本虛擬套件由這些套件填實: libghc-th-constraint-compat-prof
libghc-th-constraint-compat-prof-0.0.1.0-f850f
本虛擬套件由這些套件填實: libghc-th-constraint-compat-prof
libghc-th-data-compat-dev (0.1.0.0-1+b2 [s390x], 0.1.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility for data definition template of TH
libghc-th-data-compat-dev-0.1.0.0-32dfc
本虛擬套件由這些套件填實: libghc-th-data-compat-dev
libghc-th-data-compat-dev-0.1.0.0-b5d14
本虛擬套件由這些套件填實: libghc-th-data-compat-dev
libghc-th-data-compat-dev-0.1.0.0-e516d
本虛擬套件由這些套件填實: libghc-th-data-compat-dev
libghc-th-data-compat-dev-0.1.0.0-f45f5
本虛擬套件由這些套件填實: libghc-th-data-compat-dev
libghc-th-data-compat-doc (0.1.0.0-1)
compatibility for data definition template of TH; documentation
libghc-th-data-compat-prof (0.1.0.0-1+b2 [s390x], 0.1.0.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility for data definition template of TH; profiling libraries
libghc-th-data-compat-prof-0.1.0.0-32dfc
本虛擬套件由這些套件填實: libghc-th-data-compat-prof
libghc-th-data-compat-prof-0.1.0.0-b5d14
本虛擬套件由這些套件填實: libghc-th-data-compat-prof
libghc-th-data-compat-prof-0.1.0.0-e516d
本虛擬套件由這些套件填實: libghc-th-data-compat-prof
libghc-th-data-compat-prof-0.1.0.0-f45f5
本虛擬套件由這些套件填實: libghc-th-data-compat-prof
libghc-th-desugar-dev (1.10-1+b3 [s390x], 1.10-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.10-1+b1 [mips64el, mipsel])
functions to desugar Template Haskell
libghc-th-desugar-dev-1.10-1d7f8
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-b0fd9
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-bb6c2
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-bf7fe
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-c980c
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-ca6f7
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-e1997
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-dev-1.10-f6d62
本虛擬套件由這些套件填實: libghc-th-desugar-dev
libghc-th-desugar-doc (1.10-1)
functions to desugar Template Haskell; documentation
libghc-th-desugar-prof (1.10-1+b3 [s390x], 1.10-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.10-1+b1 [mips64el, mipsel])
functions to desugar Template Haskell; profiling libraries
libghc-th-desugar-prof-1.10-1d7f8
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-b0fd9
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-bb6c2
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-bf7fe
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-c980c
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-ca6f7
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-e1997
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-desugar-prof-1.10-f6d62
本虛擬套件由這些套件填實: libghc-th-desugar-prof
libghc-th-expand-syns-dev (0.4.6.0-1+b2 [s390x], 0.4.6.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
expands type synonyms in Template Haskell ASTs
libghc-th-expand-syns-dev-0.4.6.0-145ad
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-14da5
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-1eede
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-7ca15
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-7cdb4
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-81c3f
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-b3b2c
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-dev-0.4.6.0-e56fe
本虛擬套件由這些套件填實: libghc-th-expand-syns-dev
libghc-th-expand-syns-doc (0.4.6.0-1)
expands type synonyms in Template Haskell ASTs; documentation
libghc-th-expand-syns-prof (0.4.6.0-1+b2 [s390x], 0.4.6.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
expands type synonyms in Template Haskell ASTs; profiling libraries
libghc-th-expand-syns-prof-0.4.6.0-145ad
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-14da5
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-1eede
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-7ca15
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-7cdb4
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-81c3f
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-b3b2c
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-expand-syns-prof-0.4.6.0-e56fe
本虛擬套件由這些套件填實: libghc-th-expand-syns-prof
libghc-th-extras-dev (0.0.0.4-6+b2 [s390x], 0.0.0.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
grab bag of functions for use with Template Haskell
libghc-th-extras-dev-0.0.0.4-21c61
本虛擬套件由這些套件填實: libghc-th-extras-dev
libghc-th-extras-dev-0.0.0.4-3db57
本虛擬套件由這些套件填實: libghc-th-extras-dev
libghc-th-extras-dev-0.0.0.4-6370f
本虛擬套件由這些套件填實: libghc-th-extras-dev
libghc-th-extras-dev-0.0.0.4-b324e
本虛擬套件由這些套件填實: libghc-th-extras-dev
libghc-th-extras-dev-0.0.0.4-bd971
本虛擬套件由這些套件填實: libghc-th-extras-dev
libghc-th-extras-doc (0.0.0.4-6)
grab bag of functions for use with Template Haskell; documentation
libghc-th-extras-prof (0.0.0.4-6+b2 [s390x], 0.0.0.4-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
grab bag of functions for use with Template Haskell; profiling libraries
libghc-th-extras-prof-0.0.0.4-21c61
本虛擬套件由這些套件填實: libghc-th-extras-prof
libghc-th-extras-prof-0.0.0.4-3db57
本虛擬套件由這些套件填實: libghc-th-extras-prof
libghc-th-extras-prof-0.0.0.4-6370f
本虛擬套件由這些套件填實: libghc-th-extras-prof
libghc-th-extras-prof-0.0.0.4-b324e
本虛擬套件由這些套件填實: libghc-th-extras-prof
libghc-th-extras-prof-0.0.0.4-bd971
本虛擬套件由這些套件填實: libghc-th-extras-prof
libghc-th-lift-dev (0.8.1-1+b2 [s390x], 0.8.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
derive Template Haskell's Lift class for datatypes.
libghc-th-lift-dev-0.8.1-50d19
本虛擬套件由這些套件填實: libghc-th-lift-dev
libghc-th-lift-dev-0.8.1-5862a
本虛擬套件由這些套件填實: libghc-th-lift-dev
libghc-th-lift-dev-0.8.1-775e3
本虛擬套件由這些套件填實: libghc-th-lift-dev
libghc-th-lift-dev-0.8.1-b46b3
本虛擬套件由這些套件填實: libghc-th-lift-dev
libghc-th-lift-dev-0.8.1-b4f06
本虛擬套件由這些套件填實: libghc-th-lift-dev
libghc-th-lift-doc (0.8.1-1)
derive Template Haskell's Lift class for datatypes.; documentation
libghc-th-lift-instances-dev (0.1.17-1)
Lift instances for template-haskell for common data types
libghc-th-lift-instances-dev-0.1.17-51cd6
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-6cd28
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-6fac4
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-72368
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-7f8d2
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-bd496
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-bf7c2
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-dev-0.1.17-eb2a4
本虛擬套件由這些套件填實: libghc-th-lift-instances-dev
libghc-th-lift-instances-doc (0.1.17-1)
Lift instances for template-haskell for common data types; documentation
libghc-th-lift-instances-prof (0.1.17-1)
Lift instances for template-haskell for common data types; profiling libraries
libghc-th-lift-instances-prof-0.1.17-51cd6
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-6cd28
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-6fac4
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-72368
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-7f8d2
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-bd496
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-bf7c2
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-instances-prof-0.1.17-eb2a4
本虛擬套件由這些套件填實: libghc-th-lift-instances-prof
libghc-th-lift-prof (0.8.1-1+b2 [s390x], 0.8.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
derive Template Haskell's Lift class for datatypes.; profiling libraries
libghc-th-lift-prof-0.8.1-50d19
本虛擬套件由這些套件填實: libghc-th-lift-prof
libghc-th-lift-prof-0.8.1-5862a
本虛擬套件由這些套件填實: libghc-th-lift-prof
libghc-th-lift-prof-0.8.1-775e3
本虛擬套件由這些套件填實: libghc-th-lift-prof
libghc-th-lift-prof-0.8.1-b46b3
本虛擬套件由這些套件填實: libghc-th-lift-prof
libghc-th-lift-prof-0.8.1-b4f06
本虛擬套件由這些套件填實: libghc-th-lift-prof
libghc-th-orphans-dev (0.13.10-1+b3 [s390x], 0.13.10-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.13.10-1+b1 [mips64el, mipsel])
orphan instances for TH datatypes
libghc-th-orphans-dev-0.13.10-36021
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-a4fe7
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-a7c46
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-b2021
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-c1315
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-c22ad
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-e467a
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-dev-0.13.10-f4118
本虛擬套件由這些套件填實: libghc-th-orphans-dev
libghc-th-orphans-doc (0.13.10-1)
orphan instances for TH datatypes; documentation
libghc-th-orphans-prof (0.13.10-1+b3 [s390x], 0.13.10-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.13.10-1+b1 [mips64el, mipsel])
orphan instances for TH datatypes; profiling libraries
libghc-th-orphans-prof-0.13.10-36021
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-a4fe7
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-a7c46
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-b2021
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-c1315
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-c22ad
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-e467a
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-orphans-prof-0.13.10-f4118
本虛擬套件由這些套件填實: libghc-th-orphans-prof
libghc-th-reify-compat-dev (0.0.1.5-2+b2 [s390x], 0.0.1.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility for the result type of TH reify
libghc-th-reify-compat-dev-0.0.1.5-308c7
本虛擬套件由這些套件填實: libghc-th-reify-compat-dev
libghc-th-reify-compat-dev-0.0.1.5-61a64
本虛擬套件由這些套件填實: libghc-th-reify-compat-dev
libghc-th-reify-compat-dev-0.0.1.5-b2eac
本虛擬套件由這些套件填實: libghc-th-reify-compat-dev
libghc-th-reify-compat-dev-0.0.1.5-cdca6
本虛擬套件由這些套件填實: libghc-th-reify-compat-dev
libghc-th-reify-compat-doc (0.0.1.5-2)
compatibility for the result type of TH reify; documentation
libghc-th-reify-compat-prof (0.0.1.5-2+b2 [s390x], 0.0.1.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility for the result type of TH reify; profiling libraries
libghc-th-reify-compat-prof-0.0.1.5-308c7
本虛擬套件由這些套件填實: libghc-th-reify-compat-prof
libghc-th-reify-compat-prof-0.0.1.5-61a64
本虛擬套件由這些套件填實: libghc-th-reify-compat-prof
libghc-th-reify-compat-prof-0.0.1.5-b2eac
本虛擬套件由這些套件填實: libghc-th-reify-compat-prof
libghc-th-reify-compat-prof-0.0.1.5-cdca6
本虛擬套件由這些套件填實: libghc-th-reify-compat-prof
libghc-th-reify-many-dev (0.1.9-2+b3 [s390x], 0.1.9-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.9-2+b1 [mips64el, mipsel])
recurseively reify template haskell datatype info
libghc-th-reify-many-dev-0.1.9-25040
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-2978d
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-39819
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-3c902
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-3da7a
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-6fdc8
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-ac114
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-dev-0.1.9-debde
本虛擬套件由這些套件填實: libghc-th-reify-many-dev
libghc-th-reify-many-doc (0.1.9-2)
recurseively reify template haskell datatype info; documentation
libghc-th-reify-many-prof (0.1.9-2+b3 [s390x], 0.1.9-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.9-2+b1 [mips64el, mipsel])
recurseively reify template haskell datatype info; profiling libraries
libghc-th-reify-many-prof-0.1.9-25040
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-2978d
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-39819
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-3c902
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-3da7a
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-6fdc8
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-ac114
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-reify-many-prof-0.1.9-debde
本虛擬套件由這些套件填實: libghc-th-reify-many-prof
libghc-th-utilities-dev (0.2.4.0-1+b3 [s390x], 0.2.4.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.4.0-1+b1 [mips64el, mipsel])
useful functions for use with Template Haskell
libghc-th-utilities-dev-0.2.4.0-2aee3
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-42c4e
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-84d10
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-9199e
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-9a2e8
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-aadb7
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-bda80
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-dbc63
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-dev-0.2.4.0-de855
本虛擬套件由這些套件填實: libghc-th-utilities-dev
libghc-th-utilities-doc (0.2.4.0-1)
useful functions for use with Template Haskell; documentation
libghc-th-utilities-prof (0.2.4.0-1+b3 [s390x], 0.2.4.0-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.4.0-1+b1 [mips64el, mipsel])
useful functions for use with Template Haskell; profiling libraries
libghc-th-utilities-prof-0.2.4.0-2aee3
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-42c4e
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-84d10
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-9199e
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-9a2e8
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-aadb7
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-bda80
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-dbc63
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-th-utilities-prof-0.2.4.0-de855
本虛擬套件由這些套件填實: libghc-th-utilities-prof
libghc-these-dev (1.1.1.1-1)
either-or-both data type
libghc-these-dev-1.1.1.1-13c09
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-23fe4
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-66c19
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-6eea2
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-a8784
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-f2201
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-fa0a4
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-dev-1.1.1.1-fede6
本虛擬套件由這些套件填實: libghc-these-dev
libghc-these-doc (1.1.1.1-1)
either-or-both data type; documentation
libghc-these-prof (1.1.1.1-1)
either-or-both data type; profiling libraries
libghc-these-prof-1.1.1.1-13c09
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-23fe4
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-66c19
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-6eea2
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-a8784
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-f2201
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-fa0a4
本虛擬套件由這些套件填實: libghc-these-prof
libghc-these-prof-1.1.1.1-fede6
本虛擬套件由這些套件填實: libghc-these-prof
libghc-threads-dev (0.5.1.6-4+b2 [s390x], 0.5.1.6-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fork threads and wait for their result
libghc-threads-dev-0.5.1.6-24f08
本虛擬套件由這些套件填實: libghc-threads-dev
libghc-threads-dev-0.5.1.6-7d72b
本虛擬套件由這些套件填實: libghc-threads-dev
libghc-threads-dev-0.5.1.6-a1911
本虛擬套件由這些套件填實: libghc-threads-dev
libghc-threads-dev-0.5.1.6-be72f
本虛擬套件由這些套件填實: libghc-threads-dev
libghc-threads-dev-0.5.1.6-f9444
本虛擬套件由這些套件填實: libghc-threads-dev
libghc-threads-doc (0.5.1.6-4)
Fork threads and wait for their result; documentation
libghc-threads-prof (0.5.1.6-4+b2 [s390x], 0.5.1.6-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fork threads and wait for their result; profiling libraries
libghc-threads-prof-0.5.1.6-24f08
本虛擬套件由這些套件填實: libghc-threads-prof
libghc-threads-prof-0.5.1.6-7d72b
本虛擬套件由這些套件填實: libghc-threads-prof
libghc-threads-prof-0.5.1.6-a1911
本虛擬套件由這些套件填實: libghc-threads-prof
libghc-threads-prof-0.5.1.6-be72f
本虛擬套件由這些套件填實: libghc-threads-prof
libghc-threads-prof-0.5.1.6-f9444
本虛擬套件由這些套件填實: libghc-threads-prof
libghc-thyme-dev (0.3.5.5-5+b3 [amd64, arm64, ppc64el, s390x], 0.3.5.5-5+b2 [armel, armhf, i386, mips64el, mipsel])
faster time library
libghc-thyme-dev-0.3.5.5-2233a
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-2407e
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-32d84
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-4737f
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-b1512
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-e259d
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-e9765
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-dev-0.3.5.5-f0a35
本虛擬套件由這些套件填實: libghc-thyme-dev
libghc-thyme-doc (0.3.5.5-5)
faster time library; documentation
libghc-thyme-prof (0.3.5.5-5+b3 [amd64, arm64, ppc64el, s390x], 0.3.5.5-5+b2 [armel, armhf, i386, mips64el, mipsel])
faster time library; profiling libraries
libghc-thyme-prof-0.3.5.5-2233a
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-2407e
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-32d84
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-4737f
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-b1512
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-e259d
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-e9765
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-thyme-prof-0.3.5.5-f0a35
本虛擬套件由這些套件填實: libghc-thyme-prof
libghc-tidal-dev (1.5.2-1)
pattern language for improvised music
libghc-tidal-dev-1.5.2-19b8d
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-81288
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-8ea3d
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-b212a
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-b80ca
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-e827f
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-ec143
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-dev-1.5.2-ed567
本虛擬套件由這些套件填實: libghc-tidal-dev
libghc-tidal-doc (1.5.2-1)
pattern language for improvised music; documentation
libghc-tidal-prof (1.5.2-1)
pattern language for improvised music; profiling libraries
libghc-tidal-prof-1.5.2-19b8d
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-81288
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-8ea3d
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-b212a
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-b80ca
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-e827f
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-ec143
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-tidal-prof-1.5.2-ed567
本虛擬套件由這些套件填實: libghc-tidal-prof
libghc-time-compat-dev (1.9.3-2+b2 [s390x], 1.9.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility with old-time for the time package
libghc-time-compat-dev-1.9.3-383ce
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-68c10
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-7a96f
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-a202b
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-d75a3
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-e4042
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-f3a8c
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-dev-1.9.3-fabc9
本虛擬套件由這些套件填實: libghc-time-compat-dev
libghc-time-compat-doc (1.9.3-2)
Compatibility with old-time for the time package; documentation
libghc-time-compat-prof (1.9.3-2+b2 [s390x], 1.9.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Compatibility with old-time for the time package; profiling libraries
libghc-time-compat-prof-1.9.3-383ce
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-68c10
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-7a96f
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-a202b
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-d75a3
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-e4042
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-f3a8c
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-compat-prof-1.9.3-fabc9
本虛擬套件由這些套件填實: libghc-time-compat-prof
libghc-time-dev (= 1.9.3)
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-1ee94
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-2de75
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-538c4
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-678fe
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-8c784
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-9ed95
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-d12cd
本虛擬套件由這些套件填實: ghc
libghc-time-dev-1.9.3-f5018
本虛擬套件由這些套件填實: ghc
libghc-time-doc (= 1.9.3)
本虛擬套件由這些套件填實: ghc-doc
libghc-time-locale-compat-dev (0.1.1.5-2+b2 [s390x], 0.1.1.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility of TimeLocale between old-locale and time-1.5
libghc-time-locale-compat-dev-0.1.1.5-13f90
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-29540
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-8db02
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-90090
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-b48b1
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-c186a
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-ddecb
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-dev-0.1.1.5-f645f
本虛擬套件由這些套件填實: libghc-time-locale-compat-dev
libghc-time-locale-compat-doc (0.1.1.5-2)
compatibility of TimeLocale between old-locale and time-1.5; documentation
libghc-time-locale-compat-prof (0.1.1.5-2+b2 [s390x], 0.1.1.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
compatibility of TimeLocale between old-locale and time-1.5; profiling libraries
libghc-time-locale-compat-prof-0.1.1.5-13f90
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-29540
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-8db02
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-90090
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-b48b1
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-c186a
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-ddecb
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-locale-compat-prof-0.1.1.5-f645f
本虛擬套件由這些套件填實: libghc-time-locale-compat-prof
libghc-time-manager-dev (0.0.0-3+b2 [s390x], 0.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
scalable timer
libghc-time-manager-dev-0.0.0-0e539
本虛擬套件由這些套件填實: libghc-time-manager-dev
libghc-time-manager-dev-0.0.0-25017
本虛擬套件由這些套件填實: libghc-time-manager-dev
libghc-time-manager-dev-0.0.0-270f0
本虛擬套件由這些套件填實: libghc-time-manager-dev
libghc-time-manager-dev-0.0.0-90a2c
本虛擬套件由這些套件填實: libghc-time-manager-dev
libghc-time-manager-dev-0.0.0-bd06f
本虛擬套件由這些套件填實: libghc-time-manager-dev
libghc-time-manager-doc (0.0.0-3)
scalable timer; documentation
libghc-time-manager-prof (0.0.0-3+b2 [s390x], 0.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
scalable timer; profiling libraries
libghc-time-manager-prof-0.0.0-0e539
本虛擬套件由這些套件填實: libghc-time-manager-prof
libghc-time-manager-prof-0.0.0-25017
本虛擬套件由這些套件填實: libghc-time-manager-prof
libghc-time-manager-prof-0.0.0-270f0
本虛擬套件由這些套件填實: libghc-time-manager-prof
libghc-time-manager-prof-0.0.0-90a2c
本虛擬套件由這些套件填實: libghc-time-manager-prof
libghc-time-manager-prof-0.0.0-bd06f
本虛擬套件由這些套件填實: libghc-time-manager-prof
libghc-time-parsers-dev (0.1.2.1-2+b2 [s390x], 0.1.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsers for types in the time library
libghc-time-parsers-dev-0.1.2.1-19f8a
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-285de
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-6ae90
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-78a37
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-a72c0
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-b04e7
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-c995c
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-dev-0.1.2.1-e5dcc
本虛擬套件由這些套件填實: libghc-time-parsers-dev
libghc-time-parsers-doc (0.1.2.1-2)
parsers for types in the time library; documentation
libghc-time-parsers-prof (0.1.2.1-2+b2 [s390x], 0.1.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parsers for types in the time library; profiling libraries
libghc-time-parsers-prof-0.1.2.1-19f8a
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-285de
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-6ae90
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-78a37
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-a72c0
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-b04e7
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-c995c
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-parsers-prof-0.1.2.1-e5dcc
本虛擬套件由這些套件填實: libghc-time-parsers-prof
libghc-time-prof (= 1.9.3)
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-1ee94
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-2de75
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-538c4
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-678fe
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-8c784
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-9ed95
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-d12cd
本虛擬套件由這些套件填實: ghc-prof
libghc-time-prof-1.9.3-f5018
本虛擬套件由這些套件填實: ghc-prof
libghc-time-units-dev (1.0.0-3+b2 [s390x], 1.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
basic library for defining units of time as types
libghc-time-units-dev-1.0.0-3eef2
本虛擬套件由這些套件填實: libghc-time-units-dev
libghc-time-units-dev-1.0.0-85727
本虛擬套件由這些套件填實: libghc-time-units-dev
libghc-time-units-dev-1.0.0-8ff2f
本虛擬套件由這些套件填實: libghc-time-units-dev
libghc-time-units-dev-1.0.0-9203e
本虛擬套件由這些套件填實: libghc-time-units-dev
libghc-time-units-dev-1.0.0-9f167
本虛擬套件由這些套件填實: libghc-time-units-dev
libghc-time-units-doc (1.0.0-3)
basic library for defining units of time as types; documentation
libghc-time-units-prof (1.0.0-3+b2 [s390x], 1.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
basic library for defining units of time as types; profiling libraries
libghc-time-units-prof-1.0.0-3eef2
本虛擬套件由這些套件填實: libghc-time-units-prof
libghc-time-units-prof-1.0.0-85727
本虛擬套件由這些套件填實: libghc-time-units-prof
libghc-time-units-prof-1.0.0-8ff2f
本虛擬套件由這些套件填實: libghc-time-units-prof
libghc-time-units-prof-1.0.0-9203e
本虛擬套件由這些套件填實: libghc-time-units-prof
libghc-time-units-prof-1.0.0-9f167
本虛擬套件由這些套件填實: libghc-time-units-prof
libghc-timeit-dev (2.0-1+b2 [s390x], 2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
time a computation
libghc-timeit-dev-2.0-39ef1
本虛擬套件由這些套件填實: libghc-timeit-dev
libghc-timeit-dev-2.0-6006d
本虛擬套件由這些套件填實: libghc-timeit-dev
libghc-timeit-dev-2.0-63757
本虛擬套件由這些套件填實: libghc-timeit-dev
libghc-timeit-dev-2.0-8017e
本虛擬套件由這些套件填實: libghc-timeit-dev
libghc-timeit-dev-2.0-9e122
本虛擬套件由這些套件填實: libghc-timeit-dev
libghc-timeit-doc (2.0-1)
time a computation; documentation
libghc-timeit-prof (2.0-1+b2 [s390x], 2.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
time a computation; profiling libraries
libghc-timeit-prof-2.0-39ef1
本虛擬套件由這些套件填實: libghc-timeit-prof
libghc-timeit-prof-2.0-6006d
本虛擬套件由這些套件填實: libghc-timeit-prof
libghc-timeit-prof-2.0-63757
本虛擬套件由這些套件填實: libghc-timeit-prof
libghc-timeit-prof-2.0-8017e
本虛擬套件由這些套件填實: libghc-timeit-prof
libghc-timeit-prof-2.0-9e122
本虛擬套件由這些套件填實: libghc-timeit-prof
libghc-tldr-dev (0.6.4-1+b3 [s390x], 0.6.4-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.6.4-1+b1 [mips64el])
Haskell tldr client
libghc-tldr-dev-0.6.4-029f5
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-515c1
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-74f4c
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-8635a
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-888fe
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-8b96f
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-c0e3c
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-d81da
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-dev-0.6.4-d94b8
本虛擬套件由這些套件填實: libghc-tldr-dev
libghc-tldr-doc (0.6.4-1)
Haskell tldr client; documentation
libghc-tldr-prof (0.6.4-1+b3 [s390x], 0.6.4-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.6.4-1+b1 [mips64el])
Haskell tldr client; profiling libraries
libghc-tldr-prof-0.6.4-029f5
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-515c1
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-74f4c
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-8635a
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-888fe
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-8b96f
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-c0e3c
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-d81da
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tldr-prof-0.6.4-d94b8
本虛擬套件由這些套件填實: libghc-tldr-prof
libghc-tls-dev (1.5.4-1+b2 [s390x], 1.5.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
native Haskell implementation of TLS/SSL protocol
libghc-tls-dev-1.5.4-42b94
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-6cdfa
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-86918
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-8bdbf
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-94269
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-bb2c0
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-c757b
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-d0435
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-dev-1.5.4-e0226
本虛擬套件由這些套件填實: libghc-tls-dev
libghc-tls-doc (1.5.4-1)
native Haskell implementation of TLS/SSL protocol; documentation
libghc-tls-prof (1.5.4-1+b2 [s390x], 1.5.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
native Haskell implementation of TLS/SSL protocol; profiling libraries
libghc-tls-prof-1.5.4-42b94
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-6cdfa
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-86918
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-8bdbf
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-94269
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-bb2c0
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-c757b
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-d0435
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-prof-1.5.4-e0226
本虛擬套件由這些套件填實: libghc-tls-prof
libghc-tls-session-manager-dev (0.0.4-1+b2 [s390x], 0.0.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
in-memory TLS session manager
libghc-tls-session-manager-dev-0.0.4-5a5f6
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-5bf21
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-5df8a
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-6c5ca
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-76bed
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-7b072
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-e9bf0
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-dev-0.0.4-f90d7
本虛擬套件由這些套件填實: libghc-tls-session-manager-dev
libghc-tls-session-manager-doc (0.0.4-1)
in-memory TLS session manager; documentation
libghc-tls-session-manager-prof (0.0.4-1+b2 [s390x], 0.0.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
in-memory TLS session manager; profiling libraries
libghc-tls-session-manager-prof-0.0.4-5a5f6
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-5bf21
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-5df8a
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-6c5ca
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-76bed
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-7b072
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-e9bf0
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-tls-session-manager-prof-0.0.4-f90d7
本虛擬套件由這些套件填實: libghc-tls-session-manager-prof
libghc-token-bucket-dev (0.1.0.1-11+b2 [s390x], 0.1.0.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell implementation of leaky bucket rate limiting
libghc-token-bucket-dev-0.1.0.1-0b5f9
本虛擬套件由這些套件填實: libghc-token-bucket-dev
libghc-token-bucket-dev-0.1.0.1-5ebc8
本虛擬套件由這些套件填實: libghc-token-bucket-dev
libghc-token-bucket-dev-0.1.0.1-6aef9
本虛擬套件由這些套件填實: libghc-token-bucket-dev
libghc-token-bucket-dev-0.1.0.1-ceb9d
本虛擬套件由這些套件填實: libghc-token-bucket-dev
libghc-token-bucket-doc (0.1.0.1-11)
Haskell implementation of leaky bucket rate limiting; documentation
libghc-token-bucket-prof (0.1.0.1-11+b2 [s390x], 0.1.0.1-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell implementation of leaky bucket rate limiting; profiling libraries
libghc-token-bucket-prof-0.1.0.1-0b5f9
本虛擬套件由這些套件填實: libghc-token-bucket-prof
libghc-token-bucket-prof-0.1.0.1-5ebc8
本虛擬套件由這些套件填實: libghc-token-bucket-prof
libghc-token-bucket-prof-0.1.0.1-6aef9
本虛擬套件由這些套件填實: libghc-token-bucket-prof
libghc-token-bucket-prof-0.1.0.1-ceb9d
本虛擬套件由這些套件填實: libghc-token-bucket-prof
libghc-topograph-dev (1.0.0.1-1+b2 [s390x], 1.0.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
directed acyclic graphs.
libghc-topograph-dev-1.0.0.1-00da4
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-0f97e
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-63b8c
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-85ec4
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-92f0e
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-bb459
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-e2d48
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-dev-1.0.0.1-fcb3e
本虛擬套件由這些套件填實: libghc-topograph-dev
libghc-topograph-doc (1.0.0.1-1)
directed acyclic graphs.; documentation
libghc-topograph-prof (1.0.0.1-1+b2 [s390x], 1.0.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
directed acyclic graphs.; profiling libraries
libghc-topograph-prof-1.0.0.1-00da4
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-0f97e
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-63b8c
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-85ec4
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-92f0e
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-bb459
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-e2d48
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-topograph-prof-1.0.0.1-fcb3e
本虛擬套件由這些套件填實: libghc-topograph-prof
libghc-torrent-dev (10000.1.1-4+b2 [s390x], 10000.1.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
BitTorrent file parser and generator
libghc-torrent-dev-10000.1.1-049e2
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-0f12e
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-2dab6
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-38cd1
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-43622
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-861f2
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-a3d1d
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-dev-10000.1.1-bc119
本虛擬套件由這些套件填實: libghc-torrent-dev
libghc-torrent-doc (10000.1.1-4)
BitTorrent file parser and generator; documentation
libghc-torrent-prof (10000.1.1-4+b2 [s390x], 10000.1.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
BitTorrent file parser and generator; profiling libraries
libghc-torrent-prof-10000.1.1-049e2
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-0f12e
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-2dab6
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-38cd1
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-43622
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-861f2
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-a3d1d
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-torrent-prof-10000.1.1-bc119
本虛擬套件由這些套件填實: libghc-torrent-prof
libghc-transformers-base-dev (0.4.5.2-3+b2 [s390x], 0.4.5.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
lift computations from the bottom of a transformer stack
libghc-transformers-base-dev-0.4.5.2-0920c
本虛擬套件由這些套件填實: libghc-transformers-base-dev
libghc-transformers-base-dev-0.4.5.2-0e460
本虛擬套件由這些套件填實: libghc-transformers-base-dev
libghc-transformers-base-dev-0.4.5.2-6527d
本虛擬套件由這些套件填實: libghc-transformers-base-dev
libghc-transformers-base-dev-0.4.5.2-a3b35
本虛擬套件由這些套件填實: libghc-transformers-base-dev
libghc-transformers-base-dev-0.4.5.2-ff438
本虛擬套件由這些套件填實: libghc-transformers-base-dev
libghc-transformers-base-doc (0.4.5.2-3)
lift computations from the bottom of a transformer stack; documentation
libghc-transformers-base-prof (0.4.5.2-3+b2 [s390x], 0.4.5.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
lift computations from the bottom of a transformer stack; profiling libraries
libghc-transformers-base-prof-0.4.5.2-0920c
本虛擬套件由這些套件填實: libghc-transformers-base-prof
libghc-transformers-base-prof-0.4.5.2-0e460
本虛擬套件由這些套件填實: libghc-transformers-base-prof
libghc-transformers-base-prof-0.4.5.2-6527d
本虛擬套件由這些套件填實: libghc-transformers-base-prof
libghc-transformers-base-prof-0.4.5.2-a3b35
本虛擬套件由這些套件填實: libghc-transformers-base-prof
libghc-transformers-base-prof-0.4.5.2-ff438
本虛擬套件由這些套件填實: libghc-transformers-base-prof
libghc-transformers-compat-dev (0.6.5-2+b2 [s390x], 0.6.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
small compatibility shim for older versions of transformers.
libghc-transformers-compat-dev-0.6.5-1284f
本虛擬套件由這些套件填實: libghc-transformers-compat-dev
libghc-transformers-compat-dev-0.6.5-2b2cc
本虛擬套件由這些套件填實: libghc-transformers-compat-dev
libghc-transformers-compat-dev-0.6.5-36da7
本虛擬套件由這些套件填實: libghc-transformers-compat-dev
libghc-transformers-compat-dev-0.6.5-54570
本虛擬套件由這些套件填實: libghc-transformers-compat-dev
libghc-transformers-compat-dev-0.6.5-863d3
本虛擬套件由這些套件填實: libghc-transformers-compat-dev
libghc-transformers-compat-doc (0.6.5-2)
small compatibility shim for older versions of transformers.; documentation
libghc-transformers-compat-prof (0.6.5-2+b2 [s390x], 0.6.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
small compatibility shim for older versions of transformers.; profiling libraries
libghc-transformers-compat-prof-0.6.5-1284f
本虛擬套件由這些套件填實: libghc-transformers-compat-prof
libghc-transformers-compat-prof-0.6.5-2b2cc
本虛擬套件由這些套件填實: libghc-transformers-compat-prof
libghc-transformers-compat-prof-0.6.5-36da7
本虛擬套件由這些套件填實: libghc-transformers-compat-prof
libghc-transformers-compat-prof-0.6.5-54570
本虛擬套件由這些套件填實: libghc-transformers-compat-prof
libghc-transformers-compat-prof-0.6.5-863d3
本虛擬套件由這些套件填實: libghc-transformers-compat-prof
libghc-transformers-dev (= 0.5.6.2)
本虛擬套件由這些套件填實: ghc
libghc-transformers-dev-0.5.6.2-00bc3
本虛擬套件由這些套件填實: ghc
libghc-transformers-dev-0.5.6.2-38196
本虛擬套件由這些套件填實: ghc
libghc-transformers-dev-0.5.6.2-59c2c
本虛擬套件由這些套件填實: ghc
libghc-transformers-dev-0.5.6.2-65980
本虛擬套件由這些套件填實: ghc
libghc-transformers-dev-0.5.6.2-8bd9f
本虛擬套件由這些套件填實: ghc
libghc-transformers-doc (= 0.5.6.2)
本虛擬套件由這些套件填實: ghc-doc
libghc-transformers-prof (= 0.5.6.2)
本虛擬套件由這些套件填實: ghc-prof
libghc-transformers-prof-0.5.6.2-00bc3
本虛擬套件由這些套件填實: ghc-prof
libghc-transformers-prof-0.5.6.2-38196
本虛擬套件由這些套件填實: ghc-prof
libghc-transformers-prof-0.5.6.2-59c2c
本虛擬套件由這些套件填實: ghc-prof
libghc-transformers-prof-0.5.6.2-65980
本虛擬套件由這些套件填實: ghc-prof
libghc-transformers-prof-0.5.6.2-8bd9f
本虛擬套件由這些套件填實: ghc-prof
libghc-tree-monad-dev (0.3.1-1)
Non-Determinism Monad for Tree Search
libghc-tree-monad-dev-0.3.1-352aa
本虛擬套件由這些套件填實: libghc-tree-monad-dev
libghc-tree-monad-dev-0.3.1-92de8
本虛擬套件由這些套件填實: libghc-tree-monad-dev
libghc-tree-monad-dev-0.3.1-a8849
本虛擬套件由這些套件填實: libghc-tree-monad-dev
libghc-tree-monad-dev-0.3.1-c0ef4
本虛擬套件由這些套件填實: libghc-tree-monad-dev
libghc-tree-monad-doc (0.3.1-1)
Non-Determinism Monad for Tree Search; documentation
libghc-tree-monad-prof (0.3.1-1)
Non-Determinism Monad for Tree Search; profiling libraries
libghc-tree-monad-prof-0.3.1-352aa
本虛擬套件由這些套件填實: libghc-tree-monad-prof
libghc-tree-monad-prof-0.3.1-92de8
本虛擬套件由這些套件填實: libghc-tree-monad-prof
libghc-tree-monad-prof-0.3.1-a8849
本虛擬套件由這些套件填實: libghc-tree-monad-prof
libghc-tree-monad-prof-0.3.1-c0ef4
本虛擬套件由這些套件填實: libghc-tree-monad-prof
libghc-trifecta-dev (2.1-1+b3 [s390x], 2.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.1-1+b1 [mips64el, mipsel])
modern parser combinator library with convenient diagnostics
libghc-trifecta-dev-2.1-06556
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-25ab9
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-33f2b
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-641ba
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-7f697
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-8dbc7
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-a3ff0
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-c1776
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-dev-2.1-f8411
本虛擬套件由這些套件填實: libghc-trifecta-dev
libghc-trifecta-doc (2.1-1)
modern parser combinator library with convenient diagnostics; documentation
libghc-trifecta-prof (2.1-1+b3 [s390x], 2.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 2.1-1+b1 [mips64el, mipsel])
modern parser combinator library with convenient diagnostics; profiling libraries
libghc-trifecta-prof-2.1-06556
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-25ab9
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-33f2b
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-641ba
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-7f697
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-8dbc7
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-a3ff0
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-c1776
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-trifecta-prof-2.1-f8411
本虛擬套件由這些套件填實: libghc-trifecta-prof
libghc-tuple-dev (0.3.0.2-3+b2 [s390x], 0.3.0.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
various functions on tuples
libghc-tuple-dev-0.3.0.2-0b37f
本虛擬套件由這些套件填實: libghc-tuple-dev
libghc-tuple-dev-0.3.0.2-58951
本虛擬套件由這些套件填實: libghc-tuple-dev
libghc-tuple-dev-0.3.0.2-ca6cc
本虛擬套件由這些套件填實: libghc-tuple-dev
libghc-tuple-dev-0.3.0.2-dc52c
本虛擬套件由這些套件填實: libghc-tuple-dev
libghc-tuple-dev-0.3.0.2-e280b
本虛擬套件由這些套件填實: libghc-tuple-dev
libghc-tuple-doc (0.3.0.2-3)
various functions on tuples; documentation
libghc-tuple-prof (0.3.0.2-3+b2 [s390x], 0.3.0.2-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
various functions on tuples; profiling libraries
libghc-tuple-prof-0.3.0.2-0b37f
本虛擬套件由這些套件填實: libghc-tuple-prof
libghc-tuple-prof-0.3.0.2-58951
本虛擬套件由這些套件填實: libghc-tuple-prof
libghc-tuple-prof-0.3.0.2-ca6cc
本虛擬套件由這些套件填實: libghc-tuple-prof
libghc-tuple-prof-0.3.0.2-dc52c
本虛擬套件由這些套件填實: libghc-tuple-prof
libghc-tuple-prof-0.3.0.2-e280b
本虛擬套件由這些套件填實: libghc-tuple-prof
libghc-twitter-conduit-dev (0.5.0-1+b5 [mipsel], 0.5.0-1+b4 [arm64, ppc64el], 0.5.0-1+b3 [mips64el, s390x], 0.5.0-1+b2 [armel], 0.5.0-1+b1 [amd64, armhf, i386])
Twitter API package with conduits and streaming
libghc-twitter-conduit-dev-0.5.0-15fdc
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-5598e
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-899c5
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-98fc6
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-bc0a1
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-c85ad
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-d7b35
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-f7ce6
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-dev-0.5.0-fe6b1
本虛擬套件由這些套件填實: libghc-twitter-conduit-dev
libghc-twitter-conduit-doc (0.5.0-1)
Twitter API package with conduits and streaming; documentation
libghc-twitter-conduit-prof (0.5.0-1+b5 [mipsel], 0.5.0-1+b4 [arm64, ppc64el], 0.5.0-1+b3 [mips64el, s390x], 0.5.0-1+b2 [armel], 0.5.0-1+b1 [amd64, armhf, i386])
Twitter API package with conduits and streaming; profiling libraries
libghc-twitter-conduit-prof-0.5.0-15fdc
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-5598e
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-899c5
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-98fc6
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-bc0a1
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-c85ad
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-d7b35
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-f7ce6
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-conduit-prof-0.5.0-fe6b1
本虛擬套件由這些套件填實: libghc-twitter-conduit-prof
libghc-twitter-types-dev (0.10.1-2+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.10.1-2+b1 [armel, armhf, i386])
Twitter JSON parser and types
libghc-twitter-types-dev-0.10.1-14b36
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-2f186
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-3fa51
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-6b544
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-93e14
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-aa6ec
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-d8482
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-dev-0.10.1-fb4f5
本虛擬套件由這些套件填實: libghc-twitter-types-dev
libghc-twitter-types-doc (0.10.1-2)
Twitter JSON parser and types; documentation
libghc-twitter-types-lens-dev (0.10.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.10.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
Twitter JSON types (lens powered)
libghc-twitter-types-lens-dev-0.10.0-164f5
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-1705e
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-3cdc2
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-7fccf
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-9eb1c
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-b7432
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-ecdb9
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-f1dd9
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-dev-0.10.0-f993d
本虛擬套件由這些套件填實: libghc-twitter-types-lens-dev
libghc-twitter-types-lens-doc (0.10.0-1)
Twitter JSON types (lens powered); documentation
libghc-twitter-types-lens-prof (0.10.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.10.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
Twitter JSON types (lens powered); profiling libraries
libghc-twitter-types-lens-prof-0.10.0-164f5
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-1705e
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-3cdc2
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-7fccf
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-9eb1c
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-b7432
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-ecdb9
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-f1dd9
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-lens-prof-0.10.0-f993d
本虛擬套件由這些套件填實: libghc-twitter-types-lens-prof
libghc-twitter-types-prof (0.10.1-2+b2 [amd64, arm64, mips64el, mipsel, ppc64el, s390x], 0.10.1-2+b1 [armel, armhf, i386])
Twitter JSON parser and types; profiling libraries
libghc-twitter-types-prof-0.10.1-14b36
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-2f186
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-3fa51
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-6b544
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-93e14
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-aa6ec
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-d8482
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-twitter-types-prof-0.10.1-fb4f5
本虛擬套件由這些套件填實: libghc-twitter-types-prof
libghc-type-equality-dev (1-2+b2 [s390x], 1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Data.Type.Equality compat package
libghc-type-equality-dev-1-589d1
本虛擬套件由這些套件填實: libghc-type-equality-dev
libghc-type-equality-dev-1-90605
本虛擬套件由這些套件填實: libghc-type-equality-dev
libghc-type-equality-dev-1-a1785
本虛擬套件由這些套件填實: libghc-type-equality-dev
libghc-type-equality-dev-1-f506e
本虛擬套件由這些套件填實: libghc-type-equality-dev
libghc-type-equality-doc (1-2)
Data.Type.Equality compat package; documentation
libghc-type-equality-prof (1-2+b2 [s390x], 1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Data.Type.Equality compat package; profiling libraries
libghc-type-equality-prof-1-589d1
本虛擬套件由這些套件填實: libghc-type-equality-prof
libghc-type-equality-prof-1-90605
本虛擬套件由這些套件填實: libghc-type-equality-prof
libghc-type-equality-prof-1-a1785
本虛擬套件由這些套件填實: libghc-type-equality-prof
libghc-type-equality-prof-1-f506e
本虛擬套件由這些套件填實: libghc-type-equality-prof
libghc-type-errors-dev (0.2.0.0-3+b3 [s390x], 0.2.0.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.0.0-3+b1 [mips64el, mipsel])
tools for writing better Haskell type errors
libghc-type-errors-dev-0.2.0.0-317f1
本虛擬套件由這些套件填實: libghc-type-errors-dev
libghc-type-errors-dev-0.2.0.0-3ae9e
本虛擬套件由這些套件填實: libghc-type-errors-dev
libghc-type-errors-dev-0.2.0.0-790aa
本虛擬套件由這些套件填實: libghc-type-errors-dev
libghc-type-errors-dev-0.2.0.0-d306a
本虛擬套件由這些套件填實: libghc-type-errors-dev
libghc-type-errors-dev-0.2.0.0-d493e
本虛擬套件由這些套件填實: libghc-type-errors-dev
libghc-type-errors-doc (0.2.0.0-3)
tools for writing better Haskell type errors; documentation
libghc-type-errors-prof (0.2.0.0-3+b3 [s390x], 0.2.0.0-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.0.0-3+b1 [mips64el, mipsel])
tools for writing better Haskell type errors; profiling libraries
libghc-type-errors-prof-0.2.0.0-317f1
本虛擬套件由這些套件填實: libghc-type-errors-prof
libghc-type-errors-prof-0.2.0.0-3ae9e
本虛擬套件由這些套件填實: libghc-type-errors-prof
libghc-type-errors-prof-0.2.0.0-790aa
本虛擬套件由這些套件填實: libghc-type-errors-prof
libghc-type-errors-prof-0.2.0.0-d306a
本虛擬套件由這些套件填實: libghc-type-errors-prof
libghc-type-errors-prof-0.2.0.0-d493e
本虛擬套件由這些套件填實: libghc-type-errors-prof
libghc-type-level-numbers-dev (0.1.1.1-6+b2 [s390x], 0.1.1.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library representing integers using Haskell type families
libghc-type-level-numbers-dev-0.1.1.1-59c3c
本虛擬套件由這些套件填實: libghc-type-level-numbers-dev
libghc-type-level-numbers-dev-0.1.1.1-76f14
本虛擬套件由這些套件填實: libghc-type-level-numbers-dev
libghc-type-level-numbers-dev-0.1.1.1-8f02d
本虛擬套件由這些套件填實: libghc-type-level-numbers-dev
libghc-type-level-numbers-dev-0.1.1.1-e3c64
本虛擬套件由這些套件填實: libghc-type-level-numbers-dev
libghc-type-level-numbers-dev-0.1.1.1-f75ca
本虛擬套件由這些套件填實: libghc-type-level-numbers-dev
libghc-type-level-numbers-doc (0.1.1.1-6)
library representing integers using Haskell type families; documentation
libghc-type-level-numbers-prof (0.1.1.1-6+b2 [s390x], 0.1.1.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library representing integers using Haskell type families; profiling libraries
libghc-type-level-numbers-prof-0.1.1.1-59c3c
本虛擬套件由這些套件填實: libghc-type-level-numbers-prof
libghc-type-level-numbers-prof-0.1.1.1-76f14
本虛擬套件由這些套件填實: libghc-type-level-numbers-prof
libghc-type-level-numbers-prof-0.1.1.1-8f02d
本虛擬套件由這些套件填實: libghc-type-level-numbers-prof
libghc-type-level-numbers-prof-0.1.1.1-e3c64
本虛擬套件由這些套件填實: libghc-type-level-numbers-prof
libghc-type-level-numbers-prof-0.1.1.1-f75ca
本虛擬套件由這些套件填實: libghc-type-level-numbers-prof
libghc-typed-process-dev (0.2.6.0-1+b2 [s390x], 0.2.6.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
run external processes, with strong typing of streams
libghc-typed-process-dev-0.2.6.0-02753
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-0ec10
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-0f258
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-6a423
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-6b3d4
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-8072d
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-bbe17
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-cbb9c
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-dev-0.2.6.0-f9999
本虛擬套件由這些套件填實: libghc-typed-process-dev
libghc-typed-process-doc (0.2.6.0-1)
run external processes, with strong typing of streams; documentation
libghc-typed-process-prof (0.2.6.0-1+b2 [s390x], 0.2.6.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
run external processes, with strong typing of streams; profiling libraries
libghc-typed-process-prof-0.2.6.0-02753
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-0ec10
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-0f258
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-6a423
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-6b3d4
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-8072d
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-bbe17
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-cbb9c
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-typed-process-prof-0.2.6.0-f9999
本虛擬套件由這些套件填實: libghc-typed-process-prof
libghc-uglymemo-dev (0.1.0.1-6+b2 [s390x], 0.1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple (but internally ugly) memoization function
libghc-uglymemo-dev-0.1.0.1-289f6
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-354c1
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-3874e
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-5a53d
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-7ff76
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-8df28
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-9948c
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-dev-0.1.0.1-ae6ee
本虛擬套件由這些套件填實: libghc-uglymemo-dev
libghc-uglymemo-doc (0.1.0.1-6)
simple (but internally ugly) memoization function; documentation
libghc-uglymemo-prof (0.1.0.1-6+b2 [s390x], 0.1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
simple (but internally ugly) memoization function; profiling libraries
libghc-uglymemo-prof-0.1.0.1-289f6
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-354c1
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-3874e
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-5a53d
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-7ff76
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-8df28
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-9948c
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-uglymemo-prof-0.1.0.1-ae6ee
本虛擬套件由這些套件填實: libghc-uglymemo-prof
libghc-unbounded-delays-dev (0.1.1.0-4+b2 [s390x], 0.1.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unbounded thread delays and timeouts
libghc-unbounded-delays-dev-0.1.1.0-2f9d1
本虛擬套件由這些套件填實: libghc-unbounded-delays-dev
libghc-unbounded-delays-dev-0.1.1.0-54ac2
本虛擬套件由這些套件填實: libghc-unbounded-delays-dev
libghc-unbounded-delays-dev-0.1.1.0-57b88
本虛擬套件由這些套件填實: libghc-unbounded-delays-dev
libghc-unbounded-delays-dev-0.1.1.0-b3cd8
本虛擬套件由這些套件填實: libghc-unbounded-delays-dev
libghc-unbounded-delays-doc (0.1.1.0-4)
Unbounded thread delays and timeouts; documentation
libghc-unbounded-delays-prof (0.1.1.0-4+b2 [s390x], 0.1.1.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unbounded thread delays and timeouts; profiling libraries
libghc-unbounded-delays-prof-0.1.1.0-2f9d1
本虛擬套件由這些套件填實: libghc-unbounded-delays-prof
libghc-unbounded-delays-prof-0.1.1.0-54ac2
本虛擬套件由這些套件填實: libghc-unbounded-delays-prof
libghc-unbounded-delays-prof-0.1.1.0-57b88
本虛擬套件由這些套件填實: libghc-unbounded-delays-prof
libghc-unbounded-delays-prof-0.1.1.0-b3cd8
本虛擬套件由這些套件填實: libghc-unbounded-delays-prof
libghc-unexceptionalio-dev (0.5.1-1+b2 [s390x], 0.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
IO without any non-error, synchronous exceptions
libghc-unexceptionalio-dev-0.5.1-00cf1
本虛擬套件由這些套件填實: libghc-unexceptionalio-dev
libghc-unexceptionalio-dev-0.5.1-095a5
本虛擬套件由這些套件填實: libghc-unexceptionalio-dev
libghc-unexceptionalio-dev-0.5.1-2a0fa
本虛擬套件由這些套件填實: libghc-unexceptionalio-dev
libghc-unexceptionalio-dev-0.5.1-481f9
本虛擬套件由這些套件填實: libghc-unexceptionalio-dev
libghc-unexceptionalio-dev-0.5.1-df92e
本虛擬套件由這些套件填實: libghc-unexceptionalio-dev
libghc-unexceptionalio-doc (0.5.1-1)
IO without any non-error, synchronous exceptions; documentation
libghc-unexceptionalio-prof (0.5.1-1+b2 [s390x], 0.5.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
IO without any non-error, synchronous exceptions; profiling libraries
libghc-unexceptionalio-prof-0.5.1-00cf1
本虛擬套件由這些套件填實: libghc-unexceptionalio-prof
libghc-unexceptionalio-prof-0.5.1-095a5
本虛擬套件由這些套件填實: libghc-unexceptionalio-prof
libghc-unexceptionalio-prof-0.5.1-2a0fa
本虛擬套件由這些套件填實: libghc-unexceptionalio-prof
libghc-unexceptionalio-prof-0.5.1-481f9
本虛擬套件由這些套件填實: libghc-unexceptionalio-prof
libghc-unexceptionalio-prof-0.5.1-df92e
本虛擬套件由這些套件填實: libghc-unexceptionalio-prof
libghc-unicode-transforms-dev (0.3.6-2+b2 [s390x], 0.3.6-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast Unicode 9.0 normalization in Haskell
libghc-unicode-transforms-dev-0.3.6-000cf
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-10045
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-1aaad
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-2bf34
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-870fc
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-8d08b
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-bc9f3
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-dev-0.3.6-ee232
本虛擬套件由這些套件填實: libghc-unicode-transforms-dev
libghc-unicode-transforms-doc (0.3.6-2)
Fast Unicode 9.0 normalization in Haskell; documentation
libghc-unicode-transforms-prof (0.3.6-2+b2 [s390x], 0.3.6-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Fast Unicode 9.0 normalization in Haskell; profiling libraries
libghc-unicode-transforms-prof-0.3.6-000cf
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-10045
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-1aaad
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-2bf34
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-870fc
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-8d08b
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-bc9f3
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-unicode-transforms-prof-0.3.6-ee232
本虛擬套件由這些套件填實: libghc-unicode-transforms-prof
libghc-uniplate-dev (1.6.12-9+b2 [s390x], 1.6.12-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A Haskell library for uniform type generic traversals
libghc-uniplate-dev-1.6.12-243ff
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-6f027
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-78cc5
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-81440
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-84cb3
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-bbd20
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-d8484
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-dev-1.6.12-d9c29
本虛擬套件由這些套件填實: libghc-uniplate-dev
libghc-uniplate-doc (1.6.12-9)
Documentation for uniform type generic traversals; documentation
libghc-uniplate-prof (1.6.12-9+b2 [s390x], 1.6.12-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling libraries for uniform type generic traversals; profiling libraries
libghc-uniplate-prof-1.6.12-243ff
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-6f027
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-78cc5
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-81440
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-84cb3
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-bbd20
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-d8484
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-uniplate-prof-1.6.12-d9c29
本虛擬套件由這些套件填實: libghc-uniplate-prof
libghc-universe-base-dev (1.1.1-2+b2 [s390x], 1.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a class for finite and recursively enumerable type
libghc-universe-base-dev-1.1.1-29d15
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-2b0d3
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-75e6e
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-848ae
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-d7785
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-e2d0d
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-f0fcf
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-dev-1.1.1-ffda5
本虛擬套件由這些套件填實: libghc-universe-base-dev
libghc-universe-base-doc (1.1.1-2)
a class for finite and recursively enumerable type; documentation
libghc-universe-base-prof (1.1.1-2+b2 [s390x], 1.1.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
a class for finite and recursively enumerable type; profiling libraries
libghc-universe-base-prof-1.1.1-29d15
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-2b0d3
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-75e6e
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-848ae
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-d7785
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-e2d0d
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-f0fcf
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-universe-base-prof-1.1.1-ffda5
本虛擬套件由這些套件填實: libghc-universe-base-prof
libghc-unix-compat-dev (0.5.2-2+b2 [s390x], 0.5.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell portable POSIX-compatible layer
libghc-unix-compat-dev-0.5.2-0cbb9
本虛擬套件由這些套件填實: libghc-unix-compat-dev
libghc-unix-compat-dev-0.5.2-0d6ff
本虛擬套件由這些套件填實: libghc-unix-compat-dev
libghc-unix-compat-dev-0.5.2-616fe
本虛擬套件由這些套件填實: libghc-unix-compat-dev
libghc-unix-compat-dev-0.5.2-6e021
本虛擬套件由這些套件填實: libghc-unix-compat-dev
libghc-unix-compat-dev-0.5.2-709e4
本虛擬套件由這些套件填實: libghc-unix-compat-dev
libghc-unix-compat-doc (0.5.2-2)
Haskell portable POSIX-compatible layer; documentation
libghc-unix-compat-prof (0.5.2-2+b2 [s390x], 0.5.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell portable POSIX-compatible layer; profiling libraries
libghc-unix-compat-prof-0.5.2-0cbb9
本虛擬套件由這些套件填實: libghc-unix-compat-prof
libghc-unix-compat-prof-0.5.2-0d6ff
本虛擬套件由這些套件填實: libghc-unix-compat-prof
libghc-unix-compat-prof-0.5.2-616fe
本虛擬套件由這些套件填實: libghc-unix-compat-prof
libghc-unix-compat-prof-0.5.2-6e021
本虛擬套件由這些套件填實: libghc-unix-compat-prof
libghc-unix-compat-prof-0.5.2-709e4
本虛擬套件由這些套件填實: libghc-unix-compat-prof
libghc-unix-dev (= 2.7.2.2)
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-24aa0
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-2b440
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-53470
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-59f51
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-79969
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-94273
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-96844
本虛擬套件由這些套件填實: ghc
libghc-unix-dev-2.7.2.2-bb33f
本虛擬套件由這些套件填實: ghc
libghc-unix-doc (= 2.7.2.2)
本虛擬套件由這些套件填實: ghc-doc
libghc-unix-prof (= 2.7.2.2)
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-24aa0
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-2b440
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-53470
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-59f51
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-79969
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-94273
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-96844
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-prof-2.7.2.2-bb33f
本虛擬套件由這些套件填實: ghc-prof
libghc-unix-time-dev (0.4.7-2+b2 [s390x], 0.4.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unix time parser/formatter and utilities
libghc-unix-time-dev-0.4.7-187d4
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-50739
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-5418e
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-74a7b
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-9c1ee
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-bc00a
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-c9d32
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-dev-0.4.7-d8c4d
本虛擬套件由這些套件填實: libghc-unix-time-dev
libghc-unix-time-doc (0.4.7-2)
Unix time parser/formatter and utilities; documentation
libghc-unix-time-prof (0.4.7-2+b2 [s390x], 0.4.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unix time parser/formatter and utilities; profiling libraries
libghc-unix-time-prof-0.4.7-187d4
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-50739
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-5418e
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-74a7b
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-9c1ee
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-bc00a
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-c9d32
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unix-time-prof-0.4.7-d8c4d
本虛擬套件由這些套件填實: libghc-unix-time-prof
libghc-unixutils-dev (1.54.1-8+b3 [s390x], 1.54.1-8+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.54.1-8+b1 [mips64el, mipsel])
interface between Haskell and Unix-like operating systems
libghc-unixutils-dev-1.54.1-1a95d
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-4612d
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-6846c
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-8915e
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-cc38c
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-d0ec0
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-ebcc4
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-dev-1.54.1-f4754
本虛擬套件由這些套件填實: libghc-unixutils-dev
libghc-unixutils-doc (1.54.1-8)
interface between Haskell and Unix-like operating systems; documentation
libghc-unixutils-prof (1.54.1-8+b3 [s390x], 1.54.1-8+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.54.1-8+b1 [mips64el, mipsel])
interface between Haskell and Unix-like operating systems; profiling libraries
libghc-unixutils-prof-1.54.1-1a95d
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-4612d
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-6846c
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-8915e
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-cc38c
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-d0ec0
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-ebcc4
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unixutils-prof-1.54.1-f4754
本虛擬套件由這些套件填實: libghc-unixutils-prof
libghc-unlambda-dev (0.1.4.2-6+b2 [s390x], 0.1.4.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unlambda interpreter library
libghc-unlambda-dev-0.1.4.2-0402d
本虛擬套件由這些套件填實: libghc-unlambda-dev
libghc-unlambda-dev-0.1.4.2-1b5d7
本虛擬套件由這些套件填實: libghc-unlambda-dev
libghc-unlambda-dev-0.1.4.2-2bc29
本虛擬套件由這些套件填實: libghc-unlambda-dev
libghc-unlambda-dev-0.1.4.2-af197
本虛擬套件由這些套件填實: libghc-unlambda-dev
libghc-unlambda-doc (0.1.4.2-6)
Unlambda interpreter library; documentation
libghc-unlambda-prof (0.1.4.2-6+b2 [s390x], 0.1.4.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Unlambda interpreter library; profiling libraries
libghc-unlambda-prof-0.1.4.2-0402d
本虛擬套件由這些套件填實: libghc-unlambda-prof
libghc-unlambda-prof-0.1.4.2-1b5d7
本虛擬套件由這些套件填實: libghc-unlambda-prof
libghc-unlambda-prof-0.1.4.2-2bc29
本虛擬套件由這些套件填實: libghc-unlambda-prof
libghc-unlambda-prof-0.1.4.2-af197
本虛擬套件由這些套件填實: libghc-unlambda-prof
libghc-unliftio-core-dev (0.1.2.0-3+b2 [s390x], 0.1.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MonadUnliftIO typeclass for unlifting monads to IO
libghc-unliftio-core-dev-0.1.2.0-0e846
本虛擬套件由這些套件填實: libghc-unliftio-core-dev
libghc-unliftio-core-dev-0.1.2.0-62f56
本虛擬套件由這些套件填實: libghc-unliftio-core-dev
libghc-unliftio-core-dev-0.1.2.0-9b0c1
本虛擬套件由這些套件填實: libghc-unliftio-core-dev
libghc-unliftio-core-dev-0.1.2.0-9e9d6
本虛擬套件由這些套件填實: libghc-unliftio-core-dev
libghc-unliftio-core-dev-0.1.2.0-db39d
本虛擬套件由這些套件填實: libghc-unliftio-core-dev
libghc-unliftio-core-doc (0.1.2.0-3)
MonadUnliftIO typeclass for unlifting monads to IO; documentation
libghc-unliftio-core-prof (0.1.2.0-3+b2 [s390x], 0.1.2.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
MonadUnliftIO typeclass for unlifting monads to IO; profiling libraries
libghc-unliftio-core-prof-0.1.2.0-0e846
本虛擬套件由這些套件填實: libghc-unliftio-core-prof
libghc-unliftio-core-prof-0.1.2.0-62f56
本虛擬套件由這些套件填實: libghc-unliftio-core-prof
libghc-unliftio-core-prof-0.1.2.0-9b0c1
本虛擬套件由這些套件填實: libghc-unliftio-core-prof
libghc-unliftio-core-prof-0.1.2.0-9e9d6
本虛擬套件由這些套件填實: libghc-unliftio-core-prof
libghc-unliftio-core-prof-0.1.2.0-db39d
本虛擬套件由這些套件填實: libghc-unliftio-core-prof
libghc-unliftio-dev (0.2.13-1)
MonadUnliftIO typeclass for unlifting monads to IO
libghc-unliftio-dev-0.2.13-3576b
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-4ab99
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-54bda
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-677a5
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-795d3
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-83ca2
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-89871
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-dev-0.2.13-ab09d
本虛擬套件由這些套件填實: libghc-unliftio-dev
libghc-unliftio-doc (0.2.13-1)
MonadUnliftIO typeclass for unlifting monads to IO; documentation
libghc-unliftio-prof (0.2.13-1)
MonadUnliftIO typeclass for unlifting monads to IO; profiling libraries
libghc-unliftio-prof-0.2.13-3576b
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-4ab99
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-54bda
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-677a5
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-795d3
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-83ca2
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-89871
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unliftio-prof-0.2.13-ab09d
本虛擬套件由這些套件填實: libghc-unliftio-prof
libghc-unordered-containers-dev (0.2.10.0-3+b2 [s390x], 0.2.10.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Efficient hashing-based container types
libghc-unordered-containers-dev-0.2.10.0-01ada
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-211a4
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-2b345
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-3f442
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-455d4
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-71273
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-9171f
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-dev-0.2.10.0-e2b11
本虛擬套件由這些套件填實: libghc-unordered-containers-dev
libghc-unordered-containers-doc (0.2.10.0-3)
Efficient hashing-based container types; documentation
libghc-unordered-containers-prof (0.2.10.0-3+b2 [s390x], 0.2.10.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Efficient hashing-based container types; profiling libraries
libghc-unordered-containers-prof-0.2.10.0-01ada
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-211a4
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-2b345
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-3f442
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-455d4
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-71273
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-9171f
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unordered-containers-prof-0.2.10.0-e2b11
本虛擬套件由這些套件填實: libghc-unordered-containers-prof
libghc-unsafe-dev (0.0-2+b2 [s390x], 0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
unified interface to unsafe functions
libghc-unsafe-dev-0.0-19cf4
本虛擬套件由這些套件填實: libghc-unsafe-dev
libghc-unsafe-dev-0.0-35ac2
本虛擬套件由這些套件填實: libghc-unsafe-dev
libghc-unsafe-dev-0.0-f003d
本虛擬套件由這些套件填實: libghc-unsafe-dev
libghc-unsafe-dev-0.0-f1310
本虛擬套件由這些套件填實: libghc-unsafe-dev
libghc-unsafe-doc (0.0-2)
unified interface to unsafe functions; documentation
libghc-unsafe-prof (0.0-2+b2 [s390x], 0.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
unified interface to unsafe functions; profiling libraries
libghc-unsafe-prof-0.0-19cf4
本虛擬套件由這些套件填實: libghc-unsafe-prof
libghc-unsafe-prof-0.0-35ac2
本虛擬套件由這些套件填實: libghc-unsafe-prof
libghc-unsafe-prof-0.0-f003d
本虛擬套件由這些套件填實: libghc-unsafe-prof
libghc-unsafe-prof-0.0-f1310
本虛擬套件由這些套件填實: libghc-unsafe-prof
libghc-uri-bytestring-aeson-dev (0.1.0.8-1+b1 [arm64], 0.1.0.8-1 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Aeson instances for URI Bytestring
libghc-uri-bytestring-aeson-dev-0.1.0.8-42dba
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-595dd
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-69797
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-94d17
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-a9917
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-ab8a0
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-c1da4
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-dev-0.1.0.8-ec208
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-dev
libghc-uri-bytestring-aeson-doc (0.1.0.8-1)
Aeson instances for URI Bytestring; documentation
libghc-uri-bytestring-aeson-prof (0.1.0.8-1+b1 [arm64], 0.1.0.8-1 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Aeson instances for URI Bytestring; profiling libraries
libghc-uri-bytestring-aeson-prof-0.1.0.8-42dba
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-595dd
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-69797
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-94d17
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-a9917
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-ab8a0
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-c1da4
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-aeson-prof-0.1.0.8-ec208
本虛擬套件由這些套件填實: libghc-uri-bytestring-aeson-prof
libghc-uri-bytestring-dev (0.3.2.2-1+b3 [s390x], 0.3.2.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.2.2-1+b1 [mips64el, mipsel])
Haskell URI parsing as ByteStrings
libghc-uri-bytestring-dev-0.3.2.2-0ba31
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-1bb0c
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-4ea8f
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-5e0fc
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-89a5d
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-a1fdd
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-bb155
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-dev-0.3.2.2-ce014
本虛擬套件由這些套件填實: libghc-uri-bytestring-dev
libghc-uri-bytestring-doc (0.3.2.2-1)
Haskell URI parsing as ByteStrings; documentation
libghc-uri-bytestring-prof (0.3.2.2-1+b3 [s390x], 0.3.2.2-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.3.2.2-1+b1 [mips64el, mipsel])
Haskell URI parsing as ByteStrings; profiling libraries
libghc-uri-bytestring-prof-0.3.2.2-0ba31
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-1bb0c
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-4ea8f
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-5e0fc
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-89a5d
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-a1fdd
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-bb155
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-bytestring-prof-0.3.2.2-ce014
本虛擬套件由這些套件填實: libghc-uri-bytestring-prof
libghc-uri-dev (0.1.6.4-4+b3 [s390x], 0.1.6.4-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.6.4-4+b1 [mips64el, mipsel])
Haskell Text.URI library
libghc-uri-dev-0.1.6.4-182f2
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-1922e
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-39df6
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-3df36
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-78413
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-9b302
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-b909b
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-dev-0.1.6.4-ecbba
本虛擬套件由這些套件填實: libghc-uri-dev
libghc-uri-doc (0.1.6.4-4)
Haskell Text.URI library; documentation
libghc-uri-encode-dev (1.5.0.6-1)
Unicode aware uri-encoding.
libghc-uri-encode-dev-1.5.0.6-2955d
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-35f69
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-434ba
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-4f291
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-75820
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-ab387
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-b0be8
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-dev-1.5.0.6-dc9dc
本虛擬套件由這些套件填實: libghc-uri-encode-dev
libghc-uri-encode-doc (1.5.0.6-1)
Unicode aware uri-encoding.; documentation
libghc-uri-encode-prof (1.5.0.6-1)
Unicode aware uri-encoding.; profiling libraries
libghc-uri-encode-prof-1.5.0.6-2955d
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-35f69
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-434ba
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-4f291
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-75820
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-ab387
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-b0be8
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-encode-prof-1.5.0.6-dc9dc
本虛擬套件由這些套件填實: libghc-uri-encode-prof
libghc-uri-prof (0.1.6.4-4+b3 [s390x], 0.1.6.4-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.6.4-4+b1 [mips64el, mipsel])
Haskell Text.URI library; profiling libraries
libghc-uri-prof-0.1.6.4-182f2
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-1922e
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-39df6
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-3df36
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-78413
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-9b302
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-b909b
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-uri-prof-0.1.6.4-ecbba
本虛擬套件由這些套件填實: libghc-uri-prof
libghc-url-dev (2.1.3-9+b2 [s390x], 2.1.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for working with URLs
libghc-url-dev-2.1.3-a8aa6
本虛擬套件由這些套件填實: libghc-url-dev
libghc-url-dev-2.1.3-ab2b2
本虛擬套件由這些套件填實: libghc-url-dev
libghc-url-dev-2.1.3-eaedc
本虛擬套件由這些套件填實: libghc-url-dev
libghc-url-dev-2.1.3-f33f0
本虛擬套件由這些套件填實: libghc-url-dev
libghc-url-doc (2.1.3-9)
Haskell library for working with URLs; documentation
libghc-url-prof (2.1.3-9+b2 [s390x], 2.1.3-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell library for working with URLs; profiling libraries
libghc-url-prof-2.1.3-a8aa6
本虛擬套件由這些套件填實: libghc-url-prof
libghc-url-prof-2.1.3-ab2b2
本虛擬套件由這些套件填實: libghc-url-prof
libghc-url-prof-2.1.3-eaedc
本虛擬套件由這些套件填實: libghc-url-prof
libghc-url-prof-2.1.3-f33f0
本虛擬套件由這些套件填實: libghc-url-prof
libghc-userid-dev (0.1.3.5-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 0.1.3.5-1+b3 [armel, armhf, i386], 0.1.3.5-1+b2 [mips64el])
The UserId type and useful instances for web development
libghc-userid-dev-0.1.3.5-145b4
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-4eae2
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-a5b20
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-b9e06
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-d05e0
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-d9c0c
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-ea1ae
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-dev-0.1.3.5-f2e5e
本虛擬套件由這些套件填實: libghc-userid-dev
libghc-userid-doc (0.1.3.5-1)
The UserId type and useful instances for web development; documentation
libghc-userid-prof (0.1.3.5-1+b4 [amd64, arm64, mipsel, ppc64el, s390x], 0.1.3.5-1+b3 [armel, armhf, i386], 0.1.3.5-1+b2 [mips64el])
The UserId type and useful instances for web development; profiling libraries
libghc-userid-prof-0.1.3.5-145b4
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-4eae2
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-a5b20
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-b9e06
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-d05e0
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-d9c0c
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-ea1ae
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-userid-prof-0.1.3.5-f2e5e
本虛擬套件由這些套件填實: libghc-userid-prof
libghc-utf8-light-dev (0.4.2-9+b2 [s390x], 0.4.2-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lightweight UTF-8 handling library
libghc-utf8-light-dev-0.4.2-048e4
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-2e736
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-400dc
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-6eb56
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-d2f6e
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-f347b
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-fad50
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-dev-0.4.2-fea92
本虛擬套件由這些套件填實: libghc-utf8-light-dev
libghc-utf8-light-doc (0.4.2-9)
Lightweight UTF-8 handling library; documentation
libghc-utf8-light-prof (0.4.2-9+b2 [s390x], 0.4.2-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Lightweight UTF-8 handling library; profiling libraries
libghc-utf8-light-prof-0.4.2-048e4
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-2e736
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-400dc
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-6eb56
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-d2f6e
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-f347b
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-fad50
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-light-prof-0.4.2-fea92
本虛擬套件由這些套件填實: libghc-utf8-light-prof
libghc-utf8-string-dev (1.0.1.1-9+b2 [s390x], 1.0.1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GHC libraries for the Haskell UTF-8 library
libghc-utf8-string-dev-1.0.1.1-1d7bf
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-2b4df
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-3042a
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-866d7
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-8f74f
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-aeae5
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-bf5af
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-dev-1.0.1.1-c8510
本虛擬套件由這些套件填實: libghc-utf8-string-dev
libghc-utf8-string-doc (1.0.1.1-9)
GHC libraries for the Haskell UTF-8 library (documentation)
libghc-utf8-string-prof (1.0.1.1-9+b2 [s390x], 1.0.1.1-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Profiling support for the GHC Haskell UTF-8 library
libghc-utf8-string-prof-1.0.1.1-1d7bf
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-2b4df
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-3042a
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-866d7
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-8f74f
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-aeae5
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-bf5af
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utf8-string-prof-1.0.1.1-c8510
本虛擬套件由這些套件填實: libghc-utf8-string-prof
libghc-utility-ht-dev (0.0.15-1+b2 [s390x], 0.0.15-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
small helper functions for Lists, Maybes, Tuples and Functions
libghc-utility-ht-dev-0.0.15-5b899
本虛擬套件由這些套件填實: libghc-utility-ht-dev
libghc-utility-ht-dev-0.0.15-60fe0
本虛擬套件由這些套件填實: libghc-utility-ht-dev
libghc-utility-ht-dev-0.0.15-7d8df
本虛擬套件由這些套件填實: libghc-utility-ht-dev
libghc-utility-ht-dev-0.0.15-99622
本虛擬套件由這些套件填實: libghc-utility-ht-dev
libghc-utility-ht-doc (0.0.15-1)
small helper functions for Lists, Maybes, Tuples and Functions; documentation
libghc-utility-ht-prof (0.0.15-1+b2 [s390x], 0.0.15-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
profiling libraries for libghc-utility-ht-dev; profiling libraries
libghc-utility-ht-prof-0.0.15-5b899
本虛擬套件由這些套件填實: libghc-utility-ht-prof
libghc-utility-ht-prof-0.0.15-60fe0
本虛擬套件由這些套件填實: libghc-utility-ht-prof
libghc-utility-ht-prof-0.0.15-7d8df
本虛擬套件由這些套件填實: libghc-utility-ht-prof
libghc-utility-ht-prof-0.0.15-99622
本虛擬套件由這些套件填實: libghc-utility-ht-prof
libghc-uuagc-cabal-dev (1.1.0.0-4+b2 [s390x], 1.1.0.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cabal plugin for UUAGC
libghc-uuagc-cabal-dev-1.1.0.0-096ab
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-19d05
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-22f80
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-378d1
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-5a40d
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-ac26e
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-cf88b
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-dev-1.1.0.0-e8ec8
本虛擬套件由這些套件填實: libghc-uuagc-cabal-dev
libghc-uuagc-cabal-doc (1.1.0.0-4)
Cabal plugin for UUAGC; documentation
libghc-uuagc-cabal-prof (1.1.0.0-4+b2 [s390x], 1.1.0.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Cabal plugin for UUAGC; profiling libraries
libghc-uuagc-cabal-prof-1.1.0.0-096ab
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-19d05
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-22f80
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-378d1
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-5a40d
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-ac26e
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-cf88b
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuagc-cabal-prof-1.1.0.0-e8ec8
本虛擬套件由這些套件填實: libghc-uuagc-cabal-prof
libghc-uuid-dev (1.3.13-9+b2 [s390x], 1.3.13-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
create, compare, parse and print Universally Unique Identifiers
libghc-uuid-dev-1.3.13-0231a
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-40be0
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-65a29
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-7fbd5
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-83a86
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-85a52
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-c14d8
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-dev-1.3.13-eb484
本虛擬套件由這些套件填實: libghc-uuid-dev
libghc-uuid-doc (1.3.13-9)
create, compare, parse and print UUIDs; documentation
libghc-uuid-prof (1.3.13-9+b2 [s390x], 1.3.13-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
create, compare, parse and print UUIDs; profiling libraries
libghc-uuid-prof-1.3.13-0231a
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-40be0
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-65a29
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-7fbd5
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-83a86
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-85a52
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-c14d8
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-prof-1.3.13-eb484
本虛擬套件由這些套件填實: libghc-uuid-prof
libghc-uuid-types-dev (1.0.3-14+b2 [s390x], 1.0.3-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Type definitions for Universally Unique Identifiers
libghc-uuid-types-dev-1.0.3-25821
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-2e25f
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-87204
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-96178
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-a625e
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-d2a33
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-d670c
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-dev-1.0.3-dee7d
本虛擬套件由這些套件填實: libghc-uuid-types-dev
libghc-uuid-types-doc (1.0.3-14)
Type definitions for Universally Unique Identifiers; documentation
libghc-uuid-types-prof (1.0.3-14+b2 [s390x], 1.0.3-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Type definitions for Universally Unique Identifiers; profiling libraries
libghc-uuid-types-prof-1.0.3-25821
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-2e25f
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-87204
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-96178
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-a625e
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-d2a33
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-d670c
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uuid-types-prof-1.0.3-dee7d
本虛擬套件由這些套件填實: libghc-uuid-types-prof
libghc-uulib-dev (0.9.24-1+b2 [s390x], 0.9.24-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parser and pretty print combinator library for GHC
libghc-uulib-dev-0.9.24-2134f
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-dev-0.9.24-3b8fe
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-dev-0.9.24-59cbd
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-dev-0.9.24-70ec9
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-dev-0.9.24-714eb
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-dev-0.9.24-85df9
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-dev-0.9.24-d5b1f
本虛擬套件由這些套件填實: libghc-uulib-dev
libghc-uulib-doc (0.9.24-1)
parser and pretty print combinator library for GHC; documentation
libghc-uulib-prof (0.9.24-1+b2 [s390x], 0.9.24-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parser and pretty print combinator library for GHC; profiling libraries
libghc-uulib-prof-0.9.24-2134f
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-uulib-prof-0.9.24-3b8fe
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-uulib-prof-0.9.24-59cbd
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-uulib-prof-0.9.24-70ec9
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-uulib-prof-0.9.24-714eb
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-uulib-prof-0.9.24-85df9
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-uulib-prof-0.9.24-d5b1f
本虛擬套件由這些套件填實: libghc-uulib-prof
libghc-validity-containers-dev (0.5.0.4-1+b1)
Validity instances for containers
libghc-validity-containers-dev-0.5.0.4-1ed70
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-6e03d
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-70124
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-70371
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-929a8
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-ef296
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-f1540
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-dev-0.5.0.4-f6bb0
本虛擬套件由這些套件填實: libghc-validity-containers-dev
libghc-validity-containers-doc (0.5.0.4-1)
Validity instances for containers; documentation
libghc-validity-containers-prof (0.5.0.4-1+b1)
Validity instances for containers; profiling libraries
libghc-validity-containers-prof-0.5.0.4-1ed70
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-6e03d
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-70124
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-70371
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-929a8
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-ef296
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-f1540
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-containers-prof-0.5.0.4-f6bb0
本虛擬套件由這些套件填實: libghc-validity-containers-prof
libghc-validity-dev (0.11.0.0-2)
validity typeclass
libghc-validity-dev-0.11.0.0-2af10
本虛擬套件由這些套件填實: libghc-validity-dev
libghc-validity-dev-0.11.0.0-b1a81
本虛擬套件由這些套件填實: libghc-validity-dev
libghc-validity-dev-0.11.0.0-c8147
本虛擬套件由這些套件填實: libghc-validity-dev
libghc-validity-dev-0.11.0.0-d1629
本虛擬套件由這些套件填實: libghc-validity-dev
libghc-validity-dev-0.11.0.0-e0801
本虛擬套件由這些套件填實: libghc-validity-dev
libghc-validity-doc (0.11.0.0-2)
validity typeclass; documentation
libghc-validity-prof (0.11.0.0-2)
validity typeclass; profiling libraries
libghc-validity-prof-0.11.0.0-2af10
本虛擬套件由這些套件填實: libghc-validity-prof
libghc-validity-prof-0.11.0.0-b1a81
本虛擬套件由這些套件填實: libghc-validity-prof
libghc-validity-prof-0.11.0.0-c8147
本虛擬套件由這些套件填實: libghc-validity-prof
libghc-validity-prof-0.11.0.0-d1629
本虛擬套件由這些套件填實: libghc-validity-prof
libghc-validity-prof-0.11.0.0-e0801
本虛擬套件由這些套件填實: libghc-validity-prof
libghc-vault-dev (0.3.1.4-1+b2 [s390x], 0.3.1.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typed, persistent store for values of arbitrary types
libghc-vault-dev-0.3.1.4-025ce
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-185b7
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-24441
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-367fc
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-85849
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-b3471
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-c0146
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-dev-0.3.1.4-ee9cd
本虛擬套件由這些套件填實: libghc-vault-dev
libghc-vault-doc (0.3.1.4-1)
typed, persistent store for values of arbitrary types; documentation
libghc-vault-prof (0.3.1.4-1+b2 [s390x], 0.3.1.4-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
typed, persistent store for values of arbitrary types; profiling libraries
libghc-vault-prof-0.3.1.4-025ce
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-185b7
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-24441
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-367fc
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-85849
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-b3471
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-c0146
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vault-prof-0.3.1.4-ee9cd
本虛擬套件由這些套件填實: libghc-vault-prof
libghc-vector-algorithms-dev (0.8.0.3-1+b2 [s390x], 0.8.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient algorithms for vector arrays
libghc-vector-algorithms-dev-0.8.0.3-2a257
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-33d65
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-6ed65
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-7c479
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-8947e
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-8a1bc
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-b5a1c
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-dev-0.8.0.3-d4ad9
本虛擬套件由這些套件填實: libghc-vector-algorithms-dev
libghc-vector-algorithms-doc (0.8.0.3-1)
efficient algorithms for vector arrays; documentation
libghc-vector-algorithms-prof (0.8.0.3-1+b2 [s390x], 0.8.0.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
efficient algorithms for vector arrays; profiling libraries
libghc-vector-algorithms-prof-0.8.0.3-2a257
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-33d65
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-6ed65
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-7c479
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-8947e
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-8a1bc
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-b5a1c
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-algorithms-prof-0.8.0.3-d4ad9
本虛擬套件由這些套件填實: libghc-vector-algorithms-prof
libghc-vector-binary-instances-dev (0.2.5.1-3+b2 [s390x], 0.2.5.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
instances of Data.Binary and Data.Serialize for vector
libghc-vector-binary-instances-dev-0.2.5.1-018e5
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-1dab3
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-2b270
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-387ef
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-3c9fd
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-54261
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-a1feb
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-dev-0.2.5.1-dd566
本虛擬套件由這些套件填實: libghc-vector-binary-instances-dev
libghc-vector-binary-instances-doc (0.2.5.1-3)
instances of Data.Binary and Data.Serialize for vector; documentation
libghc-vector-binary-instances-prof (0.2.5.1-3+b2 [s390x], 0.2.5.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
instances of Data.Binary and Data.Serialize for vector; profiling libraries
libghc-vector-binary-instances-prof-0.2.5.1-018e5
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-1dab3
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-2b270
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-387ef
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-3c9fd
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-54261
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-a1feb
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-binary-instances-prof-0.2.5.1-dd566
本虛擬套件由這些套件填實: libghc-vector-binary-instances-prof
libghc-vector-builder-dev (0.3.8-1+b2 [s390x], 0.3.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Vector builder
libghc-vector-builder-dev-0.3.8-68525
本虛擬套件由這些套件填實: libghc-vector-builder-dev
libghc-vector-builder-dev-0.3.8-72563
本虛擬套件由這些套件填實: libghc-vector-builder-dev
libghc-vector-builder-dev-0.3.8-74d20
本虛擬套件由這些套件填實: libghc-vector-builder-dev
libghc-vector-builder-dev-0.3.8-b6711
本虛擬套件由這些套件填實: libghc-vector-builder-dev
libghc-vector-builder-dev-0.3.8-db423
本虛擬套件由這些套件填實: libghc-vector-builder-dev
libghc-vector-builder-doc (0.3.8-1)
Vector builder; documentation
libghc-vector-builder-prof (0.3.8-1+b2 [s390x], 0.3.8-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Vector builder; profiling libraries
libghc-vector-builder-prof-0.3.8-68525
本虛擬套件由這些套件填實: libghc-vector-builder-prof
libghc-vector-builder-prof-0.3.8-72563
本虛擬套件由這些套件填實: libghc-vector-builder-prof
libghc-vector-builder-prof-0.3.8-74d20
本虛擬套件由這些套件填實: libghc-vector-builder-prof
libghc-vector-builder-prof-0.3.8-b6711
本虛擬套件由這些套件填實: libghc-vector-builder-prof
libghc-vector-builder-prof-0.3.8-db423
本虛擬套件由這些套件填實: libghc-vector-builder-prof
libghc-vector-dev (0.12.1.2-2+b2 [s390x], 0.12.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Efficient Arrays for Haskell
libghc-vector-dev-0.12.1.2-29734
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-55f59
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-717f6
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-81dc0
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-835a3
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-b8e80
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-e847a
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-dev-0.12.1.2-e849d
本虛擬套件由這些套件填實: libghc-vector-dev
libghc-vector-doc (0.12.1.2-2)
Efficient Arrays for Haskell; documentation
libghc-vector-instances-dev (3.4-4+b2 [s390x], 3.4-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
orphan instances for Data.Vector
libghc-vector-instances-dev-3.4-14688
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-17651
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-511ae
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-c8620
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-e03ab
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-e61a5
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-eb8d2
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-f44b2
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-dev-3.4-fa47d
本虛擬套件由這些套件填實: libghc-vector-instances-dev
libghc-vector-instances-doc (3.4-4)
orphan instances for Data.Vector; documentation
libghc-vector-instances-prof (3.4-4+b2 [s390x], 3.4-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
orphan instances for Data.Vector; profiling libraries
libghc-vector-instances-prof-3.4-14688
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-17651
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-511ae
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-c8620
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-e03ab
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-e61a5
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-eb8d2
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-f44b2
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-instances-prof-3.4-fa47d
本虛擬套件由這些套件填實: libghc-vector-instances-prof
libghc-vector-prof (0.12.1.2-2+b2 [s390x], 0.12.1.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Efficient Arrays for Haskell; profiling libraries
libghc-vector-prof-0.12.1.2-29734
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-55f59
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-717f6
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-81dc0
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-835a3
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-b8e80
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-e847a
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-prof-0.12.1.2-e849d
本虛擬套件由這些套件填實: libghc-vector-prof
libghc-vector-space-dev (0.16-1+b2 [s390x], 0.16-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Vector & affine spaces, linear maps, and derivatives library
libghc-vector-space-dev-0.16-322f7
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-dev-0.16-69297
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-dev-0.16-91176
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-dev-0.16-92c33
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-dev-0.16-b0e3c
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-dev-0.16-bb9ea
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-dev-0.16-f0f67
本虛擬套件由這些套件填實: libghc-vector-space-dev
libghc-vector-space-doc (0.16-1)
Vector & affine spaces, linear maps, and derivatives library; documentation
libghc-vector-space-prof (0.16-1+b2 [s390x], 0.16-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Vector & affine spaces, linear maps, and derivatives library; profiling libraries
libghc-vector-space-prof-0.16-322f7
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-space-prof-0.16-69297
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-space-prof-0.16-91176
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-space-prof-0.16-92c33
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-space-prof-0.16-b0e3c
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-space-prof-0.16-bb9ea
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-space-prof-0.16-f0f67
本虛擬套件由這些套件填實: libghc-vector-space-prof
libghc-vector-th-unbox-dev (0.2.1.7-1+b2 [s390x], 0.2.1.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
deriver for Data.Vector.Unboxed using Template Haskell
libghc-vector-th-unbox-dev-0.2.1.7-41731
本虛擬套件由這些套件填實: libghc-vector-th-unbox-dev
libghc-vector-th-unbox-dev-0.2.1.7-8c7ed
本虛擬套件由這些套件填實: libghc-vector-th-unbox-dev
libghc-vector-th-unbox-dev-0.2.1.7-95559
本虛擬套件由這些套件填實: libghc-vector-th-unbox-dev
libghc-vector-th-unbox-dev-0.2.1.7-ad47a
本虛擬套件由這些套件填實: libghc-vector-th-unbox-dev
libghc-vector-th-unbox-dev-0.2.1.7-cb31f
本虛擬套件由這些套件填實: libghc-vector-th-unbox-dev
libghc-vector-th-unbox-doc (0.2.1.7-1)
deriver for Data.Vector.Unboxed using Template Haskell; documentation
libghc-vector-th-unbox-prof (0.2.1.7-1+b2 [s390x], 0.2.1.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
deriver for Data.Vector.Unboxed using Template Haskell; profiling libraries
libghc-vector-th-unbox-prof-0.2.1.7-41731
本虛擬套件由這些套件填實: libghc-vector-th-unbox-prof
libghc-vector-th-unbox-prof-0.2.1.7-8c7ed
本虛擬套件由這些套件填實: libghc-vector-th-unbox-prof
libghc-vector-th-unbox-prof-0.2.1.7-95559
本虛擬套件由這些套件填實: libghc-vector-th-unbox-prof
libghc-vector-th-unbox-prof-0.2.1.7-ad47a
本虛擬套件由這些套件填實: libghc-vector-th-unbox-prof
libghc-vector-th-unbox-prof-0.2.1.7-cb31f
本虛擬套件由這些套件填實: libghc-vector-th-unbox-prof
libghc-void-dev (0.7.3-2+b2 [s390x], 0.7.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 logically uninhabited data type
libghc-void-dev-0.7.3-0dd81
本虛擬套件由這些套件填實: libghc-void-dev
libghc-void-dev-0.7.3-19523
本虛擬套件由這些套件填實: libghc-void-dev
libghc-void-dev-0.7.3-2d6a5
本虛擬套件由這些套件填實: libghc-void-dev
libghc-void-dev-0.7.3-915a7
本虛擬套件由這些套件填實: libghc-void-dev
libghc-void-dev-0.7.3-a7737
本虛擬套件由這些套件填實: libghc-void-dev
libghc-void-doc (0.7.3-2)
Haskell 98 logically uninhabited data type; documentation
libghc-void-prof (0.7.3-2+b2 [s390x], 0.7.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell 98 logically uninhabited data type; profiling libraries
libghc-void-prof-0.7.3-0dd81
本虛擬套件由這些套件填實: libghc-void-prof
libghc-void-prof-0.7.3-19523
本虛擬套件由這些套件填實: libghc-void-prof
libghc-void-prof-0.7.3-2d6a5
本虛擬套件由這些套件填實: libghc-void-prof
libghc-void-prof-0.7.3-915a7
本虛擬套件由這些套件填實: libghc-void-prof
libghc-void-prof-0.7.3-a7737
本虛擬套件由這些套件填實: libghc-void-prof
libghc-vty-dev (5.28.2-1)
Terminal interface library for ghc
libghc-vty-dev-5.28.2-0eade
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-5abc1
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-63959
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-79ea0
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-b0dbf
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-c1b23
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-d895b
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-dev-5.28.2-e1420
本虛擬套件由這些套件填實: libghc-vty-dev
libghc-vty-doc (5.28.2-1)
Terminal interface library for ghc; documentation
libghc-vty-prof (5.28.2-1)
Terminal interface library for ghc; profiling libraries
libghc-vty-prof-5.28.2-0eade
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-5abc1
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-63959
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-79ea0
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-b0dbf
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-c1b23
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-d895b
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-vty-prof-5.28.2-e1420
本虛擬套件由這些套件填實: libghc-vty-prof
libghc-wai-app-file-cgi-dev (3.1.9-1+b3 [arm64, mipsel, ppc64el, s390x], 3.1.9-1+b2 [armel, mips64el], 3.1.9-1+b1 [amd64, armhf, i386])
file/CGI app of WAI
libghc-wai-app-file-cgi-dev-3.1.9-1220d
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-166c9
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-1e68d
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-45249
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-79860
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-96852
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-de85d
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-fc2c8
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-dev-3.1.9-fce92
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-dev
libghc-wai-app-file-cgi-doc (3.1.9-1)
file/CGI app of WAI; documentation
libghc-wai-app-file-cgi-prof (3.1.9-1+b3 [arm64, mipsel, ppc64el, s390x], 3.1.9-1+b2 [armel, mips64el], 3.1.9-1+b1 [amd64, armhf, i386])
file/CGI app of WAI; profiling libraries
libghc-wai-app-file-cgi-prof-3.1.9-1220d
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-166c9
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-1e68d
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-45249
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-79860
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-96852
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-de85d
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-fc2c8
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-file-cgi-prof-3.1.9-fce92
本虛擬套件由這些套件填實: libghc-wai-app-file-cgi-prof
libghc-wai-app-static-dev (3.1.7.1-1+b4 [s390x], 3.1.7.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 3.1.7.1-1+b1 [mips64el])
framework for type-safe, RESTful web applications
libghc-wai-app-static-dev-3.1.7.1-42469
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-57659
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-66b4d
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-7f998
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-90f08
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-ab5b1
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-cdc43
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-d7c83
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-dev-3.1.7.1-f6a3f
本虛擬套件由這些套件填實: libghc-wai-app-static-dev
libghc-wai-app-static-doc (3.1.7.1-1)
framework for type-safe, RESTful web applications; documentation
libghc-wai-app-static-prof (3.1.7.1-1+b4 [s390x], 3.1.7.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 3.1.7.1-1+b1 [mips64el])
framework for type-safe, RESTful web applications; profiling libraries
libghc-wai-app-static-prof-3.1.7.1-42469
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-57659
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-66b4d
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-7f998
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-90f08
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-ab5b1
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-cdc43
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-d7c83
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-app-static-prof-3.1.7.1-f6a3f
本虛擬套件由這些套件填實: libghc-wai-app-static-prof
libghc-wai-conduit-dev (3.0.0.4-3+b3 [s390x], 3.0.0.4-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.0.4-3+b1 [mips64el, mipsel])
conduit wrappers for WAI
libghc-wai-conduit-dev-3.0.0.4-2f240
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-7cf9d
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-95866
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-ac5a1
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-afb37
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-c4298
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-d9c40
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-eeafa
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-dev-3.0.0.4-f7418
本虛擬套件由這些套件填實: libghc-wai-conduit-dev
libghc-wai-conduit-doc (3.0.0.4-3)
conduit wrappers for WAI; documentation
libghc-wai-conduit-prof (3.0.0.4-3+b3 [s390x], 3.0.0.4-3+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.0.4-3+b1 [mips64el, mipsel])
conduit wrappers for WAI; profiling libraries
libghc-wai-conduit-prof-3.0.0.4-2f240
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-7cf9d
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-95866
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-ac5a1
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-afb37
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-c4298
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-d9c40
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-eeafa
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-conduit-prof-3.0.0.4-f7418
本虛擬套件由這些套件填實: libghc-wai-conduit-prof
libghc-wai-cors-dev (0.2.7-1+b2 [s390x], 0.2.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CORS for WAI
libghc-wai-cors-dev-0.2.7-484ce
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-4c92b
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-7030a
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-91e2e
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-946d9
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-acd6b
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-ae73c
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-dev-0.2.7-c4f7e
本虛擬套件由這些套件填實: libghc-wai-cors-dev
libghc-wai-cors-doc (0.2.7-1)
CORS for WAI; documentation
libghc-wai-cors-prof (0.2.7-1+b2 [s390x], 0.2.7-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
CORS for WAI; profiling libraries
libghc-wai-cors-prof-0.2.7-484ce
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-4c92b
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-7030a
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-91e2e
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-946d9
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-acd6b
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-ae73c
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-cors-prof-0.2.7-c4f7e
本虛擬套件由這些套件填實: libghc-wai-cors-prof
libghc-wai-dev (3.2.2.1-2+b2 [s390x], 3.2.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
web application interface
libghc-wai-dev-3.2.2.1-024e4
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-0e66a
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-386da
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-41ee2
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-58a33
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-7a739
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-bea19
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-dev-3.2.2.1-e34ea
本虛擬套件由這些套件填實: libghc-wai-dev
libghc-wai-doc (3.2.2.1-2)
web application interface; documentation
libghc-wai-extra-dev (3.0.29.2-1+b1 [arm64, armel], 3.0.29.2-1 [amd64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
some basic WAI handlers and middleware
libghc-wai-extra-dev-3.0.29.2-78659
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-7c81b
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-89694
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-8c370
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-a2fa1
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-addc9
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-d6c53
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-da9fb
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-dev-3.0.29.2-e41b3
本虛擬套件由這些套件填實: libghc-wai-extra-dev
libghc-wai-extra-doc (3.0.29.2-1)
some basic WAI handlers and middleware; documentation
libghc-wai-extra-prof (3.0.29.2-1+b1 [arm64, armel], 3.0.29.2-1 [amd64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
some basic WAI handlers and middleware; profiling libraries
libghc-wai-extra-prof-3.0.29.2-78659
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-7c81b
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-89694
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-8c370
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-a2fa1
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-addc9
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-d6c53
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-da9fb
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-extra-prof-3.0.29.2-e41b3
本虛擬套件由這些套件填實: libghc-wai-extra-prof
libghc-wai-handler-launch-dev (3.0.3.1-1+b5 [s390x], 3.0.3.1-1+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.3.1-1+b3 [mipsel], 3.0.3.1-1+b2 [mips64el])
WAI handler for launching in a web browser
libghc-wai-handler-launch-dev-3.0.3.1-155ca
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-3c3bd
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-51710
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-689ca
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-71241
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-aaf8c
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-bdd46
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-dev-3.0.3.1-ee7b0
本虛擬套件由這些套件填實: libghc-wai-handler-launch-dev
libghc-wai-handler-launch-doc (3.0.3.1-1)
Short description of wai-handler-launch; documentation
libghc-wai-handler-launch-prof (3.0.3.1-1+b5 [s390x], 3.0.3.1-1+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.3.1-1+b3 [mipsel], 3.0.3.1-1+b2 [mips64el])
Short description of wai-handler-launch; profiling libraries
libghc-wai-handler-launch-prof-3.0.3.1-155ca
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-3c3bd
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-51710
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-689ca
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-71241
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-aaf8c
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-bdd46
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-handler-launch-prof-3.0.3.1-ee7b0
本虛擬套件由這些套件填實: libghc-wai-handler-launch-prof
libghc-wai-http2-extra-dev (0.1.3-1)
WAI utilities for HTTP/2
libghc-wai-http2-extra-dev-0.1.3-01b28
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-0d020
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-81872
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-98c75
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-b2c73
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-d20be
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-dd847
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-dev-0.1.3-e05b1
本虛擬套件由這些套件填實: libghc-wai-http2-extra-dev
libghc-wai-http2-extra-doc (0.1.3-1)
WAI utilities for HTTP/2; documentation
libghc-wai-http2-extra-prof (0.1.3-1)
WAI utilities for HTTP/2; profiling libraries
libghc-wai-http2-extra-prof-0.1.3-01b28
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-0d020
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-81872
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-98c75
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-b2c73
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-d20be
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-dd847
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-http2-extra-prof-0.1.3-e05b1
本虛擬套件由這些套件填實: libghc-wai-http2-extra-prof
libghc-wai-logger-dev (2.3.6-1+b2 [s390x], 2.3.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
logging system for WAI
libghc-wai-logger-dev-2.3.6-080e3
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-11e09
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-19d29
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-39a4b
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-4d677
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-72ecf
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-d52cc
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-dev-2.3.6-eff1c
本虛擬套件由這些套件填實: libghc-wai-logger-dev
libghc-wai-logger-doc (2.3.6-1)
logging system for WAI; documentation
libghc-wai-logger-prof (2.3.6-1+b2 [s390x], 2.3.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
logging system for WAI; profiling libraries
libghc-wai-logger-prof-2.3.6-080e3
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-11e09
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-19d29
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-39a4b
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-4d677
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-72ecf
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-d52cc
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-logger-prof-2.3.6-eff1c
本虛擬套件由這些套件填實: libghc-wai-logger-prof
libghc-wai-middleware-static-dev (0.8.3-1+b2 [s390x], 0.8.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
WAI middleware that serves requests to static files.
libghc-wai-middleware-static-dev-0.8.3-1f100
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-534bd
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-8abee
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-9be2c
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-cb639
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-cc094
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-ea40d
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-f049c
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-dev-0.8.3-fc45f
本虛擬套件由這些套件填實: libghc-wai-middleware-static-dev
libghc-wai-middleware-static-doc (0.8.3-1)
WAI middleware that serves requests to static files.; documentation
libghc-wai-middleware-static-prof (0.8.3-1+b2 [s390x], 0.8.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
WAI middleware that serves requests to static files.; profiling libraries
libghc-wai-middleware-static-prof-0.8.3-1f100
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-534bd
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-8abee
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-9be2c
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-cb639
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-cc094
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-ea40d
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-f049c
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-middleware-static-prof-0.8.3-fc45f
本虛擬套件由這些套件填實: libghc-wai-middleware-static-prof
libghc-wai-prof (3.2.2.1-2+b2 [s390x], 3.2.2.1-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
web application interface; profiling libraries
libghc-wai-prof-3.2.2.1-024e4
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-0e66a
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-386da
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-41ee2
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-58a33
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-7a739
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-bea19
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-prof-3.2.2.1-e34ea
本虛擬套件由這些套件填實: libghc-wai-prof
libghc-wai-websockets-dev (3.0.1.2-4+b3 [mipsel, s390x], 3.0.1.2-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.1.2-4+b1 [mips64el])
bridge between WAI and the haskell websockets library
libghc-wai-websockets-dev-3.0.1.2-1f1db
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-3fae1
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-4e0dd
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-6c819
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-8b432
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-8c7ce
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-b37fa
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-ba57e
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-dev-3.0.1.2-eeb4a
本虛擬套件由這些套件填實: libghc-wai-websockets-dev
libghc-wai-websockets-doc (3.0.1.2-4)
bridge between WAI and the haskell websockets library; documentation
libghc-wai-websockets-prof (3.0.1.2-4+b3 [mipsel, s390x], 3.0.1.2-4+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 3.0.1.2-4+b1 [mips64el])
bridge between WAI and the haskell websockets library; profiling libraries
libghc-wai-websockets-prof-3.0.1.2-1f1db
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-3fae1
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-4e0dd
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-6c819
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-8b432
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-8c7ce
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-b37fa
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-ba57e
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-wai-websockets-prof-3.0.1.2-eeb4a
本虛擬套件由這些套件填實: libghc-wai-websockets-prof
libghc-warp-dev (3.3.13-1+b1 [arm64, armel], 3.3.13-1 [amd64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
fast, light-weight web server for WAI applications
libghc-warp-dev-3.3.13-01caa
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-0b008
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-180cc
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-23179
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-2f5f4
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-6208a
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-67d9b
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-6f8ca
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-dev-3.3.13-f3f7d
本虛擬套件由這些套件填實: libghc-warp-dev
libghc-warp-doc (3.3.13-1)
fast, light-weight web server for WAI applications; documentation
libghc-warp-prof (3.3.13-1+b1 [arm64, armel], 3.3.13-1 [amd64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
fast, light-weight web server for WAI applications; profiling libraries
libghc-warp-prof-3.3.13-01caa
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-0b008
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-180cc
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-23179
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-2f5f4
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-6208a
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-67d9b
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-6f8ca
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-prof-3.3.13-f3f7d
本虛擬套件由這些套件填實: libghc-warp-prof
libghc-warp-tls-dev (3.2.12-1)
SSL/TLS support for Warp
libghc-warp-tls-dev-3.2.12-1aa2f
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-3997a
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-3b49a
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-6c4f1
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-7f3c4
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-8afae
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-a94b6
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-c68ab
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-dev-3.2.12-e2884
本虛擬套件由這些套件填實: libghc-warp-tls-dev
libghc-warp-tls-doc (3.2.12-1)
SSL/TLS support for Warp; documentation
libghc-warp-tls-prof (3.2.12-1)
SSL/TLS support for Warp; profiling libraries
libghc-warp-tls-prof-3.2.12-1aa2f
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-3997a
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-3b49a
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-6c4f1
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-7f3c4
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-8afae
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-a94b6
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-c68ab
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-warp-tls-prof-3.2.12-e2884
本虛擬套件由這些套件填實: libghc-warp-tls-prof
libghc-wcwidth-dev (0.0.2-2+b2 [s390x], 0.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings for system's native wcwidth
libghc-wcwidth-dev-0.0.2-09492
本虛擬套件由這些套件填實: libghc-wcwidth-dev
libghc-wcwidth-dev-0.0.2-333a1
本虛擬套件由這些套件填實: libghc-wcwidth-dev
libghc-wcwidth-dev-0.0.2-6c568
本虛擬套件由這些套件填實: libghc-wcwidth-dev
libghc-wcwidth-dev-0.0.2-99e93
本虛擬套件由這些套件填實: libghc-wcwidth-dev
libghc-wcwidth-dev-0.0.2-c9854
本虛擬套件由這些套件填實: libghc-wcwidth-dev
libghc-wcwidth-dev-0.0.2-db91c
本虛擬套件由這些套件填實: libghc-wcwidth-dev
libghc-wcwidth-doc (0.0.2-2)
bindings for system's native wcwidth; documentation
libghc-wcwidth-prof (0.0.2-2+b2 [s390x], 0.0.2-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings for system's native wcwidth; profiling libraries
libghc-wcwidth-prof-0.0.2-09492
本虛擬套件由這些套件填實: libghc-wcwidth-prof
libghc-wcwidth-prof-0.0.2-333a1
本虛擬套件由這些套件填實: libghc-wcwidth-prof
libghc-wcwidth-prof-0.0.2-6c568
本虛擬套件由這些套件填實: libghc-wcwidth-prof
libghc-wcwidth-prof-0.0.2-99e93
本虛擬套件由這些套件填實: libghc-wcwidth-prof
libghc-wcwidth-prof-0.0.2-c9854
本虛擬套件由這些套件填實: libghc-wcwidth-prof
libghc-wcwidth-prof-0.0.2-db91c
本虛擬套件由這些套件填實: libghc-wcwidth-prof
libghc-web-routes-boomerang-dev (0.28.4.2-8+b2 [s390x], 0.28.4.2-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
correctness and composability of application URLs
libghc-web-routes-boomerang-dev-0.28.4.2-09b0b
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-1da81
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-23d72
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-9abe7
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-a474d
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-a69aa
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-d42c3
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-dev-0.28.4.2-fb7cc
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-dev
libghc-web-routes-boomerang-doc (0.28.4.2-8)
correctness and composability of application URLs; documentation
libghc-web-routes-boomerang-prof (0.28.4.2-8+b2 [s390x], 0.28.4.2-8+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
correctness and composability of application URLs; profiling libraries
libghc-web-routes-boomerang-prof-0.28.4.2-09b0b
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-1da81
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-23d72
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-9abe7
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-a474d
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-a69aa
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-d42c3
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-boomerang-prof-0.28.4.2-fb7cc
本虛擬套件由這些套件填實: libghc-web-routes-boomerang-prof
libghc-web-routes-dev (0.27.14.3-1+b2 [s390x], 0.27.14.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for correctness/composability of URLs
libghc-web-routes-dev-0.27.14.3-5977b
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-6dd71
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-90ca0
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-999a3
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-ac4ec
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-d5493
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-ed25e
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-dev-0.27.14.3-f3415
本虛擬套件由這些套件填實: libghc-web-routes-dev
libghc-web-routes-doc (0.27.14.3-1)
library for correctness/composability of URLs; documentation
libghc-web-routes-happstack-dev (0.23.12-1+b3 [s390x], 0.23.12-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.23.12-1+b1 [mips64el, mipsel])
web-routes support for Happstack
libghc-web-routes-happstack-dev-0.23.12-12327
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-17768
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-3b504
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-76a0c
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-966e5
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-c468b
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-c50b9
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-e46e7
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-dev-0.23.12-e840f
本虛擬套件由這些套件填實: libghc-web-routes-happstack-dev
libghc-web-routes-happstack-doc (0.23.12-1)
web-routes support for Happstack; documentation
libghc-web-routes-happstack-prof (0.23.12-1+b3 [s390x], 0.23.12-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.23.12-1+b1 [mips64el, mipsel])
web-routes support for Happstack; profiling libraries
libghc-web-routes-happstack-prof-0.23.12-12327
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-17768
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-3b504
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-76a0c
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-966e5
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-c468b
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-c50b9
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-e46e7
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-happstack-prof-0.23.12-e840f
本虛擬套件由這些套件填實: libghc-web-routes-happstack-prof
libghc-web-routes-hsp-dev (0.24.6.1-7+b2 [s390x], 0.24.6.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
XMLGenerator instance for RouteT monad
libghc-web-routes-hsp-dev-0.24.6.1-0b917
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-2ece5
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-3da81
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-3ecc8
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-4e1e1
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-a74e2
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-ae0fb
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-dev-0.24.6.1-f3cf0
本虛擬套件由這些套件填實: libghc-web-routes-hsp-dev
libghc-web-routes-hsp-doc (0.24.6.1-7)
XMLGenerator instance for RouteT monad; documentation
libghc-web-routes-hsp-prof (0.24.6.1-7+b2 [s390x], 0.24.6.1-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
XMLGenerator instance for RouteT monad; profiling libraries
libghc-web-routes-hsp-prof-0.24.6.1-0b917
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-2ece5
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-3da81
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-3ecc8
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-4e1e1
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-a74e2
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-ae0fb
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-hsp-prof-0.24.6.1-f3cf0
本虛擬套件由這些套件填實: libghc-web-routes-hsp-prof
libghc-web-routes-prof (0.27.14.3-1+b2 [s390x], 0.27.14.3-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for correctness/composability of URLs; profiling libraries
libghc-web-routes-prof-0.27.14.3-5977b
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-6dd71
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-90ca0
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-999a3
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-ac4ec
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-d5493
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-ed25e
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-prof-0.27.14.3-f3415
本虛擬套件由這些套件填實: libghc-web-routes-prof
libghc-web-routes-th-dev (0.22.6.6-1+b2 [s390x], 0.22.6.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
support for deriving PathInfo using Template Haskell
libghc-web-routes-th-dev-0.22.6.6-1b72d
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-28468
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-47369
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-4df12
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-4eb67
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-77d92
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-9b678
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-dev-0.22.6.6-fe16f
本虛擬套件由這些套件填實: libghc-web-routes-th-dev
libghc-web-routes-th-doc (0.22.6.6-1)
support for deriving PathInfo using Template Haskell; documentation
libghc-web-routes-th-prof (0.22.6.6-1+b2 [s390x], 0.22.6.6-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
support for deriving PathInfo using Template Haskell; profiling libraries
libghc-web-routes-th-prof-0.22.6.6-1b72d
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-28468
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-47369
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-4df12
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-4eb67
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-77d92
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-9b678
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-web-routes-th-prof-0.22.6.6-fe16f
本虛擬套件由這些套件填實: libghc-web-routes-th-prof
libghc-websockets-dev (0.12.7.1-1+b5 [s390x], 0.12.7.1-1+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.12.7.1-1+b3 [mipsel], 0.12.7.1-1+b2 [mips64el])
write WebSocket-capable servers
libghc-websockets-dev-0.12.7.1-3a31c
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-63c8c
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-6ec82
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-6f7d5
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-86a15
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-a6918
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-d5099
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-ec360
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-dev-0.12.7.1-fc02b
本虛擬套件由這些套件填實: libghc-websockets-dev
libghc-websockets-doc (0.12.7.1-1)
write WebSocket-capable servers; documentation
libghc-websockets-prof (0.12.7.1-1+b5 [s390x], 0.12.7.1-1+b4 [amd64, arm64, armel, armhf, i386, ppc64el], 0.12.7.1-1+b3 [mipsel], 0.12.7.1-1+b2 [mips64el])
write WebSocket-capable servers; profiling libraries
libghc-websockets-prof-0.12.7.1-3a31c
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-63c8c
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-6ec82
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-6f7d5
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-86a15
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-a6918
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-d5099
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-ec360
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-websockets-prof-0.12.7.1-fc02b
本虛擬套件由這些套件填實: libghc-websockets-prof
libghc-weigh-dev (0.0.16-1+b3)
measure allocations of a Haskell functions/values
libghc-weigh-dev-0.0.16-04e49
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-4651f
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-68f78
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-9f03a
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-a738d
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-ae277
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-afbcc
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-dev-0.0.16-e362c
本虛擬套件由這些套件填實: libghc-weigh-dev
libghc-weigh-doc (0.0.16-1)
measure allocations of a Haskell functions/values; documentation
libghc-weigh-prof (0.0.16-1+b3)
measure allocations of a Haskell functions/values; profiling libraries
libghc-weigh-prof-0.0.16-04e49
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-4651f
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-68f78
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-9f03a
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-a738d
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-ae277
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-afbcc
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-weigh-prof-0.0.16-e362c
本虛擬套件由這些套件填實: libghc-weigh-prof
libghc-with-location-dev (0.1.0-6+b2 [s390x], 0.1.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ImplicitParams-based source locations
libghc-with-location-dev-0.1.0-0491f
本虛擬套件由這些套件填實: libghc-with-location-dev
libghc-with-location-dev-0.1.0-0d327
本虛擬套件由這些套件填實: libghc-with-location-dev
libghc-with-location-dev-0.1.0-28394
本虛擬套件由這些套件填實: libghc-with-location-dev
libghc-with-location-dev-0.1.0-29327
本虛擬套件由這些套件填實: libghc-with-location-dev
libghc-with-location-dev-0.1.0-47a77
本虛擬套件由這些套件填實: libghc-with-location-dev
libghc-with-location-doc (0.1.0-6)
ImplicitParams-based source locations; documentation
libghc-with-location-prof (0.1.0-6+b2 [s390x], 0.1.0-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
ImplicitParams-based source locations; profiling libraries
libghc-with-location-prof-0.1.0-0491f
本虛擬套件由這些套件填實: libghc-with-location-prof
libghc-with-location-prof-0.1.0-0d327
本虛擬套件由這些套件填實: libghc-with-location-prof
libghc-with-location-prof-0.1.0-28394
本虛擬套件由這些套件填實: libghc-with-location-prof
libghc-with-location-prof-0.1.0-29327
本虛擬套件由這些套件填實: libghc-with-location-prof
libghc-with-location-prof-0.1.0-47a77
本虛擬套件由這些套件填實: libghc-with-location-prof
libghc-wizards-dev (1.0.3-2+b2 [s390x], 1.0.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for interrogative programs
libghc-wizards-dev-1.0.3-41d99
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-5d71d
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-b53cc
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-c6307
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-c9421
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-ce46b
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-e180f
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-dev-1.0.3-f60f5
本虛擬套件由這些套件填實: libghc-wizards-dev
libghc-wizards-doc (1.0.3-2)
library for interrogative programs; documentation
libghc-wizards-prof (1.0.3-2+b2 [s390x], 1.0.3-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for interrogative programs; profiling libraries
libghc-wizards-prof-1.0.3-41d99
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-5d71d
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-b53cc
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-c6307
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-c9421
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-ce46b
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-e180f
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wizards-prof-1.0.3-f60f5
本虛擬套件由這些套件填實: libghc-wizards-prof
libghc-wl-pprint-annotated-dev (0.1.0.1-3+b2 [s390x], 0.1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen pretty printer with annotations and slightly modernized API
libghc-wl-pprint-annotated-dev-0.1.0.1-1ecde
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-2c3e2
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-4fcca
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-a383c
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-bef2a
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-c1331
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-dafe8
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-dev-0.1.0.1-e3ed0
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-dev
libghc-wl-pprint-annotated-doc (0.1.0.1-3)
Wadler/Leijen pretty printer with annotations and slightly modernized API; documentation
libghc-wl-pprint-annotated-prof (0.1.0.1-3+b2 [s390x], 0.1.0.1-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen pretty printer with annotations and slightly modernized API; profiling libraries
libghc-wl-pprint-annotated-prof-0.1.0.1-1ecde
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-2c3e2
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-4fcca
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-a383c
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-bef2a
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-c1331
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-dafe8
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-annotated-prof-0.1.0.1-e3ed0
本虛擬套件由這些套件填實: libghc-wl-pprint-annotated-prof
libghc-wl-pprint-text-dev (1.2.0.1-1+b2 [s390x], 1.2.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen Pretty Printer for Text values in Haskell
libghc-wl-pprint-text-dev-1.2.0.1-0fd1e
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-1bad6
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-4a1b8
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-4f9af
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-6c47d
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-89627
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-a12af
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-dev-1.2.0.1-a375c
本虛擬套件由這些套件填實: libghc-wl-pprint-text-dev
libghc-wl-pprint-text-doc (1.2.0.1-1)
Wadler/Leijen Pretty Printer for Text values in Haskell; documentation
libghc-wl-pprint-text-prof (1.2.0.1-1+b2 [s390x], 1.2.0.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Wadler/Leijen Pretty Printer for Text values in Haskell; profiling libraries
libghc-wl-pprint-text-prof-1.2.0.1-0fd1e
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-1bad6
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-4a1b8
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-4f9af
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-6c47d
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-89627
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-a12af
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-wl-pprint-text-prof-1.2.0.1-a375c
本虛擬套件由這些套件填實: libghc-wl-pprint-text-prof
libghc-word-trie-dev (0.3.0-9+b2 [s390x], 0.3.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of a finite trie over words
libghc-word-trie-dev-0.3.0-21d51
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-426d5
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-88111
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-961af
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-b8560
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-c555d
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-cc5b5
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-dev-0.3.0-f2a2a
本虛擬套件由這些套件填實: libghc-word-trie-dev
libghc-word-trie-doc (0.3.0-9)
implementation of a finite trie over words; documentation
libghc-word-trie-prof (0.3.0-9+b2 [s390x], 0.3.0-9+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
implementation of a finite trie over words; profiling libraries
libghc-word-trie-prof-0.3.0-21d51
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-426d5
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-88111
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-961af
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-b8560
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-c555d
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-cc5b5
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-trie-prof-0.3.0-f2a2a
本虛擬套件由這些套件填實: libghc-word-trie-prof
libghc-word-wrap-dev (0.4.1-4+b2 [s390x], 0.4.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for word-wrapping
libghc-word-wrap-dev-0.4.1-3ff48
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-a32a4
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-ba402
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-c0aa8
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-c126a
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-c9ae3
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-cb776
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-dev-0.4.1-f7a14
本虛擬套件由這些套件填實: libghc-word-wrap-dev
libghc-word-wrap-doc (0.4.1-4)
library for word-wrapping; documentation
libghc-word-wrap-prof (0.4.1-4+b2 [s390x], 0.4.1-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
library for word-wrapping; profiling libraries
libghc-word-wrap-prof-0.4.1-3ff48
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-a32a4
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-ba402
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-c0aa8
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-c126a
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-c9ae3
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-cb776
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word-wrap-prof-0.4.1-f7a14
本虛擬套件由這些套件填實: libghc-word-wrap-prof
libghc-word8-dev (0.1.3-4+b2 [s390x], 0.1.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Char-like utility functions for Word8s to be used with ByteStrings
libghc-word8-dev-0.1.3-6db8e
本虛擬套件由這些套件填實: libghc-word8-dev
libghc-word8-dev-0.1.3-83480
本虛擬套件由這些套件填實: libghc-word8-dev
libghc-word8-dev-0.1.3-a4cca
本虛擬套件由這些套件填實: libghc-word8-dev
libghc-word8-dev-0.1.3-cbe20
本虛擬套件由這些套件填實: libghc-word8-dev
libghc-word8-dev-0.1.3-f94d0
本虛擬套件由這些套件填實: libghc-word8-dev
libghc-word8-doc (0.1.3-4)
functions for Word8s to be used with ByteStrings; documentation
libghc-word8-prof (0.1.3-4+b2 [s390x], 0.1.3-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
functions for Word8s to be used with ByteStrings; profiling libraries
libghc-word8-prof-0.1.3-6db8e
本虛擬套件由這些套件填實: libghc-word8-prof
libghc-word8-prof-0.1.3-83480
本虛擬套件由這些套件填實: libghc-word8-prof
libghc-word8-prof-0.1.3-a4cca
本虛擬套件由這些套件填實: libghc-word8-prof
libghc-word8-prof-0.1.3-cbe20
本虛擬套件由這些套件填實: libghc-word8-prof
libghc-word8-prof-0.1.3-f94d0
本虛擬套件由這些套件填實: libghc-word8-prof
libghc-wreq-dev (0.5.3.2-2+b3 [mipsel, s390x], 0.5.3.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.3.2-2+b1 [mips64el])
easy-to-use HTTP client library
libghc-wreq-dev-0.5.3.2-0aa86
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-18147
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-1aef0
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-1f854
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-25c76
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-b7449
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-cdf0f
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-d7ecc
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-dev-0.5.3.2-ec92a
本虛擬套件由這些套件填實: libghc-wreq-dev
libghc-wreq-doc (0.5.3.2-2)
easy-to-use HTTP client library; documentation
libghc-wreq-prof (0.5.3.2-2+b3 [mipsel, s390x], 0.5.3.2-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.5.3.2-2+b1 [mips64el])
easy-to-use HTTP client library; profiling libraries
libghc-wreq-prof-0.5.3.2-0aa86
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-18147
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-1aef0
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-1f854
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-25c76
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-b7449
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-cdf0f
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-d7ecc
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-wreq-prof-0.5.3.2-ec92a
本虛擬套件由這些套件填實: libghc-wreq-prof
libghc-x11-dev (1.9.1-1+b2 [s390x], 1.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell X11 binding for GHC
libghc-x11-dev-1.9.1-11a5c
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-4447e
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-58491
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-68512
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-a7e91
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-c4aab
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-e2961
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-dev-1.9.1-e777b
本虛擬套件由這些套件填實: libghc-x11-dev
libghc-x11-doc (1.9.1-1)
Haskell X11 binding for GHC; documentation
libghc-x11-prof (1.9.1-1+b2 [s390x], 1.9.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell X11 binding for GHC; profiling libraries
libghc-x11-prof-1.9.1-11a5c
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-4447e
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-58491
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-68512
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-a7e91
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-c4aab
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-e2961
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-prof-1.9.1-e777b
本虛擬套件由這些套件填實: libghc-x11-prof
libghc-x11-xft-dev (0.3.1-14+b2 [s390x], 0.3.1-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell Xft binding for GHC
libghc-x11-xft-dev-0.3.1-425f0
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-4ef88
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-5a054
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-5e8fd
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-7ded7
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-ab41a
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-ccf8c
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-dev-0.3.1-f7e5f
本虛擬套件由這些套件填實: libghc-x11-xft-dev
libghc-x11-xft-doc (0.3.1-14)
Haskell Xft binding for GHC; documentation
libghc-x11-xft-prof (0.3.1-14+b2 [s390x], 0.3.1-14+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell Xft binding for GHC; profiling libraries
libghc-x11-xft-prof-0.3.1-425f0
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-4ef88
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-5a054
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-5e8fd
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-7ded7
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-ab41a
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-ccf8c
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x11-xft-prof-0.3.1-f7e5f
本虛擬套件由這些套件填實: libghc-x11-xft-prof
libghc-x509-dev (1.7.5-2+b2 [s390x], 1.7.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.509 reader and writer
libghc-x509-dev-1.7.5-152ac
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-1f5b4
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-2cb55
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-50262
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-6a0f7
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-7a24a
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-86d11
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-ae6f2
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-dev-1.7.5-d7107
本虛擬套件由這些套件填實: libghc-x509-dev
libghc-x509-doc (1.7.5-2)
X.509 reader and writer; documentation
libghc-x509-prof (1.7.5-2+b2 [s390x], 1.7.5-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.509 reader and writer; profiling libraries
libghc-x509-prof-1.7.5-152ac
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-1f5b4
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-2cb55
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-50262
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-6a0f7
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-7a24a
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-86d11
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-ae6f2
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-prof-1.7.5-d7107
本虛擬套件由這些套件填實: libghc-x509-prof
libghc-x509-store-dev (1.6.7-2+b2 [s390x], 1.6.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.509 collection access and storage methods
libghc-x509-store-dev-1.6.7-0ab25
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-1269c
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-288b4
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-290c1
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-3a107
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-4a267
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-644fc
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-72315
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-dev-1.6.7-79096
本虛擬套件由這些套件填實: libghc-x509-store-dev
libghc-x509-store-doc (1.6.7-2)
X.509 collection access and storage methods; documentation
libghc-x509-store-prof (1.6.7-2+b2 [s390x], 1.6.7-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.509 collection access and storage methods; profiling libraries
libghc-x509-store-prof-1.6.7-0ab25
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-1269c
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-288b4
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-290c1
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-3a107
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-4a267
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-644fc
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-72315
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-store-prof-1.6.7-79096
本虛擬套件由這些套件填實: libghc-x509-store-prof
libghc-x509-system-dev (1.6.6-5+b2 [s390x], 1.6.6-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
per-operating-system X.509 accessors and storage
libghc-x509-system-dev-1.6.6-1706a
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-3b600
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-8e20a
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-a3419
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-c3313
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-d2f57
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-d4695
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-eba21
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-dev-1.6.6-fa1db
本虛擬套件由這些套件填實: libghc-x509-system-dev
libghc-x509-system-doc (1.6.6-5)
per-operating-system X.509 accessors and storage; documentation
libghc-x509-system-prof (1.6.6-5+b2 [s390x], 1.6.6-5+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
per-operating-system X.509 accessors and storage; profiling libraries
libghc-x509-system-prof-1.6.6-1706a
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-3b600
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-8e20a
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-a3419
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-c3313
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-d2f57
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-d4695
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-eba21
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-system-prof-1.6.6-fa1db
本虛擬套件由這些套件填實: libghc-x509-system-prof
libghc-x509-validation-dev (1.6.11-2+b2 [s390x], 1.6.11-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.509 certificate and CRL validation
libghc-x509-validation-dev-1.6.11-10a31
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-128d4
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-372f7
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-6dda7
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-7bedc
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-7e553
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-95fbd
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-cde8b
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-dev-1.6.11-eff18
本虛擬套件由這些套件填實: libghc-x509-validation-dev
libghc-x509-validation-doc (1.6.11-2)
X.509 certificate and CRL validation; documentation
libghc-x509-validation-prof (1.6.11-2+b2 [s390x], 1.6.11-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.509 certificate and CRL validation; profiling libraries
libghc-x509-validation-prof-1.6.11-10a31
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-128d4
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-372f7
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-6dda7
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-7bedc
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-7e553
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-95fbd
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-cde8b
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-x509-validation-prof-1.6.11-eff18
本虛擬套件由這些套件填實: libghc-x509-validation-prof
libghc-xcb-types-dev (0.10.0-2+b2 [s390x], 0.10.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parses XML files used by the XCB project
libghc-xcb-types-dev-0.10.0-3a664
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-62dc6
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-69c9c
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-b7fc9
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-def0a
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-f1ffd
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-f4214
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-dev-0.10.0-f520c
本虛擬套件由這些套件填實: libghc-xcb-types-dev
libghc-xcb-types-doc (0.10.0-2)
parses XML files used by the XCB project; documentation
libghc-xcb-types-prof (0.10.0-2+b2 [s390x], 0.10.0-2+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
parses XML files used by the XCB project; profiling libraries
libghc-xcb-types-prof-0.10.0-3a664
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-62dc6
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-69c9c
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-b7fc9
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-def0a
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-f1ffd
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-f4214
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xcb-types-prof-0.10.0-f520c
本虛擬套件由這些套件填實: libghc-xcb-types-prof
libghc-xdg-basedir-dev (0.2.2-11+b2 [s390x], 0.2.2-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
basic implementation of the XDG Base Directory specification
libghc-xdg-basedir-dev-0.2.2-3b898
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-4224d
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-69c6b
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-a954f
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-d39da
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-d9fcb
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-e7060
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-dev-0.2.2-f70a2
本虛擬套件由這些套件填實: libghc-xdg-basedir-dev
libghc-xdg-basedir-doc (0.2.2-11)
basic implementation of the XDG Base Directory specification; documentation
libghc-xdg-basedir-prof (0.2.2-11+b2 [s390x], 0.2.2-11+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
basic implementation of the XDG Base Directory spec; profiling libraries
libghc-xdg-basedir-prof-0.2.2-3b898
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-4224d
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-69c6b
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-a954f
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-d39da
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-d9fcb
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-e7060
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-basedir-prof-0.2.2-f70a2
本虛擬套件由這些套件填實: libghc-xdg-basedir-prof
libghc-xdg-desktop-entry-dev (0.1.1.1-1+b3 [s390x], 0.1.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.1-1+b1 [mips64el, mipsel])
parse files conforming to the XDG desktop entry spec
libghc-xdg-desktop-entry-dev-0.1.1.1-23666
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-3de54
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-75ba7
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-79624
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-a7d8a
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-b7be9
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-d2493
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-d9575
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-dev-0.1.1.1-ebc54
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-dev
libghc-xdg-desktop-entry-doc (0.1.1.1-1)
parse files conforming to the XDG desktop entry spec; documentation
libghc-xdg-desktop-entry-prof (0.1.1.1-1+b3 [s390x], 0.1.1.1-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.1-1+b1 [mips64el, mipsel])
parse files conforming to the XDG desktop entry spec; profiling libraries
libghc-xdg-desktop-entry-prof-0.1.1.1-23666
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-3de54
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-75ba7
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-79624
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-a7d8a
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-b7be9
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-d2493
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-d9575
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xdg-desktop-entry-prof-0.1.1.1-ebc54
本虛擬套件由這些套件填實: libghc-xdg-desktop-entry-prof
libghc-xhtml-dev (= 3000.2.2.1)
本虛擬套件由這些套件填實: ghc
libghc-xhtml-dev-3000.2.2.1-0506f
本虛擬套件由這些套件填實: ghc
libghc-xhtml-dev-3000.2.2.1-56f25
本虛擬套件由這些套件填實: ghc
libghc-xhtml-dev-3000.2.2.1-771e1
本虛擬套件由這些套件填實: ghc
libghc-xhtml-dev-3000.2.2.1-a6d48
本虛擬套件由這些套件填實: ghc
libghc-xhtml-doc (= 3000.2.2.1)
本虛擬套件由這些套件填實: ghc-doc
libghc-xhtml-prof (= 3000.2.2.1)
本虛擬套件由這些套件填實: ghc-prof
libghc-xhtml-prof-3000.2.2.1-0506f
本虛擬套件由這些套件填實: ghc-prof
libghc-xhtml-prof-3000.2.2.1-56f25
本虛擬套件由這些套件填實: ghc-prof
libghc-xhtml-prof-3000.2.2.1-771e1
本虛擬套件由這些套件填實: ghc-prof
libghc-xhtml-prof-3000.2.2.1-a6d48
本虛擬套件由這些套件填實: ghc-prof
libghc-xml-conduit-dev (1.9.0.0-1+b4 [s390x], 1.9.0.0-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.9.0.0-1+b1 [mips64el])
HTTP client package with conduit interface and HTTPS support
libghc-xml-conduit-dev-1.9.0.0-3052c
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-40960
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-5ee62
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-66952
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-6d009
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-bf765
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-ceff7
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-e8660
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-dev-1.9.0.0-f9851
本虛擬套件由這些套件填實: libghc-xml-conduit-dev
libghc-xml-conduit-doc (1.9.0.0-1)
HTTP client package with conduit interface and HTTPS support; documentation
libghc-xml-conduit-prof (1.9.0.0-1+b4 [s390x], 1.9.0.0-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 1.9.0.0-1+b1 [mips64el])
HTTP/HTTPS client package with conduit interface; profiling libraries
libghc-xml-conduit-prof-1.9.0.0-3052c
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-40960
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-5ee62
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-66952
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-6d009
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-bf765
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-ceff7
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-e8660
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-prof-1.9.0.0-f9851
本虛擬套件由這些套件填實: libghc-xml-conduit-prof
libghc-xml-conduit-writer-dev (0.1.1.2-5+b4 [s390x], 0.1.1.2-5+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.2-5+b2 [mipsel], 0.1.1.2-5+b1 [mips64el])
warm and fuzzy creation of XML documents
libghc-xml-conduit-writer-dev-0.1.1.2-07ab2
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-42478
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-466fa
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-6624d
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-89377
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-aa102
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-b5c4b
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-b6c10
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-dev-0.1.1.2-bffe8
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-dev
libghc-xml-conduit-writer-doc (0.1.1.2-5)
warm and fuzzy creation of XML documents; documentation
libghc-xml-conduit-writer-prof (0.1.1.2-5+b4 [s390x], 0.1.1.2-5+b3 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.2-5+b2 [mipsel], 0.1.1.2-5+b1 [mips64el])
warm and fuzzy creation of XML documents; profiling libraries
libghc-xml-conduit-writer-prof-0.1.1.2-07ab2
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-42478
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-466fa
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-6624d
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-89377
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-aa102
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-b5c4b
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-b6c10
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-conduit-writer-prof-0.1.1.2-bffe8
本虛擬套件由這些套件填實: libghc-xml-conduit-writer-prof
libghc-xml-dev (1.3.14-10+b2 [s390x], 1.3.14-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A simple Haskell XML library
libghc-xml-dev-1.3.14-03614
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-21a51
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-6ab42
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-9224d
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-ab536
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-d99a4
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-daf34
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-dev-1.3.14-dcb0b
本虛擬套件由這些套件填實: libghc-xml-dev
libghc-xml-doc (1.3.14-10)
A simple Haskell XML library; documentation
libghc-xml-hamlet-dev (0.5.0.1-1+b4 [s390x], 0.5.0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.5.0.1-1+b1 [mips64el])
Hamlet-style quasiquoter for XML content
libghc-xml-hamlet-dev-0.5.0.1-05546
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-2c06e
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-45480
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-5af13
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-854ce
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-92699
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-d790c
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-eaccd
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-dev-0.5.0.1-ffb88
本虛擬套件由這些套件填實: libghc-xml-hamlet-dev
libghc-xml-hamlet-doc (0.5.0.1-1)
Hamlet-style quasiquoter for XML content; documentation
libghc-xml-hamlet-prof (0.5.0.1-1+b4 [s390x], 0.5.0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.5.0.1-1+b1 [mips64el])
Hamlet-style quasiquoter for XML content; profiling libraries
libghc-xml-hamlet-prof-0.5.0.1-05546
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-2c06e
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-45480
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-5af13
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-854ce
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-92699
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-d790c
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-eaccd
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-hamlet-prof-0.5.0.1-ffb88
本虛擬套件由這些套件填實: libghc-xml-hamlet-prof
libghc-xml-helpers-dev (1.0.0-3+b2 [s390x], 1.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
helper functions for the xml library
libghc-xml-helpers-dev-1.0.0-24e90
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-6c8e2
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-b9cbc
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-bef14
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-c0a40
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-ca733
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-d65dd
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-dev-1.0.0-f15ab
本虛擬套件由這些套件填實: libghc-xml-helpers-dev
libghc-xml-helpers-doc (1.0.0-3)
helper functions for the xml library; documentation
libghc-xml-helpers-prof (1.0.0-3+b2 [s390x], 1.0.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
helper functions for the xml library; profiling libraries
libghc-xml-helpers-prof-1.0.0-24e90
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-6c8e2
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-b9cbc
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-bef14
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-c0a40
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-ca733
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-d65dd
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-helpers-prof-1.0.0-f15ab
本虛擬套件由這些套件填實: libghc-xml-helpers-prof
libghc-xml-html-qq-dev (0.1.0.1-2+b3)
quasi-quoters for XML and HTML Documents
libghc-xml-html-qq-dev-0.1.0.1-6dc4a
本虛擬套件由這些套件填實: libghc-xml-html-qq-dev
libghc-xml-html-qq-dev-0.1.0.1-c00bf
本虛擬套件由這些套件填實: libghc-xml-html-qq-dev
libghc-xml-html-qq-dev-0.1.0.1-ec853
本虛擬套件由這些套件填實: libghc-xml-html-qq-dev
libghc-xml-html-qq-doc (0.1.0.1-2)
quasi-quoters for XML and HTML Documents; documentation
libghc-xml-html-qq-prof (0.1.0.1-2+b3)
quasi-quoters for XML and HTML Documents; profiling libraries
libghc-xml-html-qq-prof-0.1.0.1-6dc4a
本虛擬套件由這些套件填實: libghc-xml-html-qq-prof
libghc-xml-html-qq-prof-0.1.0.1-c00bf
本虛擬套件由這些套件填實: libghc-xml-html-qq-prof
libghc-xml-html-qq-prof-0.1.0.1-ec853
本虛擬套件由這些套件填實: libghc-xml-html-qq-prof
libghc-xml-prof (1.3.14-10+b2 [s390x], 1.3.14-10+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
A simple Haskell XML library; profiling libraries
libghc-xml-prof-1.3.14-03614
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-21a51
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-6ab42
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-9224d
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-ab536
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-d99a4
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-daf34
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-prof-1.3.14-dcb0b
本虛擬套件由這些套件填實: libghc-xml-prof
libghc-xml-types-dev (0.3.8-1)
basic types for representing XML
libghc-xml-types-dev-0.3.8-88295
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-8f4c6
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-ba0be
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-bd07f
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-dddca
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-e243e
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-ef167
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-dev-0.3.8-ff3d4
本虛擬套件由這些套件填實: libghc-xml-types-dev
libghc-xml-types-doc (0.3.8-1)
basic types for representing XML; documentation
libghc-xml-types-prof (0.3.8-1)
basic types for representing XML; profiling libraries
libghc-xml-types-prof-0.3.8-88295
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-8f4c6
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-ba0be
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-bd07f
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-dddca
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-e243e
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-ef167
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xml-types-prof-0.3.8-ff3d4
本虛擬套件由這些套件填實: libghc-xml-types-prof
libghc-xmlgen-dev (0.6.2.2-4+b2 [s390x], 0.6.2.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast XML generation library
libghc-xmlgen-dev-0.6.2.2-28824
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-61cd4
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-a4587
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-c1d15
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-c7e9a
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-ca636
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-eba64
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-dev-0.6.2.2-f0eed
本虛擬套件由這些套件填實: libghc-xmlgen-dev
libghc-xmlgen-doc (0.6.2.2-4)
fast XML generation library; documentation
libghc-xmlgen-prof (0.6.2.2-4+b2 [s390x], 0.6.2.2-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
fast XML generation library; profiling libraries
libghc-xmlgen-prof-0.6.2.2-28824
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-61cd4
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-a4587
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-c1d15
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-c7e9a
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-ca636
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-eba64
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlgen-prof-0.6.2.2-f0eed
本虛擬套件由這些套件填實: libghc-xmlgen-prof
libghc-xmlhtml-dev (0.2.5.2-9+b3 [s390x], 0.2.5.2-9+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.5.2-9+b1 [mips64el, mipsel])
XML parser and renderer with HTML 5 quirks mode
libghc-xmlhtml-dev-0.2.5.2-1f432
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-38c5b
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-3e323
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-50506
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-5293b
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-8173b
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-f5c63
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-dev-0.2.5.2-fac0b
本虛擬套件由這些套件填實: libghc-xmlhtml-dev
libghc-xmlhtml-doc (0.2.5.2-9)
XML parser and renderer with HTML 5 quirks mode; documentation
libghc-xmlhtml-prof (0.2.5.2-9+b3 [s390x], 0.2.5.2-9+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.2.5.2-9+b1 [mips64el, mipsel])
XML parser and renderer with HTML 5 quirks mode; profiling libraries
libghc-xmlhtml-prof-0.2.5.2-1f432
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-38c5b
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-3e323
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-50506
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-5293b
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-8173b
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-f5c63
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmlhtml-prof-0.2.5.2-fac0b
本虛擬套件由這些套件填實: libghc-xmlhtml-prof
libghc-xmonad-contrib-dev (0.16-1+b2 [s390x], 0.16-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Extensions to xmonad
libghc-xmonad-contrib-dev-0.16-0574c
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-344d0
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-3c00a
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-52e82
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-8b0a3
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-bb176
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-c3531
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-dev-0.16-c9735
本虛擬套件由這些套件填實: libghc-xmonad-contrib-dev
libghc-xmonad-contrib-doc (0.16-1)
Extensions to xmonad; documentation
libghc-xmonad-contrib-prof (0.16-1+b2 [s390x], 0.16-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Extensions to xmonad; profiling libraries
libghc-xmonad-contrib-prof-0.16-0574c
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-344d0
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-3c00a
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-52e82
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-8b0a3
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-bb176
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-c3531
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-contrib-prof-0.16-c9735
本虛擬套件由這些套件填實: libghc-xmonad-contrib-prof
libghc-xmonad-dev (0.15-4+b1)
Lightweight X11 window manager
libghc-xmonad-dev-0.15-085ed
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-1661e
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-425a1
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-44c59
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-52e77
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-7e6e0
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-a09b4
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-dev-0.15-d8c16
本虛擬套件由這些套件填實: libghc-xmonad-dev
libghc-xmonad-doc (0.15-4)
Lightweight X11 window manager; documentation
libghc-xmonad-extras-dev (0.15.2-1+b2 [s390x], 0.15.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
third party extensions for xmonad with wacky dependencies
libghc-xmonad-extras-dev-0.15.2-07246
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-3fac0
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-4e973
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-71cf3
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-9b470
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-a1447
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-a6669
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-b1981
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-dev-0.15.2-ee3f6
本虛擬套件由這些套件填實: libghc-xmonad-extras-dev
libghc-xmonad-extras-doc (0.15.2-1)
third party extensions for xmonad with wacky dependencies; documentation
libghc-xmonad-extras-prof (0.15.2-1+b2 [s390x], 0.15.2-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
third party extensions for xmonad with wacky dependencies; profiling libraries
libghc-xmonad-extras-prof-0.15.2-07246
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-3fac0
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-4e973
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-71cf3
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-9b470
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-a1447
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-a6669
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-b1981
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-extras-prof-0.15.2-ee3f6
本虛擬套件由這些套件填實: libghc-xmonad-extras-prof
libghc-xmonad-prof (0.15-4+b1)
Lightweight X11 window manager; profiling libraries
libghc-xmonad-prof-0.15-085ed
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-1661e
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-425a1
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-44c59
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-52e77
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-7e6e0
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-a09b4
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-prof-0.15-d8c16
本虛擬套件由這些套件填實: libghc-xmonad-prof
libghc-xmonad-wallpaper-dev (0.0.1.4-7+b2 [s390x], 0.0.1.4-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
xmonad wallpaper extension
libghc-xmonad-wallpaper-dev-0.0.1.4-096d1
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-24bf6
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-33d7e
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-7920f
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-ab149
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-c5d2d
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-d05af
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-dev-0.0.1.4-e2190
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-dev
libghc-xmonad-wallpaper-doc (0.0.1.4-7)
xmonad wallpaper extension; documentation
libghc-xmonad-wallpaper-prof (0.0.1.4-7+b2 [s390x], 0.0.1.4-7+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
xmonad wallpaper extension; profiling libraries
libghc-xmonad-wallpaper-prof-0.0.1.4-096d1
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-24bf6
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-33d7e
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-7920f
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-ab149
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-c5d2d
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-d05af
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xmonad-wallpaper-prof-0.0.1.4-e2190
本虛擬套件由這些套件填實: libghc-xmonad-wallpaper-prof
libghc-xss-sanitize-dev (0.3.6-3+b2 [s390x], 0.3.6-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to sanitize HTML and prevent XSS attacks
libghc-xss-sanitize-dev-0.3.6-47163
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-6732b
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-69307
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-7651a
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-7bba5
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-cf5b2
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-ece49
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-dev-0.3.6-f1e9b
本虛擬套件由這些套件填實: libghc-xss-sanitize-dev
libghc-xss-sanitize-doc (0.3.6-3)
Library to sanitize HTML and prevent XSS attacks; documentation
libghc-xss-sanitize-prof (0.3.6-3+b2 [s390x], 0.3.6-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Library to sanitize HTML and prevent XSS attacks; profiling libraries
libghc-xss-sanitize-prof-0.3.6-47163
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-6732b
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-69307
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-7651a
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-7bba5
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-cf5b2
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-ece49
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-xss-sanitize-prof-0.3.6-f1e9b
本虛擬套件由這些套件填實: libghc-xss-sanitize-prof
libghc-yaml-dev (0.11.4.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.11.4.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
interface to LibYAML
libghc-yaml-dev-0.11.4.0-2a10a
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-2ddc0
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-76b1a
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-7aad4
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-8337c
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-c0085
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-cb991
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-e915d
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-dev-0.11.4.0-ed722
本虛擬套件由這些套件填實: libghc-yaml-dev
libghc-yaml-doc (0.11.4.0-1)
interface to LibYAML; documentation
libghc-yaml-prof (0.11.4.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.11.4.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
interface to LibYAML; profiling libraries
libghc-yaml-prof-0.11.4.0-2a10a
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-2ddc0
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-76b1a
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-7aad4
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-8337c
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-c0085
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-cb991
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-e915d
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yaml-prof-0.11.4.0-ed722
本虛擬套件由這些套件填實: libghc-yaml-prof
libghc-yesod-auth-dev (1.6.10-1+b3 [arm64, mipsel, ppc64el, s390x], 1.6.10-1+b2 [armel, mips64el], 1.6.10-1+b1 [amd64, armhf, i386])
authentication for Yesod
libghc-yesod-auth-dev-1.6.10-1d4a4
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-3bcca
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-48ad3
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-5bd3e
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-610b2
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-74365
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-82e12
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-ac99d
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-dev-1.6.10-ebada
本虛擬套件由這些套件填實: libghc-yesod-auth-dev
libghc-yesod-auth-doc (1.6.10-1)
authentication for Yesod; documentation
libghc-yesod-auth-hashdb-dev (1.7.1.2-1+b3 [arm64, mipsel, ppc64el, s390x], 1.7.1.2-1+b2 [armel, mips64el], 1.7.1.2-1+b1 [amd64, armhf, i386])
HashDB authentication plugin for Yesod web framework
libghc-yesod-auth-hashdb-dev-1.7.1.2-3fc24
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-49964
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-4af6d
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-6be09
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-76bc6
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-cec30
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-d7def
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-f4a4b
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-dev-1.7.1.2-f741d
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-dev
libghc-yesod-auth-hashdb-doc (1.7.1.2-1)
HashDB authentication plugin for Yesod web framework; documentation
libghc-yesod-auth-hashdb-prof (1.7.1.2-1+b3 [arm64, mipsel, ppc64el, s390x], 1.7.1.2-1+b2 [armel, mips64el], 1.7.1.2-1+b1 [amd64, armhf, i386])
HashDB authentication plugin for Yesod web framework; profiling libraries
libghc-yesod-auth-hashdb-prof-1.7.1.2-3fc24
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-49964
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-4af6d
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-6be09
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-76bc6
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-cec30
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-d7def
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-f4a4b
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-hashdb-prof-1.7.1.2-f741d
本虛擬套件由這些套件填實: libghc-yesod-auth-hashdb-prof
libghc-yesod-auth-oauth-dev (1.6.0.1-2+b3 [s390x], 1.6.0.1-2+b2 [arm64, armel, mipsel, ppc64el], 1.6.0.1-2+b1 [amd64, armhf, i386, mips64el])
OAuth Authentication for Yesod
libghc-yesod-auth-oauth-dev-1.6.0.1-0a0f7
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-137fd
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-16042
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-1d926
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-33d83
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-3538c
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-6b75a
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-b9992
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-dev-1.6.0.1-cae14
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-dev
libghc-yesod-auth-oauth-doc (1.6.0.1-2)
OAuth Authentication for Yesod; documentation
libghc-yesod-auth-oauth-prof (1.6.0.1-2+b3 [s390x], 1.6.0.1-2+b2 [arm64, armel, mipsel, ppc64el], 1.6.0.1-2+b1 [amd64, armhf, i386, mips64el])
OAuth Authentication for Yesod; profiling libraries
libghc-yesod-auth-oauth-prof-1.6.0.1-0a0f7
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-137fd
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-16042
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-1d926
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-33d83
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-3538c
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-6b75a
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-b9992
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-oauth-prof-1.6.0.1-cae14
本虛擬套件由這些套件填實: libghc-yesod-auth-oauth-prof
libghc-yesod-auth-prof (1.6.10-1+b3 [arm64, mipsel, ppc64el, s390x], 1.6.10-1+b2 [armel, mips64el], 1.6.10-1+b1 [amd64, armhf, i386])
authentication for Yesod; profiling libraries
libghc-yesod-auth-prof-1.6.10-1d4a4
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-3bcca
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-48ad3
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-5bd3e
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-610b2
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-74365
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-82e12
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-ac99d
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-auth-prof-1.6.10-ebada
本虛擬套件由這些套件填實: libghc-yesod-auth-prof
libghc-yesod-core-dev (1.6.18-1+b4 [amd64, arm64, ppc64el, s390x], 1.6.18-1+b3 [armel, armhf, i386, mipsel], 1.6.18-1+b2 [mips64el])
framework for type-safe, RESTful web applications
libghc-yesod-core-dev-1.6.18-03586
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-756a8
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-7ac64
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-c540b
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-d6f12
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-dd461
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-de3cd
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-f00d0
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-dev-1.6.18-fea13
本虛擬套件由這些套件填實: libghc-yesod-core-dev
libghc-yesod-core-doc (1.6.18-1)
framework for type-safe, RESTful web applications; documentation
libghc-yesod-core-prof (1.6.18-1+b4 [amd64, arm64, ppc64el, s390x], 1.6.18-1+b3 [armel, armhf, i386, mipsel], 1.6.18-1+b2 [mips64el])
framework for type-safe, RESTful web applications; profiling libraries
libghc-yesod-core-prof-1.6.18-03586
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-756a8
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-7ac64
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-c540b
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-d6f12
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-dd461
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-de3cd
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-f00d0
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-core-prof-1.6.18-fea13
本虛擬套件由這些套件填實: libghc-yesod-core-prof
libghc-yesod-default-dev (1.2.0-11+b3 [amd64, arm64, mipsel, ppc64el, s390x], 1.2.0-11+b2 [armel, armhf, i386, mips64el])
default config and main functions for your Yesod application
libghc-yesod-default-dev-1.2.0-78d1e
本虛擬套件由這些套件填實: libghc-yesod-default-dev
libghc-yesod-default-dev-1.2.0-81e4a
本虛擬套件由這些套件填實: libghc-yesod-default-dev
libghc-yesod-default-dev-1.2.0-a6806
本虛擬套件由這些套件填實: libghc-yesod-default-dev
libghc-yesod-default-dev-1.2.0-a6ee6
本虛擬套件由這些套件填實: libghc-yesod-default-dev
libghc-yesod-default-dev-1.2.0-c0634
本虛擬套件由這些套件填實: libghc-yesod-default-dev
libghc-yesod-default-dev-1.2.0-d17b0
本虛擬套件由這些套件填實: libghc-yesod-default-dev
libghc-yesod-default-doc (1.2.0-11)
default config and main functions for your Yesod application; documentation
libghc-yesod-default-prof (1.2.0-11+b3 [amd64, arm64, mipsel, ppc64el, s390x], 1.2.0-11+b2 [armel, armhf, i386, mips64el])
default config/main functions for your Yesod application; profiling libraries
libghc-yesod-default-prof-1.2.0-78d1e
本虛擬套件由這些套件填實: libghc-yesod-default-prof
libghc-yesod-default-prof-1.2.0-81e4a
本虛擬套件由這些套件填實: libghc-yesod-default-prof
libghc-yesod-default-prof-1.2.0-a6806
本虛擬套件由這些套件填實: libghc-yesod-default-prof
libghc-yesod-default-prof-1.2.0-a6ee6
本虛擬套件由這些套件填實: libghc-yesod-default-prof
libghc-yesod-default-prof-1.2.0-c0634
本虛擬套件由這些套件填實: libghc-yesod-default-prof
libghc-yesod-default-prof-1.2.0-d17b0
本虛擬套件由這些套件填實: libghc-yesod-default-prof
libghc-yesod-dev (1.6.1.0-1)
framework for type-safe, RESTful web applications
libghc-yesod-dev-1.6.1.0-189ca
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-2e8ae
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-38454
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-7cfbd
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-9b442
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-c8de9
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-e0465
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-f7581
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-dev-1.6.1.0-fad76
本虛擬套件由這些套件填實: libghc-yesod-dev
libghc-yesod-doc (1.6.1.0-1)
framework for type-safe, RESTful web applications; documentation
libghc-yesod-form-dev (1.6.7-1+b4 [amd64, arm64, ppc64el, s390x], 1.6.7-1+b3 [armel, armhf, i386, mipsel], 1.6.7-1+b2 [mips64el])
framework for type-safe, RESTful web applications
libghc-yesod-form-dev-1.6.7-47166
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-6e121
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-832d7
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-958d2
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-98e9b
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-b6984
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-b9006
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-c2f66
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-dev-1.6.7-cc117
本虛擬套件由這些套件填實: libghc-yesod-form-dev
libghc-yesod-form-doc (1.6.7-1)
framework for type-safe, RESTful web applications; documentation
libghc-yesod-form-prof (1.6.7-1+b4 [amd64, arm64, ppc64el, s390x], 1.6.7-1+b3 [armel, armhf, i386, mipsel], 1.6.7-1+b2 [mips64el])
framework for type-safe, RESTful web applications; profiling libraries
libghc-yesod-form-prof-1.6.7-47166
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-6e121
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-832d7
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-958d2
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-98e9b
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-b6984
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-b9006
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-c2f66
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-form-prof-1.6.7-cc117
本虛擬套件由這些套件填實: libghc-yesod-form-prof
libghc-yesod-newsfeed-dev (1.7.0.0-1+b4 [amd64, arm64, ppc64el, s390x], 1.7.0.0-1+b3 [armel, armhf, i386, mipsel], 1.7.0.0-1+b2 [mips64el])
helper functions and data types for producing newsfeeds
libghc-yesod-newsfeed-dev-1.7.0.0-07538
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-08ac0
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-0c780
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-0de58
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-0eec7
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-3d8c4
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-b80a3
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-dd9e0
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-dev-1.7.0.0-f780d
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-dev
libghc-yesod-newsfeed-doc (1.7.0.0-1)
helper functions and data types for producing newsfeeds; documentation
libghc-yesod-newsfeed-prof (1.7.0.0-1+b4 [amd64, arm64, ppc64el, s390x], 1.7.0.0-1+b3 [armel, armhf, i386, mipsel], 1.7.0.0-1+b2 [mips64el])
helper functions and data types for producing newsfeeds; profiling libraries
libghc-yesod-newsfeed-prof-1.7.0.0-07538
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-08ac0
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-0c780
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-0de58
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-0eec7
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-3d8c4
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-b80a3
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-dd9e0
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-newsfeed-prof-1.7.0.0-f780d
本虛擬套件由這些套件填實: libghc-yesod-newsfeed-prof
libghc-yesod-persistent-dev (1.6.0.4-1+b4 [amd64, arm64, ppc64el, s390x], 1.6.0.4-1+b3 [armel, armhf, i386, mipsel], 1.6.0.4-1+b2 [mips64el])
framework for type-safe, RESTful web applications
libghc-yesod-persistent-dev-1.6.0.4-075c2
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-6625d
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-92e59
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-96df4
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-9d04e
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-b38d2
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-d463f
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-e8dd1
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-dev-1.6.0.4-fcfbc
本虛擬套件由這些套件填實: libghc-yesod-persistent-dev
libghc-yesod-persistent-doc (1.6.0.4-1)
framework for type-safe, RESTful web applications; documentation
libghc-yesod-persistent-prof (1.6.0.4-1+b4 [amd64, arm64, ppc64el, s390x], 1.6.0.4-1+b3 [armel, armhf, i386, mipsel], 1.6.0.4-1+b2 [mips64el])
framework for type-safe, RESTful web applications; profiling libraries
libghc-yesod-persistent-prof-1.6.0.4-075c2
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-6625d
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-92e59
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-96df4
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-9d04e
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-b38d2
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-d463f
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-e8dd1
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-persistent-prof-1.6.0.4-fcfbc
本虛擬套件由這些套件填實: libghc-yesod-persistent-prof
libghc-yesod-prof (1.6.1.0-1)
framework for type-safe, RESTful web applications; profiling libraries
libghc-yesod-prof-1.6.1.0-189ca
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-2e8ae
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-38454
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-7cfbd
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-9b442
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-c8de9
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-e0465
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-f7581
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-prof-1.6.1.0-fad76
本虛擬套件由這些套件填實: libghc-yesod-prof
libghc-yesod-static-dev (1.6.1.0-1+b2 [mipsel], 1.6.1.0-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.6.1.0-1 [mips64el])
Static file serving subsite for Yesod Web Framework.
libghc-yesod-static-dev-1.6.1.0-004c2
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-14045
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-15f33
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-16332
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-4f6bc
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-79cef
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-9a1e0
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-ef177
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-dev-1.6.1.0-f8fc4
本虛擬套件由這些套件填實: libghc-yesod-static-dev
libghc-yesod-static-doc (1.6.1.0-1)
Static file serving subsite for Yesod Web Framework; documentation
libghc-yesod-static-prof (1.6.1.0-1+b2 [mipsel], 1.6.1.0-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.6.1.0-1 [mips64el])
Static file serving subsite for Yesod Web Framework; profiling libraries
libghc-yesod-static-prof-1.6.1.0-004c2
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-14045
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-15f33
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-16332
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-4f6bc
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-79cef
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-9a1e0
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-ef177
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-static-prof-1.6.1.0-f8fc4
本虛擬套件由這些套件填實: libghc-yesod-static-prof
libghc-yesod-test-dev (1.6.10-1)
integration testing for WAI/Yesod applications
libghc-yesod-test-dev-1.6.10-0caee
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-2be7c
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-32d14
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-4b294
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-c0148
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-c0f8b
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-c4356
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-c7f66
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-dev-1.6.10-dfca2
本虛擬套件由這些套件填實: libghc-yesod-test-dev
libghc-yesod-test-doc (1.6.10-1)
integration testing for WAI/Yesod applications; documentation
libghc-yesod-test-prof (1.6.10-1)
integration testing for WAI/Yesod applications; profiling libraries
libghc-yesod-test-prof-1.6.10-0caee
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-2be7c
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-32d14
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-4b294
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-c0148
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-c0f8b
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-c4356
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-c7f66
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yesod-test-prof-1.6.10-dfca2
本虛擬套件由這些套件填實: libghc-yesod-test-prof
libghc-yi-core-dev (0.19.2-1+b1 [armel, ppc64el], 0.19.2-1 [amd64, arm64, armhf, i386, mips64el, mipsel, s390x])
Yi editor core library
libghc-yi-core-dev-0.19.2-0d3cf
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-0fc8d
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-21133
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-7890f
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-c9bc9
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-e8ee0
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-e92b8
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-dev-0.19.2-f238d
本虛擬套件由這些套件填實: libghc-yi-core-dev
libghc-yi-core-doc (0.19.2-1)
Yi editor core library; documentation
libghc-yi-core-prof (0.19.2-1+b1 [armel, ppc64el], 0.19.2-1 [amd64, arm64, armhf, i386, mips64el, mipsel, s390x])
Yi editor core library; profiling libraries
libghc-yi-core-prof-0.19.2-0d3cf
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-0fc8d
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-21133
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-7890f
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-c9bc9
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-e8ee0
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-e92b8
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-core-prof-0.19.2-f238d
本虛擬套件由這些套件填實: libghc-yi-core-prof
libghc-yi-frontend-pango-dev (0.19.1-1)
Pango frontend for Yi editor
libghc-yi-frontend-pango-dev-0.19.1-1183d
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-181e8
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-33f61
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-ace42
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-b7cbd
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-e3ee2
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-f26e6
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-dev-0.19.1-ff567
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-dev
libghc-yi-frontend-pango-doc (0.19.1-1)
Pango frontend for Yi editor; documentation
libghc-yi-frontend-pango-prof (0.19.1-1)
Pango frontend for Yi editor; profiling libraries
libghc-yi-frontend-pango-prof-0.19.1-1183d
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-181e8
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-33f61
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-ace42
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-b7cbd
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-e3ee2
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-f26e6
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-pango-prof-0.19.1-ff567
本虛擬套件由這些套件填實: libghc-yi-frontend-pango-prof
libghc-yi-frontend-vty-dev (0.19.0-1)
Vty frontend for Yi editor
libghc-yi-frontend-vty-dev-0.19.0-00913
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-429e2
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-47031
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-5fe65
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-63221
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-87500
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-a5507
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-dev-0.19.0-c37cc
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-dev
libghc-yi-frontend-vty-doc (0.19.0-1)
Vty frontend for Yi editor; documentation
libghc-yi-frontend-vty-prof (0.19.0-1)
Vty frontend for Yi editor; profiling libraries
libghc-yi-frontend-vty-prof-0.19.0-00913
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-429e2
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-47031
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-5fe65
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-63221
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-87500
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-a5507
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-frontend-vty-prof-0.19.0-c37cc
本虛擬套件由這些套件填實: libghc-yi-frontend-vty-prof
libghc-yi-keymap-emacs-dev (0.19.0-1)
Emacs keymap for Yi editor
libghc-yi-keymap-emacs-dev-0.19.0-10bc8
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-29f6e
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-36e11
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-901ae
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-a99f2
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-a9fe3
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-b14dd
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-dev-0.19.0-f50da
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-dev
libghc-yi-keymap-emacs-doc (0.19.0-1)
Emacs keymap for Yi editor; documentation
libghc-yi-keymap-emacs-prof (0.19.0-1)
Emacs keymap for Yi editor; profiling libraries
libghc-yi-keymap-emacs-prof-0.19.0-10bc8
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-29f6e
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-36e11
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-901ae
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-a99f2
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-a9fe3
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-b14dd
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-emacs-prof-0.19.0-f50da
本虛擬套件由這些套件填實: libghc-yi-keymap-emacs-prof
libghc-yi-keymap-vim-dev (0.19.0-1)
Vim keymap for Yi editor
libghc-yi-keymap-vim-dev-0.19.0-19138
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-272f1
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-5698f
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-681bd
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-6f5fe
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-87197
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-e89b8
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-dev-0.19.0-f4993
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-dev
libghc-yi-keymap-vim-doc (0.19.0-1)
Vim keymap for Yi editor; documentation
libghc-yi-keymap-vim-prof (0.19.0-1)
Vim keymap for Yi editor; profiling libraries
libghc-yi-keymap-vim-prof-0.19.0-19138
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-272f1
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-5698f
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-681bd
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-6f5fe
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-87197
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-e89b8
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-keymap-vim-prof-0.19.0-f4993
本虛擬套件由這些套件填實: libghc-yi-keymap-vim-prof
libghc-yi-language-dev (0.19.0-1)
collection of language-related Yi libraries.
libghc-yi-language-dev-0.19.0-07280
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-2fcc9
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-7a014
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-946cd
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-beb92
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-c7c05
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-d07ee
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-dev-0.19.0-f8b5b
本虛擬套件由這些套件填實: libghc-yi-language-dev
libghc-yi-language-doc (0.19.0-1)
collection of language-related Yi libraries.; documentation
libghc-yi-language-prof (0.19.0-1)
collection of language-related Yi libraries.; profiling libraries
libghc-yi-language-prof-0.19.0-07280
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-2fcc9
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-7a014
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-946cd
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-beb92
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-c7c05
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-d07ee
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-language-prof-0.19.0-f8b5b
本虛擬套件由這些套件填實: libghc-yi-language-prof
libghc-yi-misc-modes-dev (0.19.0-1)
Yi editor miscellaneous modes
libghc-yi-misc-modes-dev-0.19.0-0832f
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-2d7f9
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-34dcb
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-52054
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-5df6f
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-66ae9
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-dc708
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-dev-0.19.0-dc744
本虛擬套件由這些套件填實: libghc-yi-misc-modes-dev
libghc-yi-misc-modes-doc (0.19.0-1)
Yi editor miscellaneous modes; documentation
libghc-yi-misc-modes-prof (0.19.0-1)
Yi editor miscellaneous modes; profiling libraries
libghc-yi-misc-modes-prof-0.19.0-0832f
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-2d7f9
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-34dcb
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-52054
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-5df6f
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-66ae9
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-dc708
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-misc-modes-prof-0.19.0-dc744
本虛擬套件由這些套件填實: libghc-yi-misc-modes-prof
libghc-yi-mode-haskell-dev (0.19.0-1)
Yi editor haskell mode
libghc-yi-mode-haskell-dev-0.19.0-01162
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-25868
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-29b13
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-418e5
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-421c9
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-b73b8
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-c4c20
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-dev-0.19.0-fd99c
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-dev
libghc-yi-mode-haskell-doc (0.19.0-1)
Yi editor haskell mode; documentation
libghc-yi-mode-haskell-prof (0.19.0-1)
Yi editor haskell mode; profiling libraries
libghc-yi-mode-haskell-prof-0.19.0-01162
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-25868
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-29b13
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-418e5
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-421c9
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-b73b8
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-c4c20
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-haskell-prof-0.19.0-fd99c
本虛擬套件由這些套件填實: libghc-yi-mode-haskell-prof
libghc-yi-mode-javascript-dev (0.19.0-1)
Yi editor javascript mode
libghc-yi-mode-javascript-dev-0.19.0-017e3
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-1c44e
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-4d10a
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-532a9
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-59d56
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-821ff
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-b0bda
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-dev-0.19.0-e8f04
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-dev
libghc-yi-mode-javascript-doc (0.19.0-1)
Yi editor javascript mode; documentation
libghc-yi-mode-javascript-prof (0.19.0-1)
Yi editor javascript mode; profiling libraries
libghc-yi-mode-javascript-prof-0.19.0-017e3
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-1c44e
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-4d10a
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-532a9
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-59d56
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-821ff
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-b0bda
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-mode-javascript-prof-0.19.0-e8f04
本虛擬套件由這些套件填實: libghc-yi-mode-javascript-prof
libghc-yi-rope-dev (0.11-3)
Rope data structure used by Yi
libghc-yi-rope-dev-0.11-32c80
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-546f3
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-59022
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-73fd9
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-a3330
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-abc6e
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-c1e6d
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-dev-0.11-f0238
本虛擬套件由這些套件填實: libghc-yi-rope-dev
libghc-yi-rope-doc (0.11-3)
Rope data structure used by Yi; documentation
libghc-yi-rope-prof (0.11-3)
Rope data structure used by Yi; profiling libraries
libghc-yi-rope-prof-0.11-32c80
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-546f3
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-59022
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-73fd9
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-a3330
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-abc6e
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-c1e6d
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-yi-rope-prof-0.11-f0238
本虛擬套件由這些套件填實: libghc-yi-rope-prof
libghc-zeromq4-haskell-dev (0.8.0-1+b2 [s390x], 0.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to ZeroMQ 4.x
libghc-zeromq4-haskell-dev-0.8.0-1df65
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-2c587
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-4d3d5
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-89bb4
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-922c4
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-98197
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-bfcae
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-dev-0.8.0-d85a0
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-dev
libghc-zeromq4-haskell-doc (0.8.0-1)
bindings to ZeroMQ 4.x; documentation
libghc-zeromq4-haskell-prof (0.8.0-1+b2 [s390x], 0.8.0-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
bindings to ZeroMQ 4.x; profiling libraries
libghc-zeromq4-haskell-prof-0.8.0-1df65
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-2c587
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-4d3d5
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-89bb4
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-922c4
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-98197
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-bfcae
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zeromq4-haskell-prof-0.8.0-d85a0
本虛擬套件由這些套件填實: libghc-zeromq4-haskell-prof
libghc-zip-archive-dev (0.4.1-2+b3 [s390x], 0.4.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.1-2+b1 [mips64el, mipsel])
library to create, modify and extract files from zip archives
libghc-zip-archive-dev-0.4.1-0c574
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-2e399
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-46245
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-6f4ba
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-8affe
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-9a614
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-dba80
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-dev-0.4.1-f1127
本虛擬套件由這些套件填實: libghc-zip-archive-dev
libghc-zip-archive-doc (0.4.1-2)
library to create, modify and extract files from zip archives; documentation
libghc-zip-archive-prof (0.4.1-2+b3 [s390x], 0.4.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.4.1-2+b1 [mips64el, mipsel])
library to create and modify zip archives; profiling libraries
libghc-zip-archive-prof-0.4.1-0c574
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-2e399
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-46245
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-6f4ba
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-8affe
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-9a614
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-dba80
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zip-archive-prof-0.4.1-f1127
本虛擬套件由這些套件填實: libghc-zip-archive-prof
libghc-zlib-bindings-dev (0.1.1.5-10+b3 [s390x], 0.1.1.5-10+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.5-10+b1 [mips64el, mipsel])
low-level bindings to zlib
libghc-zlib-bindings-dev-0.1.1.5-2e6a1
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-391a3
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-481ed
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-7484e
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-76d48
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-80fd3
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-8726f
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-dev-0.1.1.5-cd5a0
本虛擬套件由這些套件填實: libghc-zlib-bindings-dev
libghc-zlib-bindings-doc (0.1.1.5-10)
low-level bindings to zlib; documentation
libghc-zlib-bindings-prof (0.1.1.5-10+b3 [s390x], 0.1.1.5-10+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.1.1.5-10+b1 [mips64el, mipsel])
low-level bindings to zlib; profiling libraries
libghc-zlib-bindings-prof-0.1.1.5-2e6a1
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-391a3
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-481ed
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-7484e
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-76d48
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-80fd3
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-8726f
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-bindings-prof-0.1.1.5-cd5a0
本虛擬套件由這些套件填實: libghc-zlib-bindings-prof
libghc-zlib-dev (0.6.2.2-1)
Compression and decompression in the gzip and zlib formats
libghc-zlib-dev-0.6.2.2-25cf1
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-7d0bf
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-9d797
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-a783a
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-ab57c
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-bbbdb
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-bddec
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-dev-0.6.2.2-eae20
本虛擬套件由這些套件填實: libghc-zlib-dev
libghc-zlib-doc (0.6.2.2-1)
Compression and decompression in the gzip and zlib formats; documentation
libghc-zlib-prof (0.6.2.2-1)
Compression and decompression in the gzip and zlib formats; profiling libraries
libghc-zlib-prof-0.6.2.2-25cf1
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-7d0bf
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-9d797
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-a783a
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-ab57c
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-bbbdb
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-bddec
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zlib-prof-0.6.2.2-eae20
本虛擬套件由這些套件填實: libghc-zlib-prof
libghc-zxcvbn-c-dev (1.0.1-6+b2 [s390x], 1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to password strength estimation library
libghc-zxcvbn-c-dev-1.0.1-104c7
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-dev-1.0.1-11f0b
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-dev-1.0.1-166a6
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-dev-1.0.1-1efdc
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-dev-1.0.1-914c6
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-dev-1.0.1-9c176
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-dev-1.0.1-baf7e
本虛擬套件由這些套件填實: libghc-zxcvbn-c-dev
libghc-zxcvbn-c-doc (1.0.1-6)
Haskell bindings to password strength estimation library; documentation
libghc-zxcvbn-c-prof (1.0.1-6+b2 [s390x], 1.0.1-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Haskell bindings to password strength estimation library; profiling libraries
libghc-zxcvbn-c-prof-1.0.1-104c7
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghc-zxcvbn-c-prof-1.0.1-11f0b
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghc-zxcvbn-c-prof-1.0.1-166a6
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghc-zxcvbn-c-prof-1.0.1-1efdc
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghc-zxcvbn-c-prof-1.0.1-914c6
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghc-zxcvbn-c-prof-1.0.1-9c176
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghc-zxcvbn-c-prof-1.0.1-baf7e
本虛擬套件由這些套件填實: libghc-zxcvbn-c-prof
libghemical-data (3.0.0-4.3)
Molecular Modelling Library (data files)
libghemical-dev (3.0.0-4.3)
Molecular Modelling Library (development files)
libghemical5v5 (3.0.0-4.3)
Molecular Modelling Library
libghmm-dev (0.9~rc3-4)
General Hidden-Markov-Model library - header files
libghmm1 (0.9~rc3-4)
General Hidden-Markov-Model library
libgiac-dev (1.6.0.41+dfsg1-1)
Computer Algebra System C++ library - Development files
libgiac0 (1.6.0.41+dfsg1-1)
Computer Algebra System C++ library
libgif-dev (5.1.9-2)
library for GIF images (development)
libgif7 (5.1.9-2)
library for GIF images (library)
libgiftiio-dev (1.0.9-4)
IO library for the GIFTI cortical surface data format
libgiftiio0 (1.0.9-4)
IO library for the GIFTI cortical surface data format
libgig-dev (4.2.0~ds1-2)
development files for libgig
libgig-doc (4.2.0~ds1-2)
HTML documentation for libgig
libgig9 (4.2.0~ds1-2)
library for loading and modifying Gigasampler and DLS files
libgimp2.0 (2.10.22-4+deb11u2)
Libraries for the GNU Image Manipulation Program
libgimp2.0-dev (2.10.22-4+deb11u2)
Headers and other files for compiling plugins for GIMP
libgimp2.0-doc (2.10.22-4+deb11u2)
Developers' Documentation for the GIMP library
libginac-dev (1.8.0-2)
GiNaC symbolic framework development files
libginac11 (1.8.0-2)
GiNaC symbolic framework runtime library
libgio-cil (2.22.3-3.1)
CLI binding for the GIO I/O stack 2.22
libgio-qt-dev (0.0.9-1)
Gio wrapper for Qt5 (development files)
libgio-qt-doc (0.0.9-1)
Gio wrapper for Qt5 (documentation files)
libgio-qt0 (0.0.9-1)
Gio wrapper for Qt5
libgio2.0-cil-dev (2.22.3-3.1)
CLI binding for the GIO I/O stack 2.22
libgio3.0-cil (2.99.3-4)
CLI binding for the GIO libraries 2.24
libgio3.0-cil-dev (2.99.3-4)
CLI binding for GIO 2.24
libgirara-dev (0.3.5-1)
library for minimalistic user interfaces (development files)
libgirara-doc (0.3.5-1)
library for minimalistic user interfaces (documentation)
libgirara-gtk3-3 (0.3.5-1)
library for minimalistic user interfaces (shared libraries)
libgirepository-1.0-1 (1.66.1-1+b1)
Library for handling GObject introspection data (runtime library)
libgirepository1.0-dev (1.66.1-1+b1)
Library for handling GObject introspection data (development files)
libgirepository1.0-doc (1.66.1-1)
Library for handling GObject introspection data (documentation)
libgisi-dev (0.1.0-2+b1)
low-level communication library for ISI modems (devel files)
libgisi0 (0.1.0-2+b1)
low-level communication library for ISI modems
libgisicomm-dev (0.1.0-2+b1)
high-level communication library for ISI modems (devel files)
libgisicomm0 (0.1.0-2+b1)
high-level communication library for ISI modems
libgit-annex-perl (0.007-1)
Perl interface to git-annex repositories
libgit-objectstore-perl (0.007-2)
abstraction layer for Git::Raw and libgit2
libgit-pure-perl
本虛擬套件由這些套件填實: libgit-pureperl-perl
libgit-pureperl-perl (0.53-1)
Pure Perl interface to Git repositories
libgit-raw-perl (0.87+ds-1+b1)
Perl bindings to the Git linkable library (libgit2)
libgit-repository-perl (1.324-2)
Perl interface to Git repositories
libgit-repository-plugin-log-perl (1.314-1)
Git::Repository plugin adding log items
libgit-sub-perl (0.163320-2.1)
git commands imported as System::Sub subs in the git:: namespace
libgit-version-compare-perl (1.004-1)
module to compare Git versions
libgit-wrapper-perl (0.048-1)
Perl module to wrap git command-line interface
libgit2-1.1 (1.1.0+dfsg.1-4+deb11u2) [security]
low-level Git library
libgit2-dev (1.1.0+dfsg.1-4+deb11u2) [security]
low-level Git library (development files)
libgit2-fixtures (1.1.0+dfsg.1-4+deb11u2) [security]
low-level Git library - test suite examples
libgit2-glib-1.0-0 (0.99.0.1-1+b1)
glib wrapper library around the libgit2 git access library
libgit2-glib-1.0-dev (0.99.0.1-1+b1)
glib wrapper library around the libgit2 git access library - development files
libgit2-glib-1.0-doc (0.99.0.1-1)
glib wrapper library around the libgit2 git access library - documentation
libgitlab-api-v4-perl (0.26-1)
GitLab API v4 module and command-line client
libgivaro-dev (4.1.1-2)
arithmetic and algebraic computations - development files
libgivaro-doc (4.1.1-2)
Documentation for Givaro
libgivaro9 (4.1.1-2)
arithmetic and algebraic computations
libgiza0 (1.2.0-1)
Lightweight scientific plotting library (shared library)
libgjs-dev (1.66.2-1)
Mozilla-based javascript bindings for the GNOME platform
libgjs0g (1.66.2-1)
Mozilla-based javascript bindings for the GNOME platform
libgkarrays-dev (2.1.0+dfsg-4)
library to query large collection of NGS sequences (development)
libgkarrays1 (2.1.0+dfsg-4)
library to query large collection of NGS sequences
libgkeyfile-cil-dev (0.1-5.1)
GObject-based wrapper library for GKeyFile -- CLI development files
libgkeyfile1.0-cil (0.1-5.1)
GObject-based wrapper library for GKeyFile -- CLI bindings
libgkl-java (0.8.7+dfsg-1)
Java library to manipulate SAM and BAM files
libgkl-jni (0.8.7+dfsg-1)
native libraries of Java library to manipulate SAM and BAM files
libgl-dev (1.3.2-1)
Vendor neutral GL dispatch library -- GL development files
libgl-gst (3.2.5-1.3+b2)
OpenGL bindings for GNU Smalltalk
libgl1 (1.3.2-1)
Vendor neutral GL dispatch library -- legacy GL support
libgl1-mesa-dev (20.3.5-1)
transitional dummy package
libgl1-mesa-dri (20.3.5-1)
free implementation of the OpenGL API -- DRI modules
libgl1-mesa-glx (20.3.5-1)
transitional dummy package
libgl1-nvidia-glvnd-glx (470.223.02-1) [non-free]
NVIDIA binary OpenGL/GLX library (GLVND variant)
libgl1-nvidia-glx-any
本虛擬套件由這些套件填實: libgl1-nvidia-legacy-390xx-glx, libgl1-nvidia-tesla-470-glvnd-glx, libgl1-nvidia-glvnd-glx, libgl1-nvidia-legacy-390xx-glvnd-glx, libgl1-nvidia-tesla-418-glx, libgl1-nvidia-tesla-418-glvnd-glx, libgl1-nvidia-tesla-450-glvnd-glx
libgl1-nvidia-legacy-390xx-glvnd-glx (390.157-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (GLVND variant) (390xx legacy version)
libgl1-nvidia-legacy-390xx-glx (390.157-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (non-GLVND variant) (390xx legacy version)
libgl1-nvidia-tesla-418-glvnd-glx (418.226.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (GLVND variant) (Tesla 418 version)
libgl1-nvidia-tesla-418-glx (418.226.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (non-GLVND variant) (Tesla 418 version)
libgl1-nvidia-tesla-450-glvnd-glx (450.248.02-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (GLVND variant) (Tesla 450 version)
libgl1-nvidia-tesla-460-glvnd-glx (460.106.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (GLVND variant) (Tesla 460 version)
libgl1-nvidia-tesla-470-glvnd-glx (470.223.02-2~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX library (GLVND variant) (Tesla 470 version)
libgl2ps-dev (1.4.2+dfsg1-1)
Lib providing high quality vector output for OpenGL application, dev files
libgl2ps-doc (1.4.2+dfsg1-1)
Lib providing high quality vector output for OpenGL application, documentation
libgl2ps1.4 (1.4.2+dfsg1-1)
Lib providing high quality vector output for OpenGL application
libglabels5
本虛擬套件由這些套件填實: glabels
libglade2-0 (1:2.6.4-2.3)
library to load .glade files at runtime
libglade2-dev (1:2.6.4-2.3)
development files for libglade
libglade2.0-cil (2.12.40-3)
CLI binding for the Glade libraries 2.6
libglade2.0-cil-dev (2.12.40-3)
CLI binding for the Glade libraries 2.6
libglademm-2.4-1v5 (2.6.7-6)
C++ wrappers for libglade2 (shared library)
libglademm-2.4-dev (2.6.7-6)
C++ wrappers for libglade2 (development files)
libglademm-2.4-doc (2.6.7-6)
C++ wrappers for libglade2 (documentation)
libgladeui-2-13 (3.38.2-2)
GTK+ User Interface Build core library
libgladeui-common (3.38.2-2)
GTK+ User Interface Build core library (common files)
libgladeui-dev (3.38.2-2)
GTK+ User Interface Build core library (development files)
libgladeui-doc (3.38.2-2)
GTK+ User Interface Build core library (documentation)
libglapi-mesa (20.3.5-1)
free implementation of the GL API -- shared library
libglazedlists-java (1.9.1-3)
java list transformation library
libglbinding-dev (2.1.1-2)
development files for glbinding
libglbinding2 (2.1.1-2)
cross-platform C++ binding for OpenGL
libglbsp-dev (2.24-5)
node builder library for OpenGL-based Doom-style games (headers)
libglbsp3 (2.24-5)
node builder library for OpenGL-based Doom-style games
libglc-dev (0.7.2-6)
Implementation of SGI's OpenGL Character Renderer (GLC)
libglc0 (0.7.2-6)
QuesoGLC OpenGL Character Renderer (GLC) implementation
libgle-dev
本虛擬套件由這些套件填實: libgle3-dev
libgle-doc
本虛擬套件由這些套件填實: gle-doc
libgle3 (3.1.0-10)
OpenGL tubing and extrusion library
libgle3-dev (3.1.0-10)
OpenGL tubing and extrusion library development files
libgles-dev (1.3.2-1)
Vendor neutral GL dispatch library -- GLES development files
libgles-nvidia-legacy-390xx1 (390.157-1~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 1.x library (390xx legacy version)
libgles-nvidia-legacy-390xx2 (390.157-1~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 2.x library (390xx legacy version)
libgles-nvidia-tesla-418-1 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 1.x library (Tesla 418 version)
libgles-nvidia-tesla-418-2 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 2.x library (Tesla 418 version)
libgles-nvidia-tesla-450-1 (450.248.02-1~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 1.x library (Tesla 450 version)
libgles-nvidia-tesla-450-2 (450.248.02-1~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 2.x library (Tesla 450 version)
libgles-nvidia-tesla-460-1 (460.106.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 1.x library (Tesla 460 version)
libgles-nvidia-tesla-460-2 (460.106.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 2.x library (Tesla 460 version)
libgles-nvidia-tesla-470-1 (470.223.02-2~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 1.x library (Tesla 470 version)
libgles-nvidia-tesla-470-2 (470.223.02-2~deb11u1) [non-free]
NVIDIA binary OpenGL|ES 2.x library (Tesla 470 version)
libgles-nvidia1 (470.223.02-1) [non-free]
NVIDIA binary OpenGL|ES 1.x library
libgles-nvidia2 (470.223.02-1) [non-free]
NVIDIA binary OpenGL|ES 2.x library
libgles1 (1.3.2-1)
Vendor neutral GL dispatch library -- GLESv1 support
libgles2 (1.3.2-1)
Vendor neutral GL dispatch library -- GLESv2 support
libgles2-mesa (20.3.5-1)
transitional dummy package
libgles2-mesa-dev (20.3.5-1)
transitional dummy package
libglew-dev (2.1.0-4+b1)
OpenGL Extension Wrangler - development environment
libglew1.5-dev
本虛擬套件由這些套件填實: libglew-dev
libglew1.6-dev
本虛擬套件由這些套件填實: libglew-dev
libglew2.1 (2.1.0-4+b1)
OpenGL Extension Wrangler - runtime environment
libglewmx-dev (1.13.0-4+b11)
OpenGL Extension Wrangler MX - development environment
libglewmx1.13 (1.13.0-4+b11)
OpenGL Extension Wrangler (Multiple Rendering Contexts)
libglewmx1.5-dev
本虛擬套件由這些套件填實: libglewmx-dev
libglewmx1.6-dev
本虛擬套件由這些套件填實: libglewmx-dev
libglfw3 (3.3.2-1)
portable library for OpenGL, window and input (x11 libraries)
libglfw3-dev (3.3.2-1)
portable library for OpenGL, window and input (development files)
libglfw3-doc (3.3.2-1)
portable library for OpenGL, window and input (documentation)
libglfw3-wayland (3.3.2-1)
portable library for OpenGL, window and input (wayland libraries)
libglib-object-introspection-perl (0.049-1+b1)
Perl bindings for gobject-introspection libraries
libglib-perl (3:1.329.3-1+b1)
interface to the GLib and GObject libraries
libglib-testing-0-0 (0.1.0-1)
test library providing test harnesses and mock classes
libglib-testing-0-dev (0.1.0-1)
Development files for the libglib-testing library
libglib-testing-doc (0.1.0-1)
Documentation for the libglib-testing library
libglib2.0-0 (2.66.8-1+deb11u1)
GLib library of C routines
libglib2.0-bin (2.66.8-1+deb11u1)
Programs for the GLib library
libglib2.0-cil (2.12.40-3)
CLI binding for the GLib utility library 2.12
libglib2.0-cil-dev (2.12.40-3)
CLI binding for the GLib utility library 2.12
libglib2.0-data (2.66.8-1+deb11u1)
Common files for GLib library
libglib2.0-dev (2.66.8-1+deb11u1)
Development files for the GLib library
libglib2.0-dev-bin (2.66.8-1+deb11u1)
Development utilities for the GLib library
libglib2.0-doc (2.66.8-1+deb11u1)
Documentation files for the GLib library
libglib2.0-tests (2.66.8-1+deb11u1)
GLib library of C routines - installed tests
libglib2.0-udeb (2.66.8-1+deb11u1)
GLib library of C routines - minimal runtime
libglib3.0-cil (2.99.3-4)
CLI binding for the GLib library of C routines
libglib3.0-cil-dev (2.99.3-4)
CLI binding for the GLib utility library 2.12
libglibd-2.0-0 (2.3.0-1)
GLib library of C routines - D bindings
libglibd-2.0-dev (2.3.0-1)
GLib library of C routines - development files for D
libglibmm-2.4-1v5 (2.64.2-2)
C++ wrapper for the GLib toolkit (shared libraries)
libglibmm-2.4-dev (2.64.2-2)
C++ wrapper for the GLib toolkit (development files)
libglibmm-2.4-doc (2.64.2-2)
C++ wrapper for the GLib toolkit (documentation)
libglide3 (2002.04.10ds1-16)
graphics library for 3Dfx Voodoo 3+ based cards - shared libraries
libglide3-dev (2002.04.10ds1-16)
graphics library for 3Dfx Voodoo 3+ based cards - development files
libglktermw-dev (1.0.4+git20200122-2+b1 [amd64], 1.0.4+git20200122-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Curses-based interface library for interactive fiction
libglm-dev (0.9.9.8+ds-1)
C++ library for OpenGL GLSL type-based mathematics
libglm-doc (0.9.9.8+ds-1)
documentation for the OpenGL Mathematics (GLM) library
libglobalarrays-dev (5.7.2-2)
Distributed Shared-Memory Programming Environment (development)
libglobjects-dev (1.1.0-3)
development files for globjects
libglobjects1 (1.1.0-3)
cross-platform C++ wrapper for OpenGL API objects
libglobus-authz-callout-error-dev (4.2-1)
Grid Community Toolkit - Globus authz error library Development Files
libglobus-authz-callout-error-doc (4.2-1)
Grid Community Toolkit - Globus authz error library Documentation Files
libglobus-authz-callout-error0 (4.2-1)
Grid Community Toolkit - Globus authz error library
libglobus-authz-dev (4.4-1)
Grid Community Toolkit - Globus authz library Development Files
libglobus-authz-doc (4.4-1)
Grid Community Toolkit - Globus authz library Documentation Files
libglobus-authz0 (4.4-1)
Grid Community Toolkit - Globus authz library
libglobus-callout-dev (4.3-1)
Grid Community Toolkit - Globus Callout Library Development Files
libglobus-callout-doc (4.3-1)
Grid Community Toolkit - Globus Callout Library Documentation Files
libglobus-callout0 (4.3-1)
Grid Community Toolkit - Globus Callout Library
libglobus-common-dev (18.9-1)
Grid Community Toolkit - Common Library Development Files
libglobus-common-doc (18.9-1)
Grid Community Toolkit - Common Library Documentation Files
libglobus-common0 (18.9-1)
Grid Community Toolkit - Common Library
libglobus-ftp-client-dev (9.5-1)
Grid Community Toolkit - GridFTP Client Library Development Files
libglobus-ftp-client-doc (9.5-1)
Grid Community Toolkit - GridFTP Client Library Documentation Files
libglobus-ftp-client2 (9.5-1)
Grid Community Toolkit - GridFTP Client Library
libglobus-ftp-control-dev (9.6-1)
Grid Community Toolkit - GridFTP Control Library Development Files
libglobus-ftp-control-doc (9.6-1)
Grid Community Toolkit - GridFTP Control Library Documentation Files
libglobus-ftp-control1 (9.6-1)
Grid Community Toolkit - GridFTP Control Library
libglobus-gass-cache-dev (10.2-1)
Grid Community Toolkit - Globus Gass Cache Development Files
libglobus-gass-cache-doc (10.2-1)
Grid Community Toolkit - Globus Gass Cache Documentation Files
libglobus-gass-cache5 (10.2-1)
Grid Community Toolkit - Globus Gass Cache
libglobus-gass-copy-dev (10.8-1)
Grid Community Toolkit - Globus Gass Copy Development Files
libglobus-gass-copy-doc (10.8-1)
Grid Community Toolkit - Globus Gass Copy Documentation Files
libglobus-gass-copy2 (10.8-1)
Grid Community Toolkit - Globus Gass Copy
libglobus-gass-server-ez-dev (6.1-3)
Grid Community Toolkit - Globus Gass Server_ez Development Files
libglobus-gass-server-ez2 (6.1-3)
Grid Community Toolkit - Globus Gass Server_ez
libglobus-gass-transfer-dev (9.2-1)
Grid Community Toolkit - Globus Gass Transfer Development Files
libglobus-gass-transfer-doc (9.2-1)
Grid Community Toolkit - Globus Gass Transfer Documentation Files
libglobus-gass-transfer2 (9.2-1)
Grid Community Toolkit - Globus Gass Transfer
libglobus-gfork-dev (5.0-3)
Grid Community Toolkit - GFork Development Files
libglobus-gfork0 (5.0-3)
Grid Community Toolkit - GFork
libglobus-gram-client-dev (14.4-1)
Grid Community Toolkit - GRAM Client Library Development Files
libglobus-gram-client-doc (14.4-1)
Grid Community Toolkit - GRAM Client Library Documentation Files
libglobus-gram-client3 (14.4-1)
Grid Community Toolkit - GRAM Client Library
libglobus-gram-job-manager-callout-error-dev (4.2-1)
Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors Development Files
libglobus-gram-job-manager-callout-error-doc (4.2-1)
Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors Documentation Files
libglobus-gram-job-manager-callout-error0 (4.2-1)
Grid Community Toolkit - Globus GRAM Jobmanager Callout Errors
libglobus-gram-protocol-dev (13.4-1)
Grid Community Toolkit - GRAM Protocol Library Development Files
libglobus-gram-protocol-doc (13.4-1)
Grid Community Toolkit - GRAM Protocol Library Documentation Files
libglobus-gram-protocol3 (13.4-1)
Grid Community Toolkit - GRAM Protocol Library
libglobus-gridftp-server-control-dev (9.1-1)
Grid Community Toolkit - Globus GridFTP Server Library Development Files
libglobus-gridftp-server-control0 (9.1-1)
Grid Community Toolkit - Globus GridFTP Server Library
libglobus-gridftp-server-dev (13.21-1)
Grid Community Toolkit - Globus GridFTP Server Development Files
libglobus-gridftp-server6 (13.21-1)
Grid Community Toolkit - Globus GridFTP Server
libglobus-gridmap-callout-error-dev (3.2-1)
Grid Community Toolkit - Globus Gridmap Callout Errors Development Files
libglobus-gridmap-callout-error-doc (3.2-1)
Grid Community Toolkit - Globus Gridmap Callout Errors Documentation Files
libglobus-gridmap-callout-error0 (3.2-1)
Grid Community Toolkit - Globus Gridmap Callout Errors
libglobus-gridmap-eppn-callout (2.2-2)
Grid Community Toolkit - Globus gridmap ePPN callout
libglobus-gridmap-verify-myproxy-callout (3.2-2)
Grid Community Toolkit - Globus gridmap myproxy callout
libglobus-gsi-callback-dev (6.2-1)
Grid Community Toolkit - Globus GSI Callback Library Development Files
libglobus-gsi-callback-doc (6.2-1)
Grid Community Toolkit - Globus GSI Callback Library Documentation Files
libglobus-gsi-callback0 (6.2-1)
Grid Community Toolkit - Globus GSI Callback Library
libglobus-gsi-cert-utils-dev (10.7-1)
Grid Community Toolkit - Globus GSI Cert Utils Library Development Files
libglobus-gsi-cert-utils-doc (10.7-1)
Grid Community Toolkit - Globus GSI Cert Utils Library Documentation Files
libglobus-gsi-cert-utils0 (10.7-1)
Grid Community Toolkit - Globus GSI Cert Utils Library
libglobus-gsi-credential-dev (8.2-1)
Grid Community Toolkit - Globus GSI Credential Library Development Files
libglobus-gsi-credential-doc (8.2-1)
Grid Community Toolkit - Globus GSI Credential Library Documentation Files
libglobus-gsi-credential1 (8.2-1)
Grid Community Toolkit - Globus GSI Credential Library
libglobus-gsi-openssl-error-dev (4.2-1)
Grid Community Toolkit - Globus OpenSSL Error Handling Development Files
libglobus-gsi-openssl-error-doc (4.2-1)
Grid Community Toolkit - Globus OpenSSL Error Handling Documentation Files
libglobus-gsi-openssl-error0 (4.2-1)
Grid Community Toolkit - Globus OpenSSL Error Handling
libglobus-gsi-proxy-core-dev (9.5-1)
Grid Community Toolkit - Globus GSI Proxy Core Library Development Files
libglobus-gsi-proxy-core-doc (9.5-1)
Grid Community Toolkit - Globus GSI Proxy Core Library Documentation Files
libglobus-gsi-proxy-core0 (9.5-1)
Grid Community Toolkit - Globus GSI Proxy Core Library
libglobus-gsi-proxy-ssl-dev (6.4-1)
Grid Community Toolkit - Globus GSI Proxy SSL Library Development Files
libglobus-gsi-proxy-ssl-doc (6.4-1)
Grid Community Toolkit - Globus GSI Proxy SSL Library Documentation Files
libglobus-gsi-proxy-ssl1 (6.4-1)
Grid Community Toolkit - Globus GSI Proxy SSL Library
libglobus-gsi-sysconfig-dev (9.3-1)
Grid Community Toolkit - Globus GSI System Config Library Development Files
libglobus-gsi-sysconfig-doc (9.3-1)
Grid Community Toolkit - Globus GSI System Config Library Documentation Files
libglobus-gsi-sysconfig1 (9.3-1)
Grid Community Toolkit - Globus GSI System Config Library
libglobus-gss-assist-dev (12.4-1)
Grid Community Toolkit - GSSAPI Assist library Development Files
libglobus-gss-assist-doc (12.4-1)
Grid Community Toolkit - GSSAPI Assist library Documentation Files
libglobus-gss-assist3 (12.4-1)
Grid Community Toolkit - GSSAPI Assist library
libglobus-gssapi-error-dev (6.2-1)
Grid Community Toolkit - GSSAPI Error Library Development Files
libglobus-gssapi-error-doc (6.2-1)
Grid Community Toolkit - GSSAPI Error Library Documentation Files
libglobus-gssapi-error2 (6.2-1)
Grid Community Toolkit - GSSAPI Error Library
libglobus-gssapi-gsi-dev (14.14-1)
Grid Community Toolkit - GSSAPI library Development Files
libglobus-gssapi-gsi-doc (14.14-1)
Grid Community Toolkit - GSSAPI library Documentation Files
libglobus-gssapi-gsi4 (14.14-1)
Grid Community Toolkit - GSSAPI library
libglobus-io-dev (12.3-1)
Grid Community Toolkit - uniform I/O interface Development Files
libglobus-io3 (12.3-1)
Grid Community Toolkit - uniform I/O interface
libglobus-net-manager-dev (1.5-1)
Grid Community Toolkit - Network Manager Library Development Files
libglobus-net-manager-doc (1.5-1)
Grid Community Toolkit - Network Manager Library Documentation Files
libglobus-net-manager0 (1.5-1)
Grid Community Toolkit - Network Manager Library
libglobus-openssl-module-dev (5.2-1)
Grid Community Toolkit - Globus OpenSSL Module Wrapper Development Files
libglobus-openssl-module-doc (5.2-1)
Grid Community Toolkit - Globus OpenSSL Module Wrapper Documentation Files
libglobus-openssl-module0 (5.2-1)
Grid Community Toolkit - Globus OpenSSL Module Wrapper
libglobus-rsl-dev (11.2-1)
Grid Community Toolkit - Resource Specification Language Library Development Files
libglobus-rsl-doc (11.2-1)
Grid Community Toolkit - Resource Specification Language Library Documentation Files
libglobus-rsl2 (11.2-1)
Grid Community Toolkit - Resource Specification Language Library
libglobus-scheduler-event-generator-dev (6.3-1)
Grid Community Toolkit - Scheduler Event Generator Development Files
libglobus-scheduler-event-generator-doc (6.3-1)
Grid Community Toolkit - Scheduler Event Generator Documentation Files
libglobus-scheduler-event-generator0 (6.3-1)
Grid Community Toolkit - Scheduler Event Generator
libglobus-xio-dev (6.4-1)
Grid Community Toolkit - Globus XIO Framework Development Files
libglobus-xio-doc (6.4-1)
Grid Community Toolkit - Globus XIO Framework Documentation Files
libglobus-xio-gridftp-driver (3.4-1)
Grid Community Toolkit - Globus XIO GridFTP Driver
libglobus-xio-gridftp-driver-dev (3.4-1)
Grid Community Toolkit - Globus XIO GridFTP Driver Development Files
libglobus-xio-gridftp-driver-doc (3.4-1)
Grid Community Toolkit - Globus XIO GridFTP Driver Documentation Files
libglobus-xio-gridftp-multicast (2.1-2)
Grid Community Toolkit - Globus XIO GridFTP Multicast Driver
libglobus-xio-gridftp-multicast-dev (2.1-2)
Grid Community Toolkit - Globus XIO GridFTP Multicast Driver Development Files
libglobus-xio-gsi-driver (5.3-1)
Grid Community Toolkit - Globus XIO GSI Driver
libglobus-xio-gsi-driver-dev (5.3-1)
Grid Community Toolkit - Globus XIO GSI Driver Development Files
libglobus-xio-gsi-driver-doc (5.3-1)
Grid Community Toolkit - Globus XIO GSI Driver Documentation Files
libglobus-xio-net-manager-driver (1.5-1)
Grid Community Toolkit - Globus XIO Network Manager Driver
libglobus-xio-net-manager-driver-dev (1.5-1)
Grid Community Toolkit - Globus XIO Network Manager Driver Development Files
libglobus-xio-pipe-driver (4.1-2)
Grid Community Toolkit - Globus Pipe Driver
libglobus-xio-pipe-driver-dev (4.1-2)
Grid Community Toolkit - Globus Pipe Driver Development Files
libglobus-xio-popen-driver (4.1-2)
Grid Community Toolkit - Globus XIO Pipe Open Driver
libglobus-xio-popen-driver-dev (4.1-2)
Grid Community Toolkit - Globus XIO Pipe Open Driver Development Files
libglobus-xio-rate-driver (2.1-2)
Grid Community Toolkit - Globus XIO Rate Limiting Driver
libglobus-xio-rate-driver-dev (2.1-2)
Grid Community Toolkit - Globus XIO Rate Limiting Driver Development Files
libglobus-xio-udt-driver (2.2-2)
Grid Community Toolkit - Globus XIO UDT Driver
libglobus-xio-udt-driver-dev (2.2-2)
Grid Community Toolkit - Globus XIO UDT Driver Development Files
libglobus-xio0 (6.4-1)
Grid Community Toolkit - Globus XIO Framework
libglom-1.30-0 (1.30.4-6.1+b3)
Glom library (a database designer and user interface) - library
libglom-1.30-dev (1.30.4-6.1+b3)
Glom library (a database designer and user interface) - header files
libgloo-dev (0.0~git20200918.3dc0328-4)
Collective communications library (development files)
libgloo0 (0.0~git20200918.3dc0328-4)
Collective communications library (shared object)
libgloox-dev (1.0.24-2)
C++ jabber/xmpp library (devel)
libgloox-doc (1.0.24-2)
C++ jabber/xmpp library (API documentation)
libgloox18 (1.0.24-2)
C++ jabber/xmpp library
libglpk-dev (5.0-1)
linear programming kit - development files
libglpk-java (1.12.0-2)
Java binding to the GNU Linear Programming Kit
libglpk40 (5.0-1)
linear programming kit with integer (MIP) support
libgltf-0.1-1 (0.1.0-3+b1)
Library for rendering glTF models
libgltf-dev (0.1.0-3+b1)
Library for rendering glTF models -- development
libglu-dev
本虛擬套件由這些套件填實: libglu1-mesa-dev
libglu1
本虛擬套件由這些套件填實: libglu1-mesa
libglu1-mesa (9.0.1-1)
Mesa OpenGL utility library (GLU)
libglu1-mesa-dev (9.0.1-1)
Mesa OpenGL utility library -- development files
libgluegen2-build-java (2.3.2-8)
Tool to automatically generate the Java and JNI code
libgluegen2-doc (2.3.2-8)
Tool to automatically generate the Java and JNI code
libgluegen2-jni (2.3.2-8)
Tool to automatically generate the Java and JNI code
libgluegen2-rt-java (2.3.2-8)
Tool to automatically generate the Java and JNI code
libglusterd0 (9.2-1)
GlusterFS glusterd shared library
libglusterfs-dev (9.2-1)
Development files for GlusterFS libraries
libglusterfs0 (9.2-1)
GlusterFS shared library
libglvnd-core-dev (1.3.2-1)
transitional dummy package
libglvnd-dev (1.3.2-1)
Vendor neutral GL dispatch library -- development files
libglvnd0 (1.3.2-1)
Vendor neutral GL dispatch library
libglw-dev
本虛擬套件由這些套件填實: libglw1-mesa-dev
libglw1
本虛擬套件由這些套件填實: libglw1-mesa
libglw1-mesa (8.0.0-1.1+b1)
GL widget library for Athena and Motif -- runtime
libglw1-mesa-dev (8.0.0-1.1+b1)
GL widget library for Athena and Motif -- development files
libglx-dev (1.3.2-1)
Vendor neutral GL dispatch library -- GLX development files
libglx-mesa0 (20.3.5-1)
free implementation of the OpenGL API -- GLX vendor library
libglx-nvidia-legacy-390xx0 (390.157-1~deb11u1) [non-free]
NVIDIA binary GLX library (390xx legacy version)
libglx-nvidia-tesla-418-0 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary GLX library (Tesla 418 version)
libglx-nvidia-tesla-450-0 (450.248.02-1~deb11u1) [non-free]
NVIDIA binary GLX library (Tesla 450 version)
libglx-nvidia-tesla-460-0 (460.106.00-6~deb11u1) [non-free]
NVIDIA binary GLX library (Tesla 460 version)
libglx-nvidia-tesla-470-0 (470.223.02-2~deb11u1) [non-free]
NVIDIA binary GLX library (Tesla 470 version)
libglx-nvidia0 (470.223.02-1) [non-free]
NVIDIA binary GLX library
libglx-vendor
本虛擬套件由這些套件填實: libglx-nvidia-legacy-390xx0, libglx-nvidia-tesla-470-0, libglx-mesa0, libglx-nvidia-tesla-418-0, libglx-nvidia-tesla-450-0, libglx-nvidia0
libglx0 (1.3.2-1)
Vendor neutral GL dispatch library -- GLX support
libglyr-dev (1.0.10-1)
search engine for music-related metadata - development files
libglyr-doc (1.0.10-1)
search engine for music-related metadata - documentation
libglyr1 (1.0.10-1)
search engine for music-related metadata
libgm2-0 (9.3.0-22)
GNU Modula-2 standard library (runtime library)
libgm2-0-alpha-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-amd64-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-arm64-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-armel-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-armhf-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-hppa-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-i386-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-m68k-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-ppc64el-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-riscv64-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-s390x-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-sparc64-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-0-x32-cross (9.3.0-22cross1)
GNU Modula-2 standard library (runtime library)
libgm2-10-dev (10.2.1-6)
GNU Modula-2 standard library
libgm2-10-dev-alpha-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-amd64-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-arm64-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-armel-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-armhf-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-hppa-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-i386-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-m68k-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mips-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mips64-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mips64el-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mips64r6-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mips64r6el-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mipsel-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mipsr6-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-mipsr6el-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-ppc64el-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-riscv64-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-s390x-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-sparc64-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-10-dev-x32-cross (10.2.1-6cross1)
GNU Modula-2 standard library
libgm2-15 (10.2.1-6)
GNU Modula-2 standard library (runtime library)
libgm2-15-alpha-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-amd64-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-arm64-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-armel-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-armhf-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-hppa-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-i386-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-m68k-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mips-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mips64-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mips64el-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mips64r6-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mips64r6el-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mipsel-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mipsr6-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-mipsr6el-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-ppc64el-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-riscv64-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-s390x-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-sparc64-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-15-x32-cross (10.2.1-6cross1)
GNU Modula-2 standard library (runtime library)
libgm2-9-dev (9.3.0-22)
GNU Modula-2 standard library
libgm2-9-dev-alpha-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-amd64-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-arm64-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-armel-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-armhf-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-hppa-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-i386-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-m68k-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-ppc64el-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-riscv64-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-s390x-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-sparc64-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgm2-9-dev-x32-cross (9.3.0-22cross1)
GNU Modula-2 standard library
libgmavenplus-java (1.8.1-1)
GMavenPlus Plugin
libgmbal-commons-java (3.2.1-b003-1.1)
GlassFish MBean Annotation Library (Common APIs)
libgmbal-java (4.0.0-b002-1.1)
GlassFish MBean Annotation Library
libgmbal-pfl-java (4.0.1-b003-2)
GlassFish MBean Annotation Library (Primitive Function Library)
libgme-dev (0.6.3-2)
Playback library for video game music files - development files
libgme0 (0.6.3-2)
Playback library for video game music files - shared library
libgmerlin-avdec-dev (1.2.0~dfsg-11)
general multimedia decoding library (development files)
libgmerlin-avdec-doc (1.2.0~dfsg-11)
general multimedia decoding library (documentation)
libgmerlin-avdec1 (1.2.0~dfsg-11)
general multimedia decoding library
libgmerlin-common (1.2.0~dfsg+1-6.1+b3)
core library for gmerlin - common runtime files
libgmerlin-dev (1.2.0~dfsg+1-6.1+b3)
core library for gmerlin - development files
libgmerlin0 (1.2.0~dfsg+1-6.1+b3)
core library for gmerlin - runtime files
libgmetrics-groovy-java (0.7-4.1)
Groovy library that provides reports and metrics for Groovy code
libgmetrics-groovy-java-doc (0.7-4.1)
Documentation for libgmetrics-groovy-java
libgmic-dev (2.9.4-4)
GREYC's Magic for Image Computing - development files
libgmic1 (2.9.4-4)
GREYC's Magic for Image Computing - shared library
libgmime-3.0-0 (3.2.7-1)
MIME message parser and creator library
libgmime-3.0-dev (3.2.7-1)
MIME message parser and creator library - development files
libgmime-3.0-doc (3.2.7-1)
MIME message parser and creator library - documentation
libgmm++-dev (5.3+dfsg1-3+b9)
Generic C++ template library for sparse, dense and skyline matrices
libgmock-dev (1.10.0.20201025-1.1)
Google's framework for writing C++ tests
libgmp-dev (2:6.2.1+dfsg-1+deb11u1)
Multiprecision arithmetic library developers tools
libgmp-ocaml (20021123-21+b1)
OCaml bindings for the GNU multiprecision arithmetic library (runtime)
libgmp-ocaml-0gzr8
本虛擬套件由這些套件填實: libgmp-ocaml
libgmp-ocaml-dev (20021123-21+b1)
OCaml bindings for the GNU multiprecision arithmetic library (dev)
libgmp-ocaml-dev-0gzr8
本虛擬套件由這些套件填實: libgmp-ocaml-dev
libgmp-ocaml-dev-gjo59
本虛擬套件由這些套件填實: libgmp-ocaml-dev
libgmp-ocaml-dev-nese6
本虛擬套件由這些套件填實: libgmp-ocaml-dev
libgmp-ocaml-dev-zz6n1
本虛擬套件由這些套件填實: libgmp-ocaml-dev
libgmp-ocaml-gjo59
本虛擬套件由這些套件填實: libgmp-ocaml
libgmp-ocaml-nese6
本虛擬套件由這些套件填實: libgmp-ocaml
libgmp-ocaml-zz6n1
本虛擬套件由這些套件填實: libgmp-ocaml
libgmp10 (2:6.2.1+dfsg-1+deb11u1)
Multiprecision arithmetic library
libgmp10-dev
本虛擬套件由這些套件填實: libgmp-dev
libgmp10-doc (2:6.2.1+dfsg-1+deb11u1)
Multiprecision arithmetic library example code
libgmp3-dev (2:6.2.1+dfsg-1+deb11u1)
Multiprecision arithmetic library developers tools
libgmpada10-dev (1.4-2)
Ada binding to the GNU MultiPrecision library: development
libgmpada8 (1.4-2)
Ada binding to the GNU MultiPrecision library: shared library
libgmpxx4ldbl (2:6.2.1+dfsg-1+deb11u1)
Multiprecision arithmetic library (C++ bindings)
libgmsh-dev (4.7.1+ds1-5)
Three-dimensional finite element mesh generator development files
libgmsh4 (4.7.1+ds1-5)
Three-dimensional finite element mesh generator shared library
libgmt-dev (6.1.1+dfsg-1+b1)
Generic Mapping Tools Library - Development files
libgmt6 (6.1.1+dfsg-1+b1)
Generic Mapping Tools Library
libgnat-10 (10.2.1-6)
runtime for applications compiled with GNAT (shared library)
libgnat-10-alpha-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-amd64-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-arm64-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-armel-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-armhf-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-hppa-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-i386-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-m68k-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mips-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mips64-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mips64el-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mips64r6-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mips64r6el-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mipsel-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mipsr6-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-mipsr6el-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-powerpc-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-ppc64-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-ppc64el-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-riscv64-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-s390x-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-sh4-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-sparc64-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-10-x32-cross (10.2.1-6cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9 (9.3.0-22)
runtime for applications compiled with GNAT (shared library)
libgnat-9-alpha-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-amd64-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-arm64-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-armel-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-armhf-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-hppa-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-i386-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-m68k-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-powerpc-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-ppc64-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-ppc64el-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-riscv64-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-s390x-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-sh4-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-sparc64-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-9-x32-cross (9.3.0-22cross1)
runtime for applications compiled with GNAT (shared library)
libgnat-util10 (10.2.1-6)
GNU Ada compiler selected components (shared library)
libgnat-util10-dev (10.2.1-6)
GNU Ada compiler selected components (development files)
libgnatcoll-db-bin (21.0.0-6)
Ada library accessing SQL databases (tools)
libgnatcoll-db-doc (21.0.0-6)
Ada library accessing SQL databases (documentation)
libgnatcoll-doc (21.0.0-4)
GNATColl, general purpose Ada library (documentation)
libgnatcoll-gmp19-dev (21.0.0-4)
Ada binding for GMP big numbers (development)
libgnatcoll-gmp20 (21.0.0-4)
Ada binding for GMP big numbers (runtime)
libgnatcoll-iconv19-dev (21.0.0-4)
Ada binding for Iconv character encoding conversions (development)
libgnatcoll-iconv20 (21.0.0-4)
Ada binding for Iconv character encoding conversions (runtime)
libgnatcoll-lzma2 (21.0.0-4)
Ada binding for LZMA compression (runtime)
libgnatcoll-lzma2-dev (21.0.0-4)
Ada binding for LZMA compression (development)
libgnatcoll-omp1 (21.0.0-4)
Ada binding for OpenMP parallelism (runtime)
libgnatcoll-omp1-dev (21.0.0-4)
Ada binding for OpenMP parallelism (development)
libgnatcoll-postgres1 (21.0.0-6)
Ada library accessing PostGreSQL databases (runtime)
libgnatcoll-postgres1-dev (21.0.0-6)
Ada library accessing PostGreSQL databases
libgnatcoll-readline19-dev (21.0.0-4)
Ada binding for ReadLine input history (development)
libgnatcoll-readline20 (21.0.0-4)
Ada binding for ReadLine input history (runtime)
libgnatcoll-sql3 (21.0.0-6)
Ada library accessing SQL databases (runtime)
libgnatcoll-sql3-dev (21.0.0-6)
Ada library accessing SQL databases
libgnatcoll-sqlite19-dev (21.0.0-6)
Ada library accessing SQLite databases
libgnatcoll-sqlite20 (21.0.0-6)
Ada library accessing SQLite databases (runtime)
libgnatcoll-syslog3 (21.0.0-4)
Ada binding for syslog journals (runtime)
libgnatcoll-syslog3-dev (21.0.0-4)
Ada binding for syslog journals (development)
libgnatcoll-xref20 (21.0.0-6)
Ada library for manipulation of Ada cross references (runtime)
libgnatcoll-xref20-dev (21.0.0-6)
Ada library for manipulation of Ada cross references
libgnatcoll-zlib2 (21.0.0-4)
Ada binding for Zlib compression (runtime)
libgnatcoll-zlib2-dev (21.0.0-4)
Ada binding for Zlib compression (development)
libgnatcoll19 (21.0.0-4)
GNATColl, general purpose Ada library (runtime)
libgnatcoll19-dev (21.0.0-4)
GNATColl, general purpose Ada library
libgnatprj8 (2021.0.0.0778b109-5)
support for programs processing GNAT projects (runtime)
libgnatprj8-dev (2021.0.0.0778b109-5)
support for programs processing GNAT projects (development)
libgnatvsn9 (9.3.0-22)
GNU Ada compiler selected components (shared library)
libgnatvsn9-dev (9.3.0-22)
GNU Ada compiler selected components (development files)
libgnokii-dev (0.6.30+dfsg-1.3)
Gnokii mobile phone interface library (development files)
libgnokii3-dev
本虛擬套件由這些套件填實: libgnokii-dev
libgnokii6 (0.6.30+dfsg-1.3)
Gnokii mobile phone interface library
libgnome-autoar-0-0 (0.2.4-3)
Archives integration support for GNOME
libgnome-autoar-0-dev (0.2.4-3)
Archives integration support for GNOME - development files
libgnome-autoar-doc (0.2.4-3)
Archives integration support for GNOME - documentation
libgnome-autoar-gtk-0-0 (0.2.4-3)
GTK+ widgets for the GNOME Autoar library
libgnome-autoar-gtk-0-dev (0.2.4-3)
GTK+ widgets for the GNOME Autoar library - development files
libgnome-bluetooth-dev (3.34.3-2)
GNOME Bluetooth tools - support library development files
libgnome-bluetooth13 (3.34.3-2)
GNOME Bluetooth tools - support library
libgnome-desktop-3-19 (3.38.5-3)
Utility library for loading .desktop files - runtime files
libgnome-desktop-3-dev (3.38.5-3)
Utility library for loading .desktop files - development files
libgnome-games-support-1-3 (1.8.0-1)
library for common functions of GNOME games
libgnome-games-support-1-dev (1.8.0-1)
library for common functions of GNOME games (dev files)
libgnome-games-support-common (1.8.0-1)
library for common functions of GNOME games (common files)
libgnome-menu-3-0 (3.36.0-1)
GNOME implementation of the freedesktop menu specification
libgnome-menu-3-dev (3.36.0-1)
GNOME implementation of the freedesktop menu specification
libgnome-panel-dev (3.38.0-1+b1)
library for GNOME Panel modules - development files
libgnome-panel-doc (3.38.0-1)
library for GNOME Panel modules - documentation files
libgnome-panel0 (3.38.0-1+b1)
library for GNOME Panel modules
libgnome-todo (3.28.1-6)
library data for GNOME To Do
libgnome-todo-dev (3.28.1-6)
development library data for GNOME To Do
libgnomecanvas2-0 (2.30.3-4)
powerful object-oriented display engine - runtime files
libgnomecanvas2-common (2.30.3-4)
powerful object-oriented display engine - common files
libgnomecanvas2-dev (2.30.3-4)
powerful object-oriented display engine - development files
libgnomecanvas2-doc (2.30.3-4)
powerful object-oriented display engine - documentation files
libgnomecanvasmm-2.6-1v5 (2.26.0-3.1)
C++ wrappers for libgnomecanvas2 (shared library)
libgnomecanvasmm-2.6-dev (2.26.0-3.1)
C++ wrappers for libgnomecanvas2 (development files)
libgnomecanvasmm-2.6-doc (2.26.0-3.1)
C++ wrappers for libgnomecanvas2 (documentation)
libgnomekbd-common (3.26.1-1)
GNOME library to manage keyboard configuration - common files
libgnomekbd-dev (3.26.1-1)
GNOME library to manage keyboard configuration - development files
libgnomekbd8 (3.26.1-1)
GNOME library to manage keyboard configuration - shared library
libgnt-dev (2.14.1-1)
development files for the gnt library
libgnt-doc (2.14.1-1)
documentation for the gnt library
libgnt0 (2.14.1-1)
ncurses toolkit for creating text-mode graphical user interfaces
libgnuastro-dev (0.14-1)
GNU Astronomy Utilities development files
libgnuastro12 (0.14-1)
GNU Astronomy Utilities shared libraries
libgnucap-dev (1:0.36~20171003-1.1)
GNU Circuit Analysis package, development library
libgnucap0 (1:0.36~20171003-1.1)
GNU Circuit Analysis package, the library
libgnudatalanguage0 (0.9.9-13+b1)
Free IDL compatible incremental compiler shared library
libgnupg-interface-perl (1.01-2)
Perl interface to GnuPG
libgnupg-perl (0.19-3)
interface to GnuPG 1.4 using its coprocess interface
libgnuplot-iostream-dev (0~20140302.gitc8919a0+dfsg-4)
C++ programming interface for gnuplot. Headers
libgnuplot-iostream-doc (0~20140302.gitc8919a0+dfsg-4)
C++ programming interface for gnuplot. Docs and examples
libgnuplot-ocaml-dev (0.8.3-4+b2)
OCaml interface to the gnuplot utility
libgnuplot-ocaml-dev-3t6a7
本虛擬套件由這些套件填實: libgnuplot-ocaml-dev
libgnuplot-ocaml-dev-5plo9
本虛擬套件由這些套件填實: libgnuplot-ocaml-dev
libgnuplot-ocaml-dev-bxol8
本虛擬套件由這些套件填實: libgnuplot-ocaml-dev
libgnuplot-ocaml-dev-kjez6
本虛擬套件由這些套件填實: libgnuplot-ocaml-dev
libgnuradio-analog3.8.2 (3.8.2.0-14)
gnuradio analog functions
libgnuradio-audio3.8.2 (3.8.2.0-14)
gnuradio audio functions
libgnuradio-blocks3.8.2 (3.8.2.0-14)
gnuradio blocks functions
libgnuradio-channels3.8.2 (3.8.2.0-14)
gnuradio channels functions
libgnuradio-dab3.8.0 (0.4-2+b5)
Shared library for gr-dab (DAB/DAB+ receiver)
libgnuradio-digital3.8.2 (3.8.2.0-14)
gnuradio digital communications functions
libgnuradio-dtv3.8.2 (3.8.2.0-14)
gnuradio digital TV signal processing blocks
libgnuradio-fcdproplus3.8.0 (3.8~20190817-3+b5)
Funcube Dongle Pro Plus controller for GNU Radio (runtime)
libgnuradio-fec3.8.2 (3.8.2.0-14)
gnuradio forward error correction support
libgnuradio-fft3.8.2 (3.8.2.0-14)
gnuradio fast Fourier transform functions
libgnuradio-filter3.8.2 (3.8.2.0-14)
gnuradio filter functions
libgnuradio-fosphor3.8.0 (3.8~2.2d4fe78-1+b6)
gnuradio fosphor block (GPU spectrum display) (library)
libgnuradio-hpsdr1.2.1 (1.2.1-2+b3)
gnuradio library for OpenHPSDR protocol 1
libgnuradio-iio1 (0.3-9+b5 [mips64el, mipsel], 0.3-9+b4 [amd64, arm64, armel, armhf, i386, ppc64el, s390x])
IIO blocks for GnuRadio (runtime library)
libgnuradio-iqbalance3.8.0 (0.38-4+b5)
GNU Radio Blind IQ imbalance estimator and correction (shared library)
libgnuradio-limesdr3.0.1 (3.0.1-2+b6)
LimeSDR blocks for GnuRadio (runtime library)
libgnuradio-osmosdr0.2.0 (0.2.2-1+b4)
Gnuradio blocks from the OsmoSDR project - library
libgnuradio-pmt3.8.2 (3.8.2.0-14)
gnuradio pmt container library
libgnuradio-qtgui3.8.2 (3.8.2.0-14)
gnuradio Qt graphical user interface functions
libgnuradio-radar1.0.0 (0.0.0.20180308-4+b8)
GNU Radio Radar Toolbox - library
libgnuradio-rds1 (3.8.0.0.f1c584a-3+b5)
GNU Radio FM RDS/TMC Transceiver (library)
libgnuradio-runtime3.8.2 (3.8.2.0-14)
gnuradio core runtime
libgnuradio-satellites3.5.1 (3.5.1-2+b2)
library of satellite telemetry signal decoders
libgnuradio-soapy2.1.3 (2.1.3-2)
SoapySDR blocks for GnuRadio (runtime library)
libgnuradio-trellis3.8.2 (3.8.2.0-14)
gnuradio trellis modulation functions
libgnuradio-uhd3.8.2 (3.8.2.0-14)
gnuradio universal hardware driver functions
libgnuradio-video-sdl3.8.2 (3.8.2.0-14)
gnuradio video functions
libgnuradio-vocoder3.8.2 (3.8.2.0-14)
gnuradio vocoder functions
libgnuradio-wavelet3.8.2 (3.8.2.0-14)
gnuradio wavelet functions
libgnuradio-zeromq3.8.2 (3.8.2.0-14)
gnuradio zeromq functions
libgnustep-base-dev (1.27.0-3)
GNUstep Base header files and development libraries
libgnustep-base1.27 (1.27.0-3)
GNUstep Base library
libgnustep-dl2-0d (0.12.0-15.1+b4)
bundle of runtime libraries for gnustep-dl2
libgnustep-dl2-dev (0.12.0-15.1+b4)
development files for gnustep-dl2 runtime libraries
libgnustep-gui-dev (0.28.0-3)
GNUstep GUI header files and static libraries
libgnustep-gui0.28 (0.28.0-3)
GNUstep GUI Library
libgnutls-dane0 (3.7.1-5+deb11u4)
GNU TLS library - DANE security support
libgnutls-openssl-dev
本虛擬套件由這些套件填實: libgnutls28-dev
libgnutls-openssl27 (3.7.1-5+deb11u4)
GNU TLS library - OpenSSL wrapper
libgnutls28-dev (3.7.1-5+deb11u4)
GNU TLS library - development files
libgnutls30 (3.7.1-5+deb11u4)
GNU TLS library - main runtime library
libgnutlsxx28 (3.7.1-5+deb11u4)
GNU TLS library - C++ runtime library
libgo-10-dev (10.2.1-6)
Runtime library for GNU Go applications (development files)
libgo-10-dev-alpha-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-arm64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-armel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-armhf-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-ppc64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-riscv64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev (9.3.0-22)
Runtime library for GNU Go applications (development files)
libgo-9-dev-alpha-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-arm64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-armel-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-armhf-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-ppc64el-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-riscv64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-sh4-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (development files)
libgo-perl (0.15-9)
perl modules for GO and other OBO ontologies
libgo14 (9.3.0-22)
Runtime library for GNU Go applications
libgo14-alpha-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-arm64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-armel
本虛擬套件由這些套件填實: libgo14
libgo14-armel-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-armhf
本虛擬套件由這些套件填實: libgo14
libgo14-armhf-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-i386-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-ppc64el-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-riscv64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-sh4-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo14-x32-cross (9.3.0-22cross1)
Runtime library for GNU Go applications
libgo16 (10.2.1-6)
Runtime library for GNU Go applications
libgo16-alpha-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-arm64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-armel
本虛擬套件由這些套件填實: libgo16
libgo16-armel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-armhf
本虛擬套件由這些套件填實: libgo16
libgo16-armhf-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-i386-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mips-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-ppc64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-riscv64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgo16-x32-cross (10.2.1-6cross1)
Runtime library for GNU Go applications
libgoa-1.0-0b (3.38.0-3)
library for GNOME Online Accounts
libgoa-1.0-common (3.38.0-3)
library for GNOME Online Accounts - common files
libgoa-1.0-dev (3.38.0-3)
library for GNOME Online Accounts - development files
libgoa-1.0-doc (3.38.0-3)
library for GNOME Online Accounts - documentation files
libgoa-backend-1.0-1 (3.38.0-3)
backend library for GNOME Online Accounts
libgoa-backend-1.0-dev (3.38.0-3)
backend library for GNOME Online Accounts - development files
libgoffice-0.10-10 (0.10.48-1)
Document centric objects library - runtime files
libgoffice-0.10-10-common (0.10.48-1)
Document centric objects library - common files
libgoffice-0.10-dev (0.10.48-1)
Document centric objects library - development files
libgoffice-0.10-doc (0.10.48-1)
Document centric objects library - documentation
libgom-1.0-0 (0.4-1)
Object mapper from GObjects to SQLite
libgom-1.0-dev (0.4-1)
libgom development files
libgom-1.0-doc (0.4-1)
libgom API documentation
libgomp-plugin-amdgcn1 (10.2.1-6)
GCC OpenMP v4.5 plugin for offloading to GCN
libgomp-plugin-hsa1 (10.2.1-6)
GCC OpenMP v4.5 plugin for offloading to HSA
libgomp-plugin-nvptx1 (10.2.1-6)
GCC OpenMP v4.5 plugin for offloading to NVPTX
libgomp1 (10.2.1-6)
GCC OpenMP (GOMP) support library
libgomp1-alpha-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-amd64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-arm64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-armel
本虛擬套件由這些套件填實: libgomp1
libgomp1-armel-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-armhf
本虛擬套件由這些套件填實: libgomp1
libgomp1-armhf-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-hppa-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-i386-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-m68k-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mips-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mips64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mips64el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mips64r6-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mips64r6el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mipsel-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mipsr6-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-mipsr6el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-powerpc-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-ppc64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-ppc64el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-riscv64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-s390x-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-sh4-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-sparc64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgomp1-x32-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library
libgoocanvas-2.0-9 (2.0.4-1)
Canvas widget for GTK+ - shared library
libgoocanvas-2.0-common (2.0.4-1)
Canvas widget for GTK+ - common files
libgoocanvas-2.0-dev (2.0.4-1)
Canvas widget for GTK+ - development files
libgoocanvas2-perl (0.06-2)
Perl bindings for the goocanvas-2.0 widget using Glib::Object::Introspection
libgoocanvasmm-2.0-6 (1.90.11-3)
C++ bindings for GooCanvas - shared library
libgoocanvasmm-2.0-dev (1.90.11-3)
C++ bindings for GooCanvas - development files
libgoocanvasmm-2.0-doc (1.90.11-3)
C++ bindings for GooCanvas - documentation and examples
libgoogle-api-client-java (1.27.1-1)
Google APIs Client Library for Java
libgoogle-auth-java (0.18.0-1)
Open source authentication client library for Java
libgoogle-auto-common-java (0.10-2)
Set of common utilities to help ease use of annotation processing
libgoogle-auto-service-java (1.0~rc7-2)
collection of source code generators for Java
libgoogle-auto-value-java (1.7.2-2)
Generated immutable value classes for Java 7+
libgoogle-common-protos-java (1.16.0+ds-2)
Google common protos for Java
libgoogle-flogger-java (0.5.1-3)
Fluent Logging API for Java
libgoogle-glog-dev (0.4.0-4)
library that implements application-level logging.
libgoogle-glog-doc (0.4.0-4)
documentation of gloogle-glog
libgoogle-glog0v5 (0.4.0-4)
library that implements application-level logging.
libgoogle-gson-java (2.8.6-1+deb11u1)
Converts Java objects into their JSON representation
libgoogle-http-client-java (1.32.1-1)
Google HTTP client library for Java
libgoogle-oauth-client-java (1.28.0-2)
Google OAuth Client Library for Java
libgoogle-perftools-dev (2.8.1-1)
libraries for CPU and heap analysis, plus an efficient thread-caching malloc
libgoogle-perftools4 (2.8.1-1)
libraries for CPU and heap analysis, plus an efficient thread-caching malloc
libgoogle-protocolbuffers-perl (0.12-2)
simple Perl interface to Google Protocol Buffers
libgooglepinyin
本虛擬套件由這些套件填實: libgooglepinyin0
libgooglepinyin-dev
本虛擬套件由這些套件填實: libgooglepinyin0-dev
libgooglepinyin0 (0.1.2-6)
Pinyin engine fork from Google Pinyin on Android
libgooglepinyin0-dev (0.1.2-6)
Pinyin engine fork from Google Pinyin on Android - development files
libgorm-dev (1.2.26-1+b1)
Clone of the InterfaceBuilder framework - development files
libgorm1 (1.2.26-1+b1)
Clone of the InterfaceBuilder framework - runtime library
libgossip-java (1.8-2.1)
Small and fast logging provider for SLF4J
libgovirt-common (0.3.7-2)
GObject-based library to access oVirt REST API (common files)
libgovirt-dev (0.3.7-2)
GObject-based library to access oVirt REST API (dev files)
libgovirt2 (0.3.7-2)
GObject-based library to access oVirt REST API
libgpac-dev (1.0.1+dfsg1-4+deb11u3)
GPAC Project on Advanced Content - development files
libgpac10 (1.0.1+dfsg1-4+deb11u3)
GPAC Project on Advanced Content - shared libraries
libgpars-groovy-java (1.2.1-10)
open-source concurrency library for Groovy
libgpars-groovy-java-doc (1.2.1-10)
documentation for libgpars-groovy-java
libgpaste-common (3.38.5-1)
Clipboard management system for GNOME - shared files
libgpaste-dev (3.38.5-1)
Clipboard management system for GNOME - development files
libgpaste13 (3.38.5-1)
Clipboard management system for GNOME - library
libgpaste2-dev
本虛擬套件由這些套件填實: libgpaste-dev
libgpaste3-dev
本虛擬套件由這些套件填實: libgpaste-dev
libgpaste4-dev
本虛擬套件由這些套件填實: libgpaste-dev
libgpaste6-dev
本虛擬套件由這些套件填實: libgpaste-dev
libgpg-error-dev (1.38-2)
GnuPG development runtime library (developer tools)
libgpg-error-l10n (1.38-2)
library of error values and messages in GnuPG (localization files)
libgpg-error-mingw-w64-dev (1.38-2)
library of error values and messages in GnuPG (Windows development)
libgpg-error0 (1.38-2)
GnuPG development runtime library
libgpg-error0-udeb (1.38-2)
library for common error values and messages in GnuPG components
libgpgme-dev (1.14.0-1+b2)
GPGME - GnuPG Made Easy (development files)
libgpgme11 (1.14.0-1+b2)
GPGME - GnuPG Made Easy (library)
libgpgme11-dev (= 1.14.0-1+b2)
本虛擬套件由這些套件填實: libgpgme-dev
libgpgmepp-dev (1.14.0-1+b2)
C++ and Qt bindings for GPGME (development files)
libgpgmepp-doc (1.14.0-1)
C++ and Qt bindings for GPGME (documentation for developers)
libgpgmepp6 (1.14.0-1+b2)
C++ wrapper library for GPGME
libgphobos-10-dev (10.2.1-6)
Phobos D standard library
libgphobos-10-dev-amd64-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-arm64-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-armel-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-armhf-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-hppa-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-i386-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mips-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mips64-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mips64el-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mips64r6-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mips64r6el-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mipsel-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mipsr6-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-mipsr6el-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-powerpc-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-ppc64-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-ppc64el-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-riscv64-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-s390x-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-10-dev-x32-cross (10.2.1-6cross1)
Phobos D standard library
libgphobos-9-dev (9.3.0-22)
Phobos D standard library
libgphobos-9-dev-amd64-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-arm64-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-armel-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-armhf-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-i386-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-riscv64-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-s390x-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-9-dev-x32-cross (9.3.0-22cross1)
Phobos D standard library
libgphobos-dev (10.2.1-1)
Phobos D standard library
libgphobos1 (10.2.1-6)
Phobos D standard library (runtime library)
libgphobos1-amd64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-arm64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-armel-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-armhf-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-hppa-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-i386-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mips-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mips64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mips64el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mips64r6-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mips64r6el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mipsel-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mipsr6-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-mipsr6el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-powerpc-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-ppc64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-ppc64el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-riscv64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-s390x-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos1-x32-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libgphobos76 (9.3.0-22)
Phobos D standard library (runtime library)
libgphobos76-amd64-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-arm64-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-armel-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-armhf-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-i386-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-riscv64-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-s390x-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphobos76-x32-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libgphoto2-6 (2.5.27-1)
gphoto2 digital camera library
libgphoto2-dev (2.5.27-1)
gphoto2 digital camera library (development files)
libgphoto2-dev-doc (2.5.27-1)
gphoto2 digital camera library (development documentation)
libgphoto2-l10n (2.5.27-1)
gphoto2 digital camera library - localized messages
libgphoto2-port12 (2.5.27-1)
gphoto2 digital camera port library
libgpiod-dev (1.6.2-1)
C library for interacting with Linux GPIO device - static libraries and headers
libgpiod-doc (1.6.2-1)
C library for interacting with Linux GPIO device - library documentation
libgpiod2 (1.6.2-1)
C library for interacting with Linux GPIO device - shared libraries
libgpiv-dev
本虛擬套件由這些套件填實: libgpiv3-dev
libgpiv-mpi3 (0.6.1-7.1)
library for Particle Image Velocimetry (PIV) - MPI version
libgpiv3 (0.6.1-7.1)
library for Particle Image Velocimetry (PIV)
libgpiv3-common (0.6.1-7.1)
library for Particle Image Velocimetry (PIV) - common files
libgpiv3-dev (0.6.1-7.1)
library for Particle Image Velocimetry (PIV) - development files
libgpiv3-doc (0.6.1-7.1)
library for Particle Image Velocimetry (PIV) - documentation
libgpm-dev (1.20.7-8)
General Purpose Mouse - development files
libgpm2 (1.20.7-8)
General Purpose Mouse - shared library
libgpmg1-dev
本虛擬套件由這些套件填實: libgpm-dev
libgpod-cil (0.8.3-16)
CLI bindings for libgpod
libgpod-cil-dev (0.8.3-16)
CLI bindings for libgpod -- development files
libgpod-common (0.8.3-16)
common files for libgpod
libgpod-dev (0.8.3-16)
development files for libgpod
libgpod-doc (0.8.3-16)
documentation for libgpod
libgpod4 (0.8.3-16)
library to read and write songs and artwork to an iPod
libgportugol-dev (1.1-7)
Development files for the G-Portugol library
libgportugol0 (1.1-7)
G-Portugol library
libgps-dev (3.22-4)
Global Positioning System - development files
libgps-point-perl (0.20-2.1)
module providing an object interface for a GPS point
libgps28 (3.22-4)
Global Positioning System - library
libgpuarray-dev (0.7.6-5+b3)
development files for libgpuarray
libgpuarray-doc (0.7.6-5)
documentation for libgpuarray
libgpuarray3 (0.7.6-5+b3)
library to manipulate tensors on the GPU
libgradle-core-java (4.4.1-13)
Powerful build system for the JVM - Core libraries
libgradle-jflex-plugin-java (0.0.2-5)
Gradle plugin for JFlex, a scanner generator
libgradle-plugins-java (4.4.1-13)
Powerful build system for the JVM - All plugins
libgrail-dev (3.1.1-3)
Gesture Recognition And Instantiation Library - dev files
libgrail6 (3.1.1-3)
Gesture Recognition And Instantiation Library
libgral-java (0.11-2)
Java library for displaying plots (graphs, diagrams, and charts)
libgrammatica-java (1.6+ds-3)
C# and Java parser generator (compiler compiler)
libgranite-common (5.5.0-1)
extension of GTK+ libraries (common files)
libgranite-dev (5.5.0-1)
extension of GTK+ libraries (development files)
libgranite5 (5.5.0-1)
extension of GTK+ libraries
libgrantlee-templates5 (5.2.0-3)
Grantlee templating library for Qt - Templates
libgrantlee-textdocument5 (5.2.0-3)
Grantlee templating library for Qt - TextDocument
libgrantlee5-dev (5.2.0-3)
Grantlee templating library development files
libgraph-d3-perl (0.03-1.1)
module to create ref/json to show node-edge graph with D3.js
libgraph-easy-as-svg-perl (0.28-1)
Perl module to output a Graph::Easy as Scalable Vector Graphics
libgraph-easy-perl (0.76-1)
Perl module to convert or render graphs (as ASCII, HTML, SVG or via Graphviz)
libgraph-nauty-perl (0.3.4-1+b1)
Perl bindings for nauty
libgraph-perl (1:0.9716-2)
Perl module for graph data structures and algorithms
libgraph-readwrite-perl (2.09-1.1)
module for reading and writing directed graphs
libgraph-writer-dsm-perl (0.008-1)
Perl module to draw Graph object as a DSM matrix
libgraph-writer-graphviz-perl (0.11-2.1)
GraphViz Writer for Graph object
libgraphblas3 (1:5.8.1+dfsg-2)
graph algorithms via sparse matrix operations on semirings
libgraphene-1.0-0 (1.10.4+dfsg1-1)
library of graphic data types
libgraphene-1.0-dev (1.10.4+dfsg1-1)
library of graphic data types (development files)
libgraphene-doc (1.10.4+dfsg1-1)
library of graphic data types (documentation)
libgraphics-color-perl (0.31-1.1)
module for manipulating colours in different colour spaces
libgraphics-colornames-perl (3.5.0-3)
defines RGB values for common color names
libgraphics-colornames-www-perl (1.14-1)
module defining WWW color names and equivalent RGB values
libgraphics-colorobject-perl (0.5.0-10)
convert color specifications between color spaces
libgraphics-colorutils-perl (0.17-1.1)
Perl module to handle colors and color space conversions
libgraphics-gnuplotif-perl (1.8-1)
dynamic Perl interface to gnuplot
libgraphics-libplot-perl (2.2.2-7+b3)
Perl interface to libplot
libgraphics-magick-perl (1.4+really1.3.36+hg16481-2+deb11u1)
format-independent image processing - perl interface
libgraphics-ocaml (5.1.0-3)
OCaml graphics library (runtime)
libgraphics-ocaml-c0d12
本虛擬套件由這些套件填實: libgraphics-ocaml
libgraphics-ocaml-dev (5.1.0-3)
OCaml graphics library (dev)
libgraphics-ocaml-dev-c0d12
本虛擬套件由這些套件填實: libgraphics-ocaml-dev
libgraphics-ocaml-dev-t1dq4
本虛擬套件由這些套件填實: libgraphics-ocaml-dev
libgraphics-ocaml-dev-xgnk6
本虛擬套件由這些套件填實: libgraphics-ocaml-dev
libgraphics-ocaml-dev-zvi14
本虛擬套件由這些套件填實: libgraphics-ocaml-dev
libgraphics-ocaml-t1dq4
本虛擬套件由這些套件填實: libgraphics-ocaml
libgraphics-ocaml-xgnk6
本虛擬套件由這些套件填實: libgraphics-ocaml
libgraphics-ocaml-zvi14
本虛擬套件由這些套件填實: libgraphics-ocaml
libgraphics-primitive-driver-cairo-perl (0.47-1)
backend providing graphics support using Cairo
libgraphics-primitive-perl (0.67-1)
system to portably create and manipulate graphical components
libgraphics-tiff-perl (7-1+b1)
Perl extension for the libtiff library
libgraphicsmagick++-dev
本虛擬套件由這些套件填實: libgraphicsmagick++1-dev
libgraphicsmagick++-q16-12 (1.4+really1.3.36+hg16481-2+deb11u1)
format-independent image processing - C++ shared library
libgraphicsmagick++1-dev (1.4+really1.3.36+hg16481-2+deb11u1)
format-independent image processing - C++ development files
libgraphicsmagick-dev
本虛擬套件由這些套件填實: libgraphicsmagick1-dev
libgraphicsmagick-q16-3 (1.4+really1.3.36+hg16481-2+deb11u1)
format-independent image processing - C shared library
libgraphicsmagick1-dev (1.4+really1.3.36+hg16481-2+deb11u1)
format-independent image processing - C development files
libgraphite2-2.0.0
本虛擬套件由這些套件填實: libgraphite2-3
libgraphite2-3 (1.3.14-1)
Font rendering engine for Complex Scripts -- library
libgraphite2-dev (1.3.14-1)
Development files for libgraphite2
libgraphite2-doc (1.3.14-1)
Documentation for libgraphite2
libgraphite2-utils (1.3.14-1)
Font rendering engine for Complex Scripts -- utilities
libgraphviz-dev (2.42.2-5)
graphviz libs and headers against which to build applications
libgraphviz-perl (2.24-1)
Perl interface to the GraphViz graphing tool
libgravatar-url-perl (1.07-1)
Perl interface to make URLs for Gravatars from an email address
libgretl1 (2021a-1)
GNU Regression, Econometric & Time-Series Library -- library package
libgretl1-dev (2021a-1)
GNU Regression, Econometric & Time-Series Library -- development package
libgrib2c-dev (1.6.2-2)
NCEP GRIB2 library - development files
libgrib2c0d (1.6.2-2)
NCEP GRIB2 encoder/decoder library
libgridsite-dev (3.0.0~20180202git2fdbc6f-3+b1)
Developers tools for gridsite
libgridsite6 (3.0.0~20180202git2fdbc6f-3+b1)
Run time libraries for mod_gridsite and gridsite-clients
libgridtools-dev (2.0.0-3)
Framework for storage and computation on Weather and Climate Grids
libgrilo-0.3-0 (0.3.13-1+deb11u1)
Framework for discovering and browsing media - Shared libraries
libgrilo-0.3-bin (0.3.13-1+deb11u1)
Framework for discovering and browsing media - Binaries
libgrilo-0.3-dev (0.3.13-1+deb11u1)
Framework for discovering and browsing media - Development files
libgrilo-0.3-doc (0.3.13-1+deb11u1)
Framework for discovering and browsing media - Documentation
libgringotts-dev (1:1.2.1-16)
development files for the gringotts data encapsulation library
libgringotts2 (1:1.2.1-16)
gringotts data encapsulation and encryption library
libgrok-dev (1.20110708.1-4.5)
development files for grok
libgrok-dev
本虛擬套件由這些套件填實: libgrok-dev
libgrok1 (1.20110708.1-4.5)
shared libraries for grok
libgromacs-dev (2020.6-2)
GROMACS molecular dynamics sim, development kit
libgromacs5 (2020.6-2)
GROMACS molecular dynamics sim, shared libraries
libgroonga-dev (11.0.0-2)
Development files to use Groonga as a library
libgroonga0 (11.0.0-2)
Library files for Groonga
libgroove-dev (4.3.0-5)
audio dispatching library (development files)
libgroove4 (4.3.0-5)
music player backend
libgroovefingerprinter-dev (4.3.0-5)
acoustid fingerprinter sink for libgroove (development files)
libgroovefingerprinter4 (4.3.0-5)
acoustid fingerprinter for libgroove
libgrooveloudness-dev (4.3.0-5)
loudness scanner sink for libgroove (development files)
libgrooveloudness4 (4.3.0-5)
loudness scanner for libgroove
libgrooveplayer-dev (4.3.0-5)
audio device playback sink for libgroove (development files)
libgrooveplayer4 (4.3.0-5)
hardware audio playback for libgroove
libgroovycsv-java (1.3-2)
CSV parsing library for Groovy
libgrpc++-dev (1.30.2-3)
high performance general RPC framework (development)
libgrpc++1 (1.30.2-3)
high performance general RPC framework
libgrpc-dev (1.30.2-3)
high performance general RPC framework (development)
libgrpc-java (1.26.0+ds-1)
Java gRPC implementation, HTTP/2 based RPC
libgrpc10 (1.30.2-3)
high performance general RPC framework
libgrss-dev (0.7.0-2+b11)
Glib-based library to manage RSS and Atom feeds - development files
libgrss0 (0.7.0-2+b11)
Glib-based library to manage RSS and Atom feeds
libgs-collections-java (5.1.0-4)
Goldman Sachs Collections
libgs-dev (9.53.3~dfsg-7+deb11u6)
interpreter for the PostScript language and for PDF - Development Files
libgs9 (9.53.3~dfsg-7+deb11u6)
interpreter for the PostScript language and for PDF - Library
libgs9-common (9.53.3~dfsg-7+deb11u6)
interpreter for the PostScript language and for PDF - common files
libgsasl-dev (1.10.0-4+deb11u1)
Development files for the GNU SASL library
libgsasl7 (1.10.0-4+deb11u1)
GNU SASL library
libgsasl7-dev (1.10.0-4+deb11u1)
Transitional development package for the GNU SASL library
libgsasl7-dev
本虛擬套件由這些套件填實: libgsasl-dev
libgsecuredelete-dev (0.3-3)
wrapper library for the secure-delete tools - development files
libgsecuredelete0 (0.3-3)
wrapper library for the secure-delete tools
libgsettings-qt-dev (0.2-4)
library to access GSettings from Qt (development files)
libgsettings-qt1 (0.2-4)
library to access GSettings from Qt (shared libraries)
libgsf-1-114 (1.14.47-1)
Structured File Library - runtime version
libgsf-1-common (1.14.47-1)
Structured File Library - common files
libgsf-1-dev (1.14.47-1)
Structured File Library - development files
libgsf-bin (1.14.47-1)
Structured File Library - programs
libgsl-dbg (2.6+dfsg-2)
GNU Scientific Library (GSL) -- debug symbols package
libgsl-dev (2.6+dfsg-2)
GNU Scientific Library (GSL) -- development package
libgsl0-dbg
本虛擬套件由這些套件填實: libgsl-dbg
libgsl0-dev
本虛擬套件由這些套件填實: libgsl-dev
libgsl25 (2.6+dfsg-2)
GNU Scientific Library (GSL) -- library package
libgslcblas0 (2.6+dfsg-2)
GNU Scientific Library (GSL) -- blas library package
libgsm-tools (1.0.18-2)
User binaries for a GSM speech compressor
libgsm1 (1.0.18-2)
Shared libraries for GSM speech compressor
libgsm1-dev (1.0.18-2)
Development libraries for a GSM speech compressor
libgsoap-2.8.104 (2.8.104-3)
Runtime libraries for gSOAP
libgsoap-dev (2.8.104-3)
Development libraries and headers for gSOAP
libgsound-dev (1.0.2-5)
small library for playing system sounds (devel)
libgsound-doc (1.0.2-5)
small library for playing system sounds (documentation)
libgsound0 (1.0.2-5)
small library for playing system sounds
libgspell-1-2 (1.8.4-1)
spell-checking library for GTK+ applications
libgspell-1-common (1.8.4-1)
libgspell architecture-independent files
libgspell-1-dev (1.8.4-1)
spell-checking library for GTK+ applications - development files
libgspell-1-doc (1.8.4-1)
spell-checking library for GTK+ applications - documentation
libgss-dev (1.0.3-6+deb11u1)
Development and documentation files for Generic Security Services
libgss3 (1.0.3-6+deb11u1)
Library for Generic Security Services
libgssapi-krb5-2 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - krb5 GSS-API Mechanism
libgssapi-perl (0.28-3+b3)
Perl extension providing access to the GSSAPIv2 library
libgssapi3-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - GSSAPI support library
libgssdp-1.2-0 (1.2.3-2)
GObject-based library for SSDP
libgssdp-1.2-dev (1.2.3-2)
GObject-based library for SSDP (development files)
libgssdp-doc (1.2.3-2)
GObject-based library for SSDP (documentation)
libgssglue-dev (0.4-2+b2)
header files and docs for libgssglue
libgssglue1 (0.4-2+b2)
mechanism-switch gssapi library
libgssrpc4 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - GSS enabled ONCRPC
libgst-dev (3.2.5-1.3+b2)
GNU Smalltalk virtual machine development files
libgst7 (3.2.5-1.3+b2)
GNU Smalltalk virtual machine shared library
libgstreamer-gl1.0-0 (1.18.4-2+deb11u1)
GStreamer GL libraries
libgstreamer-ocaml (0.3.0-2+b1)
OCaml interface to the GStreamer library -- runtime files
libgstreamer-ocaml-00n15
本虛擬套件由這些套件填實: libgstreamer-ocaml
libgstreamer-ocaml-684m8
本虛擬套件由這些套件填實: libgstreamer-ocaml
libgstreamer-ocaml-dev (0.3.0-2+b1)
OCaml interface to the GStreamer library -- development files
libgstreamer-ocaml-dev-00n15
本虛擬套件由這些套件填實: libgstreamer-ocaml-dev
libgstreamer-ocaml-dev-684m8
本虛擬套件由這些套件填實: libgstreamer-ocaml-dev
libgstreamer-ocaml-dev-qjpu1
本虛擬套件由這些套件填實: libgstreamer-ocaml-dev
libgstreamer-ocaml-dev-yy9p1
本虛擬套件由這些套件填實: libgstreamer-ocaml-dev
libgstreamer-ocaml-qjpu1
本虛擬套件由這些套件填實: libgstreamer-ocaml
libgstreamer-ocaml-yy9p1
本虛擬套件由這些套件填實: libgstreamer-ocaml
libgstreamer-opencv1.0-0 (1.18.4-3+deb11u4)
GStreamer OpenCV libraries
libgstreamer-plugins-bad1.0-0 (1.18.4-3+deb11u4)
GStreamer libraries from the "bad" set
libgstreamer-plugins-bad1.0-dev (1.18.4-3+deb11u4)
GStreamer development files for libraries from the "bad" set
libgstreamer-plugins-base1.0-0 (1.18.4-2+deb11u1)
GStreamer libraries from the "base" set
libgstreamer-plugins-base1.0-dev (1.18.4-2+deb11u1)
GStreamer development files for libraries from the "base" set
libgstreamer1.0-0 (1.18.4-2.1)
Core GStreamer libraries and elements
libgstreamer1.0-dev (1.18.4-2.1)
GStreamer core development files
libgstreamerd-3-0 (3.9.0-4)
GStreamer media framework - D bindings
libgstreamerd-3-dev (3.9.0-4)
GStreamer media framework - development files for D
libgstreamermm-1.0-1 (1.10.0+dfsg-3)
C++ wrapper library for GStreamer (shared libraries)
libgstreamermm-1.0-dev (1.10.0+dfsg-3)
C++ wrapper library for GStreamer (development files)
libgstreamermm-1.0-doc (1.10.0+dfsg-3)
C++ wrapper library for GStreamer (documentation)
libgstrtspserver-1.0-0 (1.18.4-2)
GStreamer RTSP Server (shared library)
libgstrtspserver-1.0-dev (1.18.4-2)
GStreamer RTSP Server (development files)
libgtest-dev (1.10.0.20201025-1.1)
Google's framework for writing C++ tests
libgtextutils-dev (0.7-7)
Gordon Text_utils library (development files)
libgtextutils0v5 (0.7-7)
Gordon Text_utils library
libgtg-dev (0.2-2+dfsg-7+b2)
Generic Trace Generator (GTG) - development files
libgtg-tools (0.2-2+dfsg-7+b2)
Generic Trace Generator (GTG) - tools
libgtg0 (0.2-2+dfsg-7+b2)
Generic Trace Generator (GTG) - shared library
libgtherm-0.0-0 (0.0.2-1+b1 [amd64], 0.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DBus service to monitor thermal information - shared library
libgtherm-dev (0.0.2-1+b1 [amd64], 0.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
DBus service to monitor thermal information - development files
libgtk-3-0 (3.24.24-4+deb11u3)
GTK graphical user interface library
libgtk-3-0-udeb (3.24.24-4+deb11u3)
GTK graphical user interface library - minimal runtime
libgtk-3-bin (3.24.24-4+deb11u3)
programs for the GTK graphical user interface library
libgtk-3-common (3.24.24-4+deb11u3)
common files for the GTK graphical user interface library
libgtk-3-dev (3.24.24-4+deb11u3)
development files for the GTK library
libgtk-3-doc (3.24.24-4+deb11u3)
documentation for the GTK graphical user interface library
libgtk-dotnet3.0-cil (2.99.3-4)
GTK.NET library
libgtk-dotnet3.0-cil-dev (2.99.3-4)
GTK.NET library - development files
libgtk-layer-shell-dev (0.5.2-2)
Wayland Layer Shell protocol desktop component library -- development files
libgtk-layer-shell0 (0.5.2-2)
Wayland Layer Shell protocol desktop component library
libgtk-sharp-beans-cil (2.14.1-4.1)
Supplementary CLI bindings for GTK 2.14+
libgtk-sharp-beans2.0-cil-dev (2.14.1-4.1)
Supplementary CLI bindings for GTK 2.14+ - development package
libgtk-vnc-2.0-0 (1.0.0-1)
VNC viewer widget for GTK+3 (runtime libraries)
libgtk-vnc-2.0-dev (1.0.0-1)
VNC viewer widget for GTK+3 (development files)
libgtk2-gst (3.2.5-1.3+b2)
GTK+ bindings and environment for GNU Smalltalk
libgtk2.0-0 (2.24.33-2)
GTK graphical user interface library - old version
libgtk2.0-0-udeb (2.24.33-2)
GTK graphical user interface library - minimal runtime
libgtk2.0-bin (2.24.33-2)
programs for the GTK graphical user interface library
libgtk2.0-cil (2.12.40-3)
CLI binding for the GTK+ toolkit 2.12
libgtk2.0-cil-dev (2.12.40-3)
CLI binding for the GTK+ toolkit 2.12
libgtk2.0-common (2.24.33-2)
common files for the GTK graphical user interface library
libgtk2.0-dev (2.24.33-2)
development files for the GTK library
libgtk2.0-doc (2.24.33-2)
documentation for the GTK graphical user interface library
libgtk3-imageview-perl (6-1)
image viewer widget for Gtk3
libgtk3-nocsd0 (3-1+b1)
Library to disable Gtk+ 3 client side decorations (CSD)
libgtk3-perl (0.038-1)
Perl bindings for the GTK+ graphical user interface library
libgtk3-simplelist-perl (0.21-1)
Perl simple interface to GTK+ 3's complex MVC list widget
libgtk3-webkit2-perl (0.06-4)
WebKit2 bindings for Perl
libgtk3.0-cil (2.99.3-4)
CLI binding for the GTK+ 3.0 toolkit
libgtk3.0-cil-dev (2.99.3-4)
CLI binding for the GTK+ toolkit 3.0
libgtkada-bin (21.0.0.785f3cf4-3)
Ada binding for the GTK+ GUI (development utilities)
libgtkada-doc (21.0.0.785f3cf4-3)
Ada binding for the GTK+ GUI (documentation)
libgtkada20 (21.0.0.785f3cf4-3)
Ada binding for the GTK+ GUI (dynamic library)
libgtkada20-dev (21.0.0.785f3cf4-3)
Ada binding for the GTK+ GUI (development files)
libgtkd-3-0 (3.9.0-4)
GTK+ graphical user interface library - D bindings
libgtkd-3-dev (3.9.0-4)
GTK+ graphical user interface library - development files for D
libgtkdatabox-dev (1:0.9.3.1-2)
Gtk+ library to display large amounts of numerical data (development)
libgtkdatabox-doc (1:0.9.3.1-2)
Gtk+ library to display large amounts of numerical data (API doc)
libgtkdatabox0 (1:0.9.3.1-2)
Gtk+ library to display large amounts of numerical data
libgtkdsv-3-0 (3.9.0-4)
GTK+ syntax highlighting widget - D bindings
libgtkdsv-3-dev (3.9.0-4)
GTK+ syntax highlighting widget - development files for D
libgtkextra-3.0 (3.3.4-4)
useful set of widgets for creating GUI's for GTK+
libgtkextra-3.0-doc (3.3.4-4)
documentation for libgtkextra-x11-3.0
libgtkextra-dev (3.3.4-4)
development files for libgtkextra-x11-3.0
libgtkgl2.0-1 (2.1.0-0.3)
OpenGL context support for GTK+ (shared libraries)
libgtkgl2.0-dev (2.1.0-0.3)
OpenGL context support for GTK+ (development files)
libgtkglext1 (1.2.0-9)
OpenGL Extension to GTK+ (shared libraries)
libgtkglext1-dev (1.2.0-9)
OpenGL Extension to GTK+ (development files)
libgtkglext1-doc (1.2.0-9)
OpenGL Extension to GTK+ (documentation)
libgtkglextmm-x11-1.2-0v5 (1.2.0-8)
C++ bindings for GtkGLExt (Shared libraries)
libgtkglextmm-x11-1.2-dev (1.2.0-8)
C++ bindings for GtkGLExt (Development files)
libgtkglextmm-x11-1.2-doc (1.2.0-8)
C++ bindings for GtkGLExt (Documentation)
libgtkhex-3-0 (3.18.4-1)
GNOME Hex editor for files (shared library)
libgtkhex-3-dev (3.18.4-1)
GNOME Hex editor for files (development headers)
libgtkhotkey-dev (0.2.1-6+b1)
Gtk hotkey static libraries and headers
libgtkhotkey1 (0.2.1-6+b1)
Gtk hotkey shared library
libgtkmathview-bin (0.8.0-15)
rendering engine for MathML documents
libgtkmathview-dev (0.8.0-15)
rendering engine for MathML documents
libgtkmathview0c2a (0.8.0-15)
rendering engine for MathML documents
libgtkmm-2.4-1v5 (1:2.24.5-4)
C++ wrappers for GTK+ 2 (shared libraries)
libgtkmm-2.4-dev (1:2.24.5-4)
C++ wrappers for GTK+ 2 (development files)
libgtkmm-2.4-doc (1:2.24.5-4)
C++ wrappers for GTK+ 2 (documentation)
libgtkmm-3.0-1v5 (3.24.2-2)
C++ wrappers for GTK+ (shared libraries)
libgtkmm-3.0-dev (3.24.2-2)
C++ wrappers for GTK+ (development files)
libgtkmm-3.0-doc (3.24.2-2)
C++ wrappers for GTK+ (documentation)
libgtkpod-dev (2.1.5-8)
main library for the gtkpod package, development kit
libgtkpod1 (2.1.5-8)
main library for the gtkpod package, shared library
libgtksourceview-3.0-1 (3.24.11-2)
shared libraries for the GTK+ syntax highlighting widget
libgtksourceview-3.0-common (3.24.11-2)
common files for the GTK+ syntax highlighting widget
libgtksourceview-3.0-dev (3.24.11-2)
development files for the GTK+ syntax highlighting widget
libgtksourceview-3.0-doc (3.24.11-2)
documentation for the GTK+ syntax highlighting widget
libgtksourceview-4-0 (4.8.0-1)
shared libraries for the GTK+ syntax highlighting widget
libgtksourceview-4-common (4.8.0-1)
common files for the GTK+ syntax highlighting widget
libgtksourceview-4-dev (4.8.0-1)
development files for the GTK+ syntax highlighting widget
libgtksourceview-4-doc (4.8.0-1)
documentation for the GTK+ syntax highlighting widget
libgtksourceviewmm-3.0-0v5 (3.18.0-4)
C++ binding of GtkSourceView
libgtksourceviewmm-3.0-dev (3.18.0-4)
C++ binding of GtkSourceView - development files
libgtksourceviewmm-3.0-doc (3.18.0-4)
C++ binding of GtkSourceView
libgtkspell-dev (2.0.16-1.3)
Development files for GtkSpell
libgtkspell0 (2.0.16-1.3)
spell-checking addon for GTK's TextView widget
libgtkspell3-3-0 (3.0.10-1)
spell-checking addon for GTK+'s TextView widget
libgtkspell3-3-dev (3.0.10-1)
Development files for GtkSpell
libgtkspellmm-3.0-0v5 (3.0.5+dfsg-3)
C++ wrapper library for GtkSpell (shared libraries)
libgtkspellmm-3.0-dev (3.0.5+dfsg-3)
C++ wrapper library for GtkSpell (development files)
libgtkspellmm-3.0-doc (3.0.5+dfsg-3)
C++ wrappers for GtkSpell (documentation)
libgtop-2.0-11 (2.40.0-2)
gtop system monitoring library (shared)
libgtop2-common (2.40.0-2)
gtop system monitoring library (common)
libgtop2-dev (2.40.0-2)
gtop system monitoring library (devel)
libgtop2-doc (2.40.0-2)
gtop system monitoring library (documentation)
libgtp-dev (1.6.0-2)
Development files for libgtp
libgtp6 (1.6.0-2)
library implementing the GTP protocol between SGSN and GGSN
libgts-0.7-5 (0.7.6+darcs121130-4+b1)
library to deal with 3D computational surface meshes
libgts-bin (0.7.6+darcs121130-4+b1)
utility binaries for libgts
libgts-dbg (0.7.6+darcs121130-4+b1)
debugging symbols for libgts
libgts-dev (0.7.6+darcs121130-4+b1)
development files for libgts
libguac-client-rdp0 (1.3.0-1+b1)
HTML5 web application for accessing remote desktops (RDP support)
libguac-client-ssh0 (1.3.0-1+b1)
HTML5 web application for accessing remote desktops (SSH support)
libguac-client-telnet0 (1.3.0-1+b1)
HTML5 web application for accessing remote desktops (Telnet support)
libguac-client-vnc0 (1.3.0-1+b1)
HTML5 web application for accessing remote desktops (VNC support)
libguac-dev (1.3.0-1+b1)
Guacamole proxy daemon (core library headers)
libguac19 (1.3.0-1+b1)
HTML5 web application for accessing remote desktops (library)
libguard-perl (1.023-1+b7)
Perl module providing safe cleanup using guard objects
libguava-java (29.0-6)
Suite of Google common libraries for Java
libguava-mini-java (0.1.3-1)
utilities from Guava
libguava-testlib-java (29.0-6)
Google Testing Libraries for Java
libgucharmap-2-90-7 (1:13.0.5-1)
Unicode browser widget library (shared library)
libgucharmap-2-90-dev (1:13.0.5-1)
Unicode browser widget library (development headers)
libgudev-1.0-0 (234-1)
GObject-based wrapper library for libudev
libgudev-1.0-dev (234-1)
libgudev-1.0 development files
libgudev1.0-cil (0.1-4.1)
GObject-based wrapper library for libudev -- CLI bindings
libgudev1.0-cil-dev (0.1-4.1)
GObject-based wrapper library for libudev -- CLI development files
libgudhi-dev (3.4.1+dfsg-1)
Generic open source C++ library for topological data analysis
libgudhi-doc (3.4.1+dfsg-1)
Documentation for the GUDHI library
libgudhi-examples (3.4.1+dfsg-1)
Example programs for the GUDHI library
libguess-dev (1.2-4)
high-speed character set detection library (development)
libguess1 (1.2-4)
high-speed character set detection library
libguestfs-dev (1:1.44.0-2)
guest disk image management system - development headers
libguestfs-gfs2 (1:1.44.0-2)
guest disk image management system - GFS2 support
libguestfs-gobject-1.0-0 (1:1.44.0-2)
guest disk image management system - GObject bindings
libguestfs-gobject-dev (1:1.44.0-2)
guest disk image management system - GObject development headers
libguestfs-hfsplus (1:1.44.0-2)
guest disk image management system - HFS+ support
libguestfs-java (1:1.44.0-2)
guest disk image management system - Java bindings
libguestfs-jfs (1:1.44.0-2)
guest disk image management system - JFS support
libguestfs-nilfs (1:1.44.0-2)
guest disk image management system - NILFS v2 support
libguestfs-ocaml (1:1.44.0-2)
guest disk image management system - OCaml bindings
libguestfs-ocaml-dev (1:1.44.0-2)
guest disk image management system - OCaml development files
libguestfs-ocaml-dev-lq4e8
本虛擬套件由這些套件填實: libguestfs-ocaml-dev
libguestfs-ocaml-dev-pdd89
本虛擬套件由這些套件填實: libguestfs-ocaml-dev
libguestfs-ocaml-dev-pv2f1
本虛擬套件由這些套件填實: libguestfs-ocaml-dev
libguestfs-ocaml-dev-rd574
本虛擬套件由這些套件填實: libguestfs-ocaml-dev
libguestfs-ocaml-lq4e8
本虛擬套件由這些套件填實: libguestfs-ocaml
libguestfs-ocaml-pdd89
本虛擬套件由這些套件填實: libguestfs-ocaml
libguestfs-ocaml-pv2f1
本虛擬套件由這些套件填實: libguestfs-ocaml
libguestfs-ocaml-rd574
本虛擬套件由這些套件填實: libguestfs-ocaml
libguestfs-perl (1:1.44.0-2)
guest disk image management system - Perl bindings
libguestfs-reiserfs (1:1.44.0-2)
guest disk image management system - ReiserFS support
libguestfs-rescue (1:1.44.0-2)
guest disk image management system - virt-rescue enhancements
libguestfs-rsync (1:1.44.0-2)
guest disk image management system - rsync support
libguestfs-tools (1:1.44.0-2)
guest disk image management system - tools
libguestfs-xfs (1:1.44.0-2)
guest disk image management system - XFS support
libguestfs-zfs (1:1.44.0-2)
guest disk image management system - ZFS support
libguestfs0 (1:1.44.0-2)
guest disk image management system - shared library
libguice-java (4.2.3-2)
lightweight dependency injection framework for Java 5 and above
libguichan-0.8.1-1v5 (0.8.2-20)
small, efficient C++ GUI library
libguichan-allegro-0.8.1-1v5 (0.8.2-20)
small, efficient C++ GUI library (allegro integration)
libguichan-dev (0.8.2-20)
small, efficient C++ GUI library (development headers)
libguichan-opengl-0.8.1-1v5 (0.8.2-20)
small, efficient C++ GUI library (OpenGL integration)
libguichan-sdl-0.8.1-1v5 (0.8.2-20)
small, efficient C++ GUI library (SDL integration)
libguile-dev (= 2.2.7+1-6)
本虛擬套件由這些套件填實: guile-2.2-dev
libguile-dev (= 3.0.5-4)
本虛擬套件由這些套件填實: guile-3.0-dev
libguile-ssh13 (0.13.1-4)
guile bindings for libssh
libgulkan-0.15-0 (0.15.1-2)
GLib Vulkan helper library
libgulkan-dev (0.15.1-2)
GLib Vulkan helper library -- development files
libgulkan-doc (0.15.1-2)
GLib Vulkan helper library -- documentation files
libgulkan-utils (0.15.1-2)
GLib Vulkan helper library -- utilities
libgumbo-dev (0.10.1+dfsg-2.4)
pure-C HTML5 parser development files
libgumbo1 (0.10.1+dfsg-2.4)
pure-C HTML5 parser
libgupnp-1.2-0 (1.2.4-1)
GObject-based library for UPnP
libgupnp-1.2-dev (1.2.4-1)
GObject-based library for UPnP (development files)
libgupnp-av-1.0-2 (0.12.11-2)
Audio/Visual utility library for GUPnP
libgupnp-av-1.0-dev (0.12.11-2)
Audio/Visual utility library for GUPnP (development files)
libgupnp-av-doc (0.12.11-2)
Audio/Visual utility library for GUPnP (documentation)
libgupnp-dlna-2.0-3 (0.10.5-4)
DLNA utility library for GUPnP
libgupnp-dlna-2.0-dev (0.10.5-4)
DLNA utility library for GUPnP (development files)
libgupnp-dlna-doc (0.10.5-4)
DLNA utility library for GUPnP (documentation)
libgupnp-doc (1.2.4-1)
GObject-based library for UPnP (documentation)
libgupnp-igd-1.0-4 (1.2.0-1)
library to handle UPnP IGD port mapping
libgupnp-igd-1.0-dev (1.2.0-1)
library to handle UPnP IGD port mapping - development files
libgupnp-igd-1.0-doc (1.2.0-1)
library to handle UPnP IGD port mapping - documentation
libgusb-dev (0.3.5-1)
GLib wrapper around libusb1 - development files
libgusb-doc (0.3.5-1)
GLib wrapper around libusb1 - documentation
libgusb2 (0.3.5-1)
GLib wrapper around libusb1
libgutenprint-common (5.3.3-5)
support files for the Gutenprint printer driver library
libgutenprint-dev (5.3.3-5)
development files for the Gutenprint printer driver library
libgutenprint-doc (5.3.3-5)
documentation for the Gutenprint printer driver library
libgutenprint9 (5.3.3-5)
runtime for the Gutenprint printer driver library
libgutenprintui2-2 (5.3.3-5)
runtime for the Gutenprint printer driver user interface library
libgutenprintui2-dev (5.3.3-5)
development files for the Gutenprint printer driver user interface library
libguvcview-2.0-2 (2.0.6+debian-1+b2)
GTK+ base UVC Viewer - runtime
libguvcview-dev (2.0.6+debian-1+b2)
GTK+ base UVC Viewer - development files
libguytools2 (2.1.0-1)
libguytools is a small programming toolbox
libguytools2-dev (2.1.0-1)
development files for libguytools being a small programming toolbox
libgv-guile (2.42.2-5)
Guile bindings for graphviz
libgv-lua (2.42.2-5)
Lua bindings for graphviz
libgv-perl (2.42.2-5)
Perl bindings for graphviz
libgv-php7 (2.42.2-5)
PHP7 bindings for graphviz
libgv-ruby (2.42.2-5)
Ruby bindings for graphviz
libgv-tcl (2.42.2-5)
Tcl bindings for graphviz
libgvc6 (2.42.2-5)
rich set of graph drawing tools - gvc library
libgvc6-plugins-gtk (2.42.2-5)
rich set of graph drawing tools - gtk plugins
libgvnc-1.0-0 (1.0.0-1)
VNC GObject wrapper (runtime libraries)
libgvnc-1.0-dev (1.0.0-1)
VNC GObject wrapper (development files)
libgvpr2 (2.42.2-5)
rich set of graph drawing tools - gvpr library
libgweather-3-16 (3.36.1-3)
GWeather shared library
libgweather-3-dev (3.36.1-3)
GWeather development files
libgweather-common (3.36.1-3)
GWeather common files
libgwengui-fox16-79 (5.6.0-2)
Gwenhywfar GUI implementation for the FOX Toolkit
libgwengui-fox16-dev (5.6.0-2)
Gwenhywfar GUI implementation for the FOX Toolkit (development files)
libgwengui-gtk3-79 (5.6.0-2)
Gwenhywfar GUI implementation for GTK3
libgwengui-gtk3-dev (5.6.0-2)
Gwenhywfar GUI implementation for GTK3 (development files)
libgwengui-qt5-79 (5.6.0-2)
Gwenhywfar GUI implementation for Qt5
libgwengui-qt5-dev (5.6.0-2)
Gwenhywfar GUI implementation for Qt 5 (development files)
libgwenhywfar-core-dev (5.6.0-2)
OS abstraction layer (core development files)
libgwenhywfar-data (5.6.0-2)
OS abstraction layer - data files
libgwenhywfar-doc (5.6.0-2)
OS abstraction layer (API documentation)
libgwenhywfar79 (5.6.0-2)
OS abstraction layer
libgwenhywfar79-plugins-ct
本虛擬套件由這些套件填實: libchipcard6
libgwrap-runtime-dev (1.9.15-3.1)
scripting interface generator for C - development files
libgwrap-runtime2 (1.9.15-3.1)
scripting interface generator for C - runtime
libgwyddion2-0 (2.57-1)
libraries for Gwyddion SPM analysis tool
libgwyddion20-dev (2.57-1)
header files for Gwyddion SPM analysis tool
libgwyddion20-doc (2.57-1)
HTML library API documentation for Gwyddion SPM analysis tool
libgxps-dev (0.3.2-1)
handling and rendering XPS documents (development files)
libgxps-doc (0.3.2-1)
library for handling and rendering XPS documents (documentation)
libgxps-utils (0.3.2-1)
handling and rendering XPS documents (utilities)
libgxps2 (0.3.2-1)
handling and rendering XPS documents (library)
libgxr-0.15-0 (0.15.1-2)
glib wrapper for OpenXR APIs
libgxr-dev (0.15.1-2)
glib wrapper for OpenVR and OpenXR APIs - development headers
libgxr-openvr-0.15-0 (0.15.1-2) [contrib]
gxr backend for nonfree OpenVR libraries
libgxw-dev (0.42.1+dfsg1-2)
Guitarix - GTK+ library (development files)
libgxw0 (0.42.1+dfsg1-2)
Guitarix - GTK+ library
libgxwmm-dev (0.42.1+dfsg1-2)
Guitarix - GTK+ C++ widget library (development files)
libgxwmm0 (0.42.1+dfsg1-2)
Guitarix - GTK+ C++ widget library
libgyoto8 (1.4.4-3+b6)
Gyoto framework main library an standard plug-in
libgyoto8-dev (1.4.4-3+b6)
development files for libgyoto
libgzstream-dev (1.5+dfsg-5)
provide functionality of zlib C-library in a C++ iostream (development)
libgzstream0 (1.5+dfsg-5)
provide functionality of zlib C-library in a C++ iostream
libh2-java (1.4.197-4+deb11u1)
H2 Database Engine
libh2-java-doc (1.4.197-4+deb11u1)
H2 Database Engine (documentation)
libh2o-dev (2.2.5+dfsg2-6)
dev helpers of the H2O library compiled with libuv
libh2o-dev-common (2.2.5+dfsg2-6)
H2O library headers
libh2o-evloop-dev (2.2.5+dfsg2-6)
dev helpers of the H2O library compiled with its own event loop
libh2o-evloop0.13 (2.2.5+dfsg2-6)
H2O library compiled with its own event loop
libh2o0.13 (2.2.5+dfsg2-6)
H2O library compiled with libuv
libhac-java (0.20110510-3)
hierarchical agglomerative clustering
libhac-java-doc (0.20110510-3)
API documentation for hierarchical agglomerative clustering
libhackrf-dev (2018.01.1-2)
Software defined radio peripheral - development
libhackrf0 (2018.01.1-2)
Software defined radio peripheral - runtime library
libhamcrest-java (1.3-9)
library of matchers for building test expressions
libhamcrest-java-doc (1.3-9)
library of matchers for building test expressions - documentation
libhamlib++-dev (4.0-7)
Development C++ library to control radio transceivers and receivers
libhamlib++4 (4.0-7)
Run-time C++ library to control radio transceivers and receivers
libhamlib-dev (4.0-7)
Development library to control radio transceivers and receivers
libhamlib-doc (4.0-7)
Documentation for the hamlib radio control library
libhamlib-perl (4.0-7)
Run-time perl library to control radio transceivers and receivers
libhamlib-utils (4.0-7)
Utilities to support the hamlib radio control library
libhamlib2-perl (4.0-7)
Transitional package depending on libhamlib-perl
libhamlib2-perl
本虛擬套件由這些套件填實: libhamlib-perl
libhamlib2-tcl (4.0-7)
Transitional package depending on tcl-hamlib
libhamlib2-tcl
本虛擬套件由這些套件填實: tcl-hamlib
libhamlib4 (4.0-7)
Run-time library to control radio transceivers and receivers
libhandy-0.0-0 (0.0.13-3)
Library with GTK widgets for mobile phones
libhandy-0.0-dev (0.0.13-3)
Development files for libhandy
libhandy-1-0 (1.0.3-2)
Library with GTK widgets for mobile phones
libhandy-1-dev (1.0.3-2)
Development files for libhandy
libhangul-data (0.1.0+git20191003-2)
Hangul keyboard input library - data
libhangul-dev (0.1.0+git20191003-2)
Hangul keyboard input library - development files
libhangul1 (0.1.0+git20191003-2)
Hangul keyboard input library - runtime
libharfbuzz-bin (2.7.4-1)
OpenType text shaping engine (utility)
libharfbuzz-dev (2.7.4-1)
Development files for OpenType text shaping engine
libharfbuzz-doc (2.7.4-1)
Documentation files for the HarfBuzz library
libharfbuzz-gobject0 (2.7.4-1)
OpenType text shaping engine ICU backend (GObject library)
libharfbuzz-icu0 (2.7.4-1)
OpenType text shaping engine ICU backend
libharfbuzz0-udeb (2.7.4-1)
OpenType text shaping engine
libharfbuzz0b (2.7.4-1)
OpenType text shaping engine (shared library)
libharminv-dev (1.4.1-2+b1 [amd64], 1.4.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Library for using harminv, development version
libharminv3 (1.4.1-2+b1 [amd64], 1.4.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Library for using harminv
libharp-dev (1.12-1+b1)
Data harmonisation toolset for Earth Observation formats
libharp12 (1.12-1+b1)
Data harmonisation toolset for Earth Observation formats
libhash-asobject-perl (0.13-3)
Perl modules to treat hashes as objects
libhash-case-perl (1.050-1)
base class for hashes with key-casing requirements
libhash-defhash-perl (0.071-2)
module to manipulate DefHash
libhash-diff-perl (0.010-1)
module for creating the difference between two hashes
libhash-fieldhash-perl (0.15-1+b3)
Perl module implementing a lightweight field hash
libhash-flatten-perl (1.19-2)
flatten/unflatten complex data hashes
libhash-merge-perl (0.302-1)
Perl module for merging arbitrarily deep hashes into a single hash
libhash-merge-simple-perl (0.051-2.1)
Perl module to easily merge two or more hashes
libhash-moreutils-perl (0.06-1)
module with extra hash functions not found in Hash::Util
libhash-multivalue-perl (0.16-1)
module for storing multiple values per key in a hash
libhash-storediterator-perl (0.008-2+b3)
Perl module for accessing a hashes internal iterator
libhash-util-fieldhash-compat-perl (0.11-1.1)
Perl module providing a Hash::Util::FieldHash compatible API
libhash-withdefaults-perl (0.05-2.1)
class for hashes with key-casing requirements supporting defaults
libhashkit-dev (1.0.18-4.2)
libmemcached hashing functions and algorithms (development files)
libhashkit2 (1.0.18-4.2)
libmemcached hashing functions and algorithms
libhat-trie-dev (0.1.2-2)
Development headers and static libraries for HAT-trie library
libhat-trie-dev
本虛擬套件由這些套件填實: libhat-trie-dev
libhat-trie0 (0.1.2-2)
HAT-trie, an extremely efficient (space and time) modern variant of tries
libhavege-dev (1.9.14-1)
entropy source using the HAVEGE algorithm - development files
libhavege2 (1.9.14-1)
entropy source using the HAVEGE algorithm - shared library
libhawknl (1.6.8+dfsg2-1+b2)
Hawk game oriented network library API
libhawknl-dbg (1.6.8+dfsg2-1+b2)
Hawk game oriented network library API (debug package)
libhawknl-dev (1.6.8+dfsg2-1+b2)
Hawk game oriented network library API (development headers)
libhawtbuf-java (1.11-1)
HawtBuf rich byte buffer library
libhawtdispatch-java (1.22-2.1)
libdispatch style API for Java and Scala
libhawtjni-generator-java (1.17-1)
HawtJNI Generator
libhawtjni-maven-plugin-java (1.17-1)
HawtJNI Maven Plugin
libhawtjni-runtime-java (1.17-1)
Java library that provide JNI code generation
libhbaapi-dev (2.2.9-3)
SNIA HBAAPI library (development files)
libhbaapi2 (2.2.9-3)
SNIA HBAAPI library
libhbalinux-dev (1.0.16-2)
Library headers files for retrieving FCOE adapter information
libhbalinux2 (1.0.16-2)
Library for retrieving FCOE adapter information
libhbci4j-core-java (3.1.49+dfsg-1)
Java online banking client using the HBCI standard
libhbci4j-core-java-doc (3.1.49+dfsg-1)
Documentation for Java online banking client using the HBCI standard
libhcrypto4-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - crypto library
libhd-dev (21.72-1)
Hardware identification system library and headers
libhd-doc (21.72-1)
Hardware identification system library documentation
libhd12-dev
本虛擬套件由這些套件填實: libhd-dev
libhd12-doc
本虛擬套件由這些套件填實: libhd-doc
libhd13-dev
本虛擬套件由這些套件填實: libhd-dev
libhd13-doc
本虛擬套件由這些套件填實: libhd-doc
libhd14-dev
本虛擬套件由這些套件填實: libhd-dev
libhd14-doc
本虛擬套件由這些套件填實: libhd-doc
libhd15-dev
本虛擬套件由這些套件填實: libhd-dev
libhd15-doc
本虛擬套件由這些套件填實: libhd-doc
libhd16-dev
本虛擬套件由這些套件填實: libhd-dev
libhd16-doc
本虛擬套件由這些套件填實: libhd-doc
libhd20-dev
本虛擬套件由這些套件填實: libhd-dev
libhd20-doc
本虛擬套件由這些套件填實: libhd-doc
libhd21 (21.72-1)
Hardware identification system library
libhd21-dev
本虛擬套件由這些套件填實: libhd-dev
libhd21-doc
本虛擬套件由這些套件填實: libhd-doc
libhdate-dev (1.6.02-2.1+b1)
Provides a library that help use Hebrew dates (development files)
libhdate-perl (1.6.02-2.1+b1)
Provides a library that help use Hebrew dates (perl bindings)
libhdate1 (1.6.02-2.1+b1)
Provides a library that help use Hebrew dates
libhdb9-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - kadmin server library
libhdcpsdk-dev (20.3.0-1+b1)
Intel unified HDCP implementation -- development files
libhdcpsdk0 (20.3.0-1+b1)
Intel unified HDCP implementation -- shared library
libhdf4-0 (4.2.15-3)
Hierarchical Data Format library (embedded NetCDF)
libhdf4-0-alt (4.2.15-3)
Hierarchical Data Format library (without NetCDF)
libhdf4-alt-dev (4.2.15-3)
Hierarchical Data Format development files (without NetCDF)
libhdf4-dev (4.2.15-3)
Hierarchical Data Format development files (embedded NetCDF)
libhdf4-doc (4.2.15-3)
Hierarchical Data Format library -- documentation
libhdf4g-doc
本虛擬套件由這些套件填實: libhdf4-doc
libhdf5-103 (1.10.6+repack-4+deb11u1)
HDF5 C runtime files - serial version (transitional package)
libhdf5-103-1 (1.10.6+repack-4+deb11u1)
HDF5 C runtime files - serial version
libhdf5-cpp-103 (1.10.6+repack-4+deb11u1)
HDF5 - C++ runtime files - serial version (transitional package)
libhdf5-cpp-103-1 (1.10.6+repack-4+deb11u1)
HDF5 - C++ runtime files - serial version
libhdf5-dev (1.10.6+repack-4+deb11u1)
HDF5 - development files - serial version
libhdf5-doc (1.10.6+repack-4+deb11u1)
HDF5 - Documentation
libhdf5-fortran-102 (1.10.6+repack-4+deb11u1)
HDF5 Fortran runtime files - serial version
libhdf5-hl-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level runtime files - serial version
libhdf5-hl-cpp-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level C++ runtime files - serial version
libhdf5-hl-fortran-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level Fortran runtime files - serial version
libhdf5-java (1.10.6+repack-4+deb11u1)
HDF5 - Java Wrapper Library
libhdf5-jni (1.10.6+repack-4+deb11u1)
native library used by libhdf5-java
libhdf5-mpi-dev (1.10.6+repack-4+deb11u1)
HDF5 - development files - default MPI version
libhdf5-mpich-103 (1.10.6+repack-4+deb11u1)
HDF5 - C runtime files - MPICH2 version (transitional package)
libhdf5-mpich-103-1 (1.10.6+repack-4+deb11u1)
HDF5 - C runtime files - MPICH2 version
libhdf5-mpich-cpp-103-1 (1.10.6+repack-4+deb11u1)
HDF5 - C++ runtime files - MPICH2 version - unsupported
libhdf5-mpich-dev (1.10.6+repack-4+deb11u1)
HDF5 - development files - MPICH2 version
libhdf5-mpich-fortran-102 (1.10.6+repack-4+deb11u1)
HDF5 Fortran runtime files - MPICH2 version
libhdf5-mpich-hl-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level runtime files - MPICH2 version
libhdf5-mpich-hl-cpp-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level C++ runtime files - MPICH2 version - unsupported
libhdf5-mpich-hl-fortran-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level Fortran runtime files - MPICH2 version
libhdf5-openmpi-103 (1.10.6+repack-4+deb11u1)
HDF5 - C runtime files - OpenMPI version (transitional package)
libhdf5-openmpi-103-1 (1.10.6+repack-4+deb11u1)
HDF5 - C runtime files - OpenMPI version
libhdf5-openmpi-cpp-103-1 (1.10.6+repack-4+deb11u1)
HDF5 - C++ runtime files - OpenMPI version - unsupported
libhdf5-openmpi-dev (1.10.6+repack-4+deb11u1)
HDF5 - development files - OpenMPI version
libhdf5-openmpi-fortran-102 (1.10.6+repack-4+deb11u1)
HDF5 Fortran runtime files - OpenMPI version
libhdf5-openmpi-hl-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level runtime files - OpenMPI version
libhdf5-openmpi-hl-cpp-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level C++ runtime files - OpenMPI version - unsupported
libhdf5-openmpi-hl-fortran-100 (1.10.6+repack-4+deb11u1)
HDF5 High Level Fortran runtime files - OpenMPI version
libhdf5-serial-dev
本虛擬套件由這些套件填實: libhdf5-dev
libhdfeos-dev (2.20v1.00-1+b1)
Development files for the HDF-EOS4 library
libhdfeos0 (2.20v1.00-1+b1)
Earth Observation System extensions to HDF4
libhdhomerun-dev (20210210-1)
Development library for Silicon Dust HD HomeRun
libhdhomerun4 (20210210-1)
Library for Silicon Dust HD HomeRun
libhdrhistogram-java (2.1.11-1)
High Dynamic Range (HDR) Histogram
libhe5-hdfeos-dev (5.1.16.dfsg.1-4)
Development files for the HDF-EOS5 library
libhe5-hdfeos0 (5.1.16.dfsg.1-4)
Earth Observation System extensions to HDF5
libheadius-options-java (1.4-2)
Java library for JVM property-driven configuration
libheadius-options-java-doc (1.4-2)
Documentation for headius-options
libhealpix-cxx-dev (3.60.0-2+b1)
representation of spherical data - C++ development library
libhealpix-cxx2 (3.60.0-2+b1)
representation of spherical data - C++ shared library
libhealpix-dev (3.60+ds-1+b2)
HEALPix representation of spherical data - Fortran development library
libhealpix-java (3.60+ds-4)
HEALPix representation of spherical data - Java library
libhealpix-java-doc (3.60+ds-4)
HEALPix representation of spherical data - Java documentation
libhealpix0 (3.60+ds-1+b2)
HEALPix representation of spherical data - Fortran library
libheap-perl (0.80-3)
Perl extensions for keeping data partially sorted
libheaptrack (1.2.0-1+b1)
heap memory profiler for Linux
libheartbeat2 (1:3.0.6-11+deb11u1)
Subsystem for High-Availability Linux (libraries)
libheartbeat2-dev (1:3.0.6-11+deb11u1)
Subsystem for High-Availability Linux (development files)
libheif-dev (1.11.0-1)
ISO/IEC 23008-12:2017 HEIF file format decoder - development files
libheif-examples (1.11.0-1)
ISO/IEC 23008-12:2017 HEIF file format decoder - examples
libheif1 (1.11.0-1)
ISO/IEC 23008-12:2017 HEIF file format decoder - shared library
libheimbase1-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - Base library
libheimdal-kadm5-perl (0.08-5+b3)
Perl module to administer a Heimdal Kerberos KDC
libheimntlm0-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - NTLM support library
libhepmc3 (3.1.2-2)
Event Record for Monte Carlo Generators
libhepmc3-dev (3.1.2-2)
Event Record for Monte Carlo Generators - development files
libhepmc3-search (3.1.2-2)
Monte Carlo event record FIO library - search engine
libhepmc3-search-dev (3.1.2-2)
Event Record for Monte Carlo Generators - development files for search engine
libhera-dev (0~git20200602+dfsg-3)
Library for efficient bottleneck and Wasserstein distances
libhersheyfont-dev (0.1-1.1)
Hershey vector fonts library development files
libhersheyfont0 (0.1-1.1)
Hershey vector fonts shared library
libhesiod-dev (3.2.1-3.1)
Project Athena's DNS-based directory service - development files
libhesiod0 (3.2.1-3.1)
Project Athena's DNS-based directory service - libraries
libhessian-java (4.0.38-2.1)
Java implementation of a binary protocol for web services
libhessian-java-doc (4.0.38-2.1)
Documentation for libhessian-java
libhex-ocaml (1.4.0-1+b4 [amd64], 1.4.0-1+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library providing hexadecimal converters (runtime)
libhex-ocaml-birh6
本虛擬套件由這些套件填實: libhex-ocaml
libhex-ocaml-dev (1.4.0-1+b4 [amd64], 1.4.0-1+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library providing hexadecimal converters (dev)
libhex-ocaml-dev-birh6
本虛擬套件由這些套件填實: libhex-ocaml-dev
libhex-ocaml-dev-hu7f3
本虛擬套件由這些套件填實: libhex-ocaml-dev
libhex-ocaml-dev-p95c5
本虛擬套件由這些套件填實: libhex-ocaml-dev
libhex-ocaml-dev-t9876
本虛擬套件由這些套件填實: libhex-ocaml-dev
libhex-ocaml-hu7f3
本虛擬套件由這些套件填實: libhex-ocaml
libhex-ocaml-p95c5
本虛擬套件由這些套件填實: libhex-ocaml
libhex-ocaml-t9876
本虛擬套件由這些套件填實: libhex-ocaml
libhexbox1.5-cil (1.5.0-5)
simple but powerful editor for binary files - libs
libhfsp-dev (1.0.4-16)
Library to access HFS+ formatted volumes
libhfsp0 (1.0.4-16)
Shared library to access HFS+ formatted volumes
libhfst
本虛擬套件由這些套件填實: libhfst52
libhfst-dev (3.15.1-2+b5)
Helsinki Finite-State Transducer Technology Development files
libhfst52 (3.15.1-2+b5)
Helsinki Finite-State Transducer Technology Libraries
libhfstospell
本虛擬套件由這些套件填實: libhfstospell11
libhfstospell11 (0.5.2-1+b1)
HFST spell checker runtime libraries
libhibernate-annotations-java
本虛擬套件由這些套件填實: libhibernate3-java
libhibernate-commons-annotations-java (3.2.0.Final-4)
Hibernate Commons Annotations
libhibernate-entitymanager-java
本虛擬套件由這些套件填實: libhibernate3-java
libhibernate-validator-java (5.3.6-1)
Hibernate Validator
libhibernate-validator4-java (4.3.4-4)
Hibernate Validator
libhibernate3-java (3.6.10.Final-11)
Relational Persistence for Idiomatic Java
libhiccup-clojure (1.0.5-1.1)
fast library for rendering HTML in Clojure
libhidapi-dev (0.10.1+dfsg-1)
Multi-Platform library for communication with HID devices (development files)
libhidapi-hidraw0 (0.10.1+dfsg-1)
Multi-Platform library for communication with HID devices (hidraw backend)
libhidapi-libusb0 (0.10.1+dfsg-1)
Multi-Platform library for communication with HID devices (libusb backend)
libhighlight-perl (3.41-2+b3)
perl bindings for highlight source code to formatted text converter
libhighwayhash-dev (0~git20200803.9490b14-2)
Fast strong hash functions: SipHash/HighwayHash (development)
libhighwayhash0 (0~git20200803.9490b14-2)
Fast strong hash functions: SipHash/HighwayHash (library)
libhijk-perl (0.28-1)
simple perl module for easily accessing HTTP services
libhikaricp-java (2.7.9-1)
high-performance JDBC connection pool library
libhime (0.9.11+dfsg-2)
library for HIME
libhinawa-dev (2.2.0-1)
I/O library for IEEE 1394 asynchronous transactions (development file)
libhinawa2 (2.2.0-1)
I/O library for IEEE 1394 asynchronous transactions
libhiredis-dev (0.14.1-1)
minimalistic C client library for Redis (development files)
libhiredis0.14 (0.14.1-1)
minimalistic C client library for Redis
libhivex-bin (1.3.20-1)
utilities for reading and writing Windows Registry hives
libhivex-dev (1.3.20-1)
library for reading and writing Windows Registry hives
libhivex-ocaml (1.3.20-1)
OCaml bindings for hivex -- runtime files
libhivex-ocaml-8ow63
本虛擬套件由這些套件填實: libhivex-ocaml
libhivex-ocaml-dev (1.3.20-1)
OCaml bindings for hivex -- development files
libhivex-ocaml-dev-8ow63
本虛擬套件由這些套件填實: libhivex-ocaml-dev
libhivex-ocaml-dev-l3uf9
本虛擬套件由這些套件填實: libhivex-ocaml-dev
libhivex-ocaml-dev-pv0k3
本虛擬套件由這些套件填實: libhivex-ocaml-dev
libhivex-ocaml-dev-xwdj5
本虛擬套件由這些套件填實: libhivex-ocaml-dev
libhivex-ocaml-l3uf9
本虛擬套件由這些套件填實: libhivex-ocaml
libhivex-ocaml-pv0k3
本虛擬套件由這些套件填實: libhivex-ocaml
libhivex-ocaml-xwdj5
本虛擬套件由這些套件填實: libhivex-ocaml
libhivex0 (1.3.20-1)
library for reading and writing Windows Registry hives
libhkl-dev (5.0.0.2661-1+b1)
diffractometer computation control library - development files
libhkl-doc (5.0.0.2661-1)
diffractometer computation control library - documentation
libhkl5 (5.0.0.2661-1+b1)
diffractometer computation control library
libhmat-oss-dev (1.2.0-2.1)
headers and development libraries for HMat
libhmat-oss1 (1.2.0-2.1)
dynamic libraries for HMat
libhmat-oss1-dbg (1.2.0-2.1)
debug symbols for HMat
libhmmer2-dev (2.3.2+dfsg-7)
profile hidden Markov models for protein sequence analysis (devel)
libhmsbeagle-dev (3.1.2+dfsg-10)
High-performance lib for Bayesian and Maximum Likelihood phylogenetics (devel)
libhmsbeagle-java (3.1.2+dfsg-10)
High-performance lib for Bayesian and Maximum Likelihood phylogenetics (java)
libhmsbeagle1v5 (3.1.2+dfsg-10)
High-performance lib for Bayesian and Maximum Likelihood phylogenetics
libhnswlib-dev (0.4.0-3+deb11u1)
fast approximate nearest neighbor search
libhocr-dev (0.10.18-3.2)
Developemnt files for hocr library
libhocr0 (0.10.18-3.2)
Hebrew OCR library
libhoel-dev (1.4.17-2)
database abstraction library written in C - development
libhoel1.4 (1.4.17-2)
database abstraction library written in C
libhogweed6 (3.7.3-1)
low level cryptographic library (public-key cryptos)
libhomfly-dev (1.02r6-1)
compute the homfly polynomial of a link (development files)
libhomfly0 (1.02r6-1)
compute the homfly polynomial of a link
libhoneysql-clojure (0.8.2-2)
library for mapping Clojure data structures to SQL
libhook-lexwrap-perl (0.26-1)
module for lexically scoped subroutine wrappers
libhook-wrapsub-perl (0.07-1)
module to wrap subs with pre- and post-call hooks
libhostfile-manager-perl (0.09-1.1)
manage a hostfile by composing multiple fragments into a whole
libhpdf-2.3.0 (2.3.0+dfsg-1+b1)
C library for generating pdf files
libhpdf-dev (2.3.0+dfsg-1+b1)
C library for generating pdf files (development files)
libhpmud-dev (3.21.2+dfsg1-2)
HP Multi-Point Transport Driver (hpmud) development libraries
libhpmud0 (3.21.2+dfsg1-2)
HP Multi-Point Transport Driver (hpmud) run-time libraries
libhpptools-dev (1.1.1-3)
various C++ header tools
libhsail-rt-10-dev (10.2.1-6)
HSAIL runtime library (development files)
libhsail-rt-10-dev-amd64-cross (10.2.1-6cross1)
HSAIL runtime library (development files)
libhsail-rt-10-dev-i386-cross (10.2.1-6cross1)
HSAIL runtime library (development files)
libhsail-rt-10-dev-x32-cross (10.2.1-6cross1)
HSAIL runtime library (development files)
libhsail-rt-9-dev (9.3.0-22)
HSAIL runtime library (development files)
libhsail-rt-9-dev-amd64-cross (9.3.0-22cross1)
HSAIL runtime library (development files)
libhsail-rt-9-dev-i386-cross (9.3.0-22cross1)
HSAIL runtime library (development files)
libhsail-rt-9-dev-x32-cross (9.3.0-22cross1)
HSAIL runtime library (development files)
libhsail-rt0 (10.2.1-6)
HSAIL runtime library
libhsail-rt0-amd64-cross (10.2.1-6cross1)
HSAIL runtime library
libhsail-rt0-i386-cross (10.2.1-6cross1)
HSAIL runtime library
libhsail-rt0-x32-cross (10.2.1-6cross1)
HSAIL runtime library
libhsakmt-dev (3.7.0+dfsg-1)
Thunk library for AMD KFD (dev)
libhsakmt1 (3.7.0+dfsg-1)
Thunk library for AMD KFD (shlib)
libhsdis0-fcml (1.2.2-2)
HotSpot disassembler plugin using FCML
libhsm-bin (1:2.1.7-2)
library for interfacing PKCS#11 Hardware Security Modules
libhsqldb-java (2.5.1-1+deb11u2)
Java SQL database engine
libhsqldb-java-doc (2.5.1-1+deb11u2)
documentation for HSQLDB
libhsqldb1.8.0-java (1.8.0.10+dfsg-10+deb11u1)
Java SQL database engine
libhtml-auto-perl (0.09-1)
module for automatically writing HTML for common elements
libhtml-autopagerize-perl (0.02-1.1)
utility to load AutoPagerize SITEINFO stuff
libhtml-calendarmonthsimple-perl (1.26-1)
Perl module for generating HTML Calendars
libhtml-clean-perl (1.4-1)
Cleans up HTML code for web browsers, not humans
libhtml-copy-perl (1.31-1.1)
module to copy a HTML file without breaking links
libhtml-dashboard-perl (0.03-3)
module that tries to achieve spreadsheet-like formatting for HTML tables
libhtml-defang-perl (1.07-1)
cleans HTML and CSS of scripting, executable contents and XSS attacks
libhtml-diff-perl (0.600-1)
module for comparing two HTML documents
libhtml-display-perl (0.40-2.1)
module for displaying HTML locally in a browser
libhtml-element-extended-perl (1.18-1.1)
extended HTML::Element classes
libhtml-element-library-perl (5.220000-1)
Perl module providing HTML::Element convenience methods
libhtml-encoding-perl (0.61-2.1)
perl module determining the encoding of HTML/XML/XHTML documents
libhtml-entities-numbered-perl (0.04-2)
Conversion of numbered HTML entities
libhtml-escape-perl (1.10-1+b3)
provides extremely fast HTML escaping
libhtml-fillinform-perl (2.21-2)
module for populating HTML forms with data
libhtml-form-perl (6.07-1)
module that represents an HTML form element
libhtml-format-perl (2.12-1.1)
module for transforming HTML into various formats
libhtml-formatexternal-perl (26-3)
HTML to text formatting using external programs
libhtml-formattext-withlinks-andtables-perl (0.07-1)
Perl module to converts HTML to Text with tables intact
libhtml-formattext-withlinks-perl (0.15-1.1)
Perl module to convert HTML to text with links as footnotes
libhtml-formfu-model-dbic-perl (2.03-2.1)
module to integrate HTML::FormFu with DBIx::Class
libhtml-formfu-perl (2.07000-1)
HTML form creation, rendering and validation framework
libhtml-formhandler-model-dbic-perl (0.29-1.1)
base class that holds DBIC model role
libhtml-formhandler-perl (0.40068-1)
form handler written in Moose
libhtml-formhandler-traitfor-model-dbic-perl
本虛擬套件由這些套件填實: libhtml-formhandler-model-dbic-perl
libhtml-fromtext-perl (2.07-1.1)
module to marks up plain text as HTML
libhtml-gentoc-perl (3.20-2.1)
module that generates a Table of Contents for HTML documents
libhtml-gumbo-perl (0.18-2+b3)
HTML5 parser based on gumbo C library
libhtml-highlight-perl (0.20-7.1)
Perl module for highlighting words or patterns in HTML documents
libhtml-html5-builder-perl (0.004-2.1)
erect some scaffolding for your documents
libhtml-html5-entities-perl (0.004-1.1)
module to encode and decode character entities defined in HTML5
libhtml-html5-sanity-perl (0.105-4)
make HTML5 DOM trees less insane
libhtml-html5-writer-perl (0.201-2.1)
output a DOM as HTML5
libhtml-linkextractor-perl (0.130-7)
Perl module used to extract links from HTML documents
libhtml-linklist-perl (0.1701-1)
module that creates a 'smart' list of HTML links
libhtml-lint-perl (2.32+dfsg-1.1)
checker of HTML errors in strings or files
libhtml-mason-perl (1:1.59-1)
HTML::Mason Perl module
libhtml-mason-perl-doc (1:1.59-1)
HTML::Mason examples
libhtml-mason-psgihandler-perl (0.53-2)
PSGI handler for HTML::Mason
libhtml-packer-perl (2.100000-1)
perl module for cleaning the HTML code
libhtml-parser-perl (3.75-1+b1)
collection of modules that parse HTML text documents
libhtml-popuptreeselect-perl (1.6-7.1)
Perl module for HTML popup tree widget
libhtml-prettyprinter-perl (0.03-3.1)
module that generates nice HTML files from HTML syntax trees
libhtml-prototype-perl (1.48-5.1)
module to generate HTML and Javascript for the Prototype library
libhtml-quoted-perl (0.04-2)
extract structure of quoted HTML mail message
libhtml-restrict-perl (3.0.0-1)
module to strip unwanted HTML tags and attributes
libhtml-rewriteattributes-perl (0.05-2)
Perl extension for HTML attribute rewriting
libhtml-scrubber-perl (0.19-1)
Perl extension for scrubbing/sanitizing html
libhtml-selector-xpath-perl (0.25-1.1)
module for compiling CSS Selectors to XPath
libhtml-simpleparse-perl (0.12-3.1)
bare-bones HTML parser
libhtml-stream-perl (1.60-2.1)
HTML output stream class, and some markup utilities
libhtml-strip-perl (2.10-2+b1)
module to strip HTML markup from text
libhtml-stripscripts-parser-perl (1.03-2.1)
module to filter scripts out of HTML
libhtml-stripscripts-perl (1.06-1+deb11u1)
module for removing scripts from HTML
libhtml-table-perl (2.08a-4)
Perl module for creating HTML tables
libhtml-tableextract-perl (2.15-1.1)
module for extracting the content contained in HTML tables
libhtml-tableparser-perl (0.43-1)
Perl module to extract data from an HTML table
libhtml-tagcloud-perl (0.38-2.1)
module for generating HTML indexes of popular tags
libhtml-tagfilter-perl (1.03-4.1)
fine-grained HTML-filter, XSS-blocker and mailto-obfuscator
libhtml-tagset-perl (3.20-4)
data tables pertaining to HTML
libhtml-tagtree-perl (1.03-1.1)
module that generates HTML via a tree of tag objects
libhtml-template-compiled-perl (1.003-1)
Perl template system compiling HTML::Template files to Perl code
libhtml-template-dumper-perl (0.1-3.1)
module to output template data in a test-friendly format
libhtml-template-expr-perl (0.07-3.1)
HTML::Template extension adding expression support
libhtml-template-perl (2.97-1.1)
module for using HTML templates with Perl
libhtml-template-pluggable-perl (0.17+~cs0.6-1)
extension of HTML::Template with plugin support
libhtml-template-pro-perl (0.9510-2)
Perl module to use HTML Templates from CGI scripts
libhtml-tidy-perl (1.60-4+b2)
module for (X)HTML validation
libhtml-tidy5-perl (1.06-1+b1)
HTML validation in a Perl object
libhtml-tiny-perl (1.05-3.1)
HTML::Tiny - Lightweight, dependency free HTML/XML generation
libhtml-toc-perl (1.12-2)
module for creating and updating an HTML Table of Contents
libhtml-tokeparser-simple-perl (3.16-3)
Perl module used to tokenize HTML documents
libhtml-tree-perl (5.07-2)
Perl module to represent and create HTML syntax trees
libhtml-treebuilder-libxml-perl (0.26-1)
HTML::TreeBuilder and XPath compatible interface with libxml
libhtml-treebuilder-xpath-perl (0.14-1.1)
Perl module to add XPath support to HTML::TreeBuilder
libhtml-truncate-perl (0.20-2)
Perl module to truncate HTML by percentage or character count
libhtml-widget-perl (1.11-5)
HTML widget and validation framework
libhtml-widgets-navmenu-perl (1.0801-1)
module for generating HTML navigation menus
libhtml-widgets-selectlayers-perl (0.07-3)
Perl extension for selectable HTML layers
libhtml-wikiconverter-dialect
本虛擬套件由這些套件填實: libhtml-wikiconverter-pmwiki-perl, libhtml-wikiconverter-wikkawiki-perl, libhtml-wikiconverter-usemod-perl, libhtml-wikiconverter-oddmuse-perl, libhtml-wikiconverter-mediawiki-perl, libhtml-wikiconverter-moinmoin-perl, libhtml-wikiconverter-markdown-perl, libhtml-wikiconverter-dokuwiki-perl, libhtml-wikiconverter-kwiki-perl, libhtml-wikiconverter-snipsnap-perl, libhtml-wikiconverter-tikiwiki-perl, libhtml-wikiconverter-phpwiki-perl, libhtml-wikiconverter-wakkawiki-perl
libhtml-wikiconverter-dokuwiki-perl (0.53-2.1)
HTML to wiki markup converter - DokuWiki dialect
libhtml-wikiconverter-kwiki-perl (0.51-2)
HTML to wiki markup converter - Kwiki dialect
libhtml-wikiconverter-markdown-perl (0.06-2)
HTML to wiki markup converter - Markdown dialect
libhtml-wikiconverter-mediawiki-perl (0.59-2)
Perl module to add MediaWiki markup support to HTML::WikiConverter
libhtml-wikiconverter-moinmoin-perl (0.54-2)
HTML to wiki markup converter - MoinMoin dialect
libhtml-wikiconverter-oddmuse-perl (0.52-2)
HTML to wiki markup converter - Oddmuse dialect
libhtml-wikiconverter-perl (0.68-3.1)
HTML to wiki markup converter
libhtml-wikiconverter-phpwiki-perl (0.51-3)
HTML to wiki markup converter - PhpWiki dialect
libhtml-wikiconverter-pmwiki-perl (0.51-2)
HTML to wiki markup converter - PmWiki dialect
libhtml-wikiconverter-snipsnap-perl (0.50-2)
HTML to wiki markup converter - SnipSnap dialect
libhtml-wikiconverter-tikiwiki-perl (0.50-2)
HTML to wiki markup converter - TikiWiki dialect
libhtml-wikiconverter-usemod-perl (0.50-3)
HTML to wiki markup converter - UseMod dialect
libhtml-wikiconverter-wakkawiki-perl (0.50-2)
HTML to wiki markup converter - WakkaWiki dialect
libhtml-wikiconverter-wikkawiki-perl (0.50-2)
HTML to wiki markup converter - WikkaWiki dialect
libhtml5parser-java (1.4+r1.3.1-3)
validator.nu HTML parser implementation in Java
libhtml5parser-java-doc (1.4+r1.3.1-3)
Documentation for libhtml5parser-java
libhtmlcleaner-java (2.24-1+deb11u1)
Java HTML Parser library
libhtmlcleaner-java-doc (2.24-1+deb11u1)
Java HTML Parser library (documentation)
libhtmlcxx-dev (0.87-1)
simple HTML/CSS1 parser library for C++ (development)
libhtmlcxx3v5 (0.87-1)
simple HTML parser library for C++
libhtmlparser-java (1.6.20060610.dfsg0-9)
java library to parse html
libhtmlparser-java-doc (1.6.20060610.dfsg0-9)
java library to parse html - doc
libhtp-dev (1:0.5.36-1)
HTTP normalizer and parser library (devel)
libhtp2 (1:0.5.36-1)
HTTP normalizer and parser library
libhtrace-core-java (3.1.0-2.1)
Tracing framework for distributed systems written in Java
libhts-dev (1.11-4)
development files for the HTSlib
libhts3 (1.11-4)
C library for high-throughput sequencing data formats
libhtscodecs-dev (0.5-3)
Development headers for custom compression for CRAM and others
libhtscodecs2 (0.5-3)
Custom compression for CRAM and others
libhtsengine-dev (1.10-4)
Development files for HMM-based speech synthesis engine API
libhtsengine1 (1.10-4)
HMM-based speech synthesis engine API
libhtsjdk-java (2.23.0+dfsg-2)
Java API for high-throughput sequencing data (HTS) formats
libhtsjdk-java-doc (2.23.0+dfsg-2)
Documentation for the java HTSJDK library
libhttp-async-perl (0.33-1)
module for parallel non-blocking processing of multiple HTTP requests
libhttp-body-perl (1.22-1.1)
module for processing data from HTTP POST requests
libhttp-browserdetect-perl (3.31-1)
module to extract system data from an HTTP User Agent string
libhttp-cache-transparent-perl (1.4-1)
Perl module used to transparently cache HTTP requests
libhttp-cookiejar-perl (0.010-2)
minimalist HTTP user agent cookie jar
libhttp-cookiemonster-perl (0.11-1)
module for easy read/write access to HTTP::Cookies jar
libhttp-cookies-perl (6.10-1)
HTTP cookie jars
libhttp-daemon-perl (6.12-1+deb11u1)
simple http server class
libhttp-daemon-ssl-perl (1.05-01-2)
Simple HTTP server class with SSL support
libhttp-date-perl (6.05-1)
module of date conversion routines
libhttp-dav-perl (0.49-2)
WebDAV client library for Perl, and "dave" CLI client
libhttp-entity-parser-perl (0.25-1)
PSGI compliant HTTP Entity Parser
libhttp-exception-perl (0.04007-1)
module for throwing HTTP-Errors as (Exception::Class-) Exceptions
libhttp-headers-actionpack-perl (0.09-1.1)
HTTP Action, Adventure and Excitement
libhttp-headers-fast-perl (0.22-1)
faster implementation of HTTP::Headers
libhttp-link-parser-perl (0.200-1.1)
parse HTTP Link headers
libhttp-lite-perl (2.44-1.1)
lightweight HTTP implementation for perl
libhttp-message-perl (6.28-1)
perl interface to HTTP style messages
libhttp-multipartparser-perl (0.02-1)
HTTP multipart MIME parser
libhttp-negotiate-perl (6.01-1)
implementation of content negotiation
libhttp-oai-perl (4.11-1)
API for the OAI-PMH
libhttp-ocaml-dev (0.1.6-1+b1)
OCaml library for writing HTTP servers
libhttp-parser-dev (2.9.4-4+deb11u1)
parser for HTTP messages: development libraries and header files
libhttp-parser-perl (0.06-2)
module for parsing HTTP requests and responses
libhttp-parser-xs-perl (0.17-2)
simple and fast HTTP request parser
libhttp-parser2.9 (2.9.4-4+deb11u1)
parser for HTTP messages written in C
libhttp-proxy-perl (0.304-4)
pure Perl HTTP proxy
libhttp-recorder-perl (0.07-2.1)
Perl module to record interaction with websites
libhttp-request-ascgi-perl (1.2-3.1)
module to setup a CGI environment from a HTTP::Request
libhttp-request-params-perl (1.02-1)
Perl extension for retrieving HTTP request parameters
libhttp-response-encoding-perl (0.06-1)
Perl module extending HTTP::Response encoding handling
libhttp-server-simple-authen-perl (0.04-2)
Authentication plugin for HTTP::Server::Simple
libhttp-server-simple-cgi-prefork-perl (6-1.1)
module to make HTTP::Server::Simple::CGI preforking and turn on SSL
libhttp-server-simple-mason-perl (0.14-2.1)
abstract baseclass for a standalone mason server
libhttp-server-simple-perl (0.52-1.1)
simple stand-alone HTTP server
libhttp-server-simple-psgi-perl (0.16-2.1)
simple HTTP server with PSGI application support
libhttp-server-simple-recorder-perl (0.03-2)
Mixin to record HTTP::Server::Simple's sockets
libhttp-server-simple-static-perl (0.14-1)
Serve static files with HTTP::Server::Simple
libhttp-thin-perl (0.006-1.1)
Thin Wrapper around HTTP::Tiny to play nice with HTTP::Message
libhttp-throwable-perl (0.026-2.1)
strongly-typed, PSGI-friendly HTTP 1.1 exception libraries
libhttp-tiny-multipart-perl (0.08-1.1)
module to add post_multipart method to HTTP::Tiny
libhttp-tiny-perl (= 0.076)
本虛擬套件由這些套件填實: perl
libhttp-tinyish-perl (0.17-1)
HTTP::Tiny compatible HTTP client wrappers
libhttpasyncclient-java (4.1.4-1)
HTTP/1.1 compliant asynchronous HTTP agent implementation
libhttpclient-java (4.5.13-2)
HTTP/1.1 compliant HTTP agent implementation
libhttpcore-java (4.4.14-1)
set of low level HTTP transport components for Java
libhttpcore-nio-java
本虛擬套件由這些套件填實: libhttpcore-java
libhttpmime-java (4.5.13-2)
HTTP/1.1 compliant HTTP agent implementation - MIME extension
libhttpunit-java (1.7+dfsg-14)
automated web site testing toolkit
libhttpunit-java-doc (1.7+dfsg-14)
documentation for libhttpunit-java
libhttrack-dev (3.49.2-1.1)
Httrack website copier includes and development files
libhttrack2 (3.49.2-1.1)
Httrack website copier library
libhugetlbfs-bin (2.23-4)
Tools to ease use of hugetlbfs
libhugetlbfs-dev (2.23-4)
Development files to build programs using libhugetlbfs
libhugetlbfs0 (2.23-4)
Preload library to back program memory with hugepages
libhugs-alut
本虛擬套件由這些套件填實: libhugs-alut-bundled
libhugs-alut-bundled (98.200609.21-5.4+b5)
A binding for the OpenAL Utility Toolkit
libhugs-base
本虛擬套件由這些套件填實: libhugs-base-bundled
libhugs-base-bundled (98.200609.21-5.4+b5)
Basic libraries
libhugs-cabal
本虛擬套件由這些套件填實: libhugs-cabal-bundled
libhugs-cabal-bundled (98.200609.21-5.4+b5)
A framework for packaging Haskell software
libhugs-fgl
本虛擬套件由這些套件填實: libhugs-fgl-bundled
libhugs-fgl-bundled (98.200609.21-5.4+b5)
Martin Erwig's Functional Graph Library
libhugs-glut
本虛擬套件由這些套件填實: libhugs-glut-bundled
libhugs-glut-bundled (98.200609.21-5.4+b5)
A binding for the OpenGL Utility Toolkit
libhugs-haskell-src
本虛擬套件由這些套件填實: libhugs-haskell-src-bundled
libhugs-haskell-src-bundled (98.200609.21-5.4+b5)
Manipulating Haskell source code
libhugs-haskell98
本虛擬套件由這些套件填實: libhugs-haskell98-bundled
libhugs-haskell98-bundled (98.200609.21-5.4+b5)
Compatibility with Haskell 98
libhugs-haxml
本虛擬套件由這些套件填實: libhugs-haxml-bundled
libhugs-haxml-bundled (98.200609.21-5.4+b5)
Utilities for manipulating XML documents
libhugs-hgl
本虛擬套件由這些套件填實: libhugs-hgl-bundled
libhugs-hgl-bundled (98.200609.21-5.4+b5)
A simple graphics library based on X11 or Win32
libhugs-hunit
本虛擬套件由這些套件填實: libhugs-hunit-bundled
libhugs-hunit-bundled (98.200609.21-5.4+b5)
A unit testing framework for Haskell
libhugs-mtl
本虛擬套件由這些套件填實: libhugs-mtl-bundled
libhugs-mtl-bundled (98.200609.21-5.4+b5)
Monad transformer library
libhugs-network
本虛擬套件由這些套件填實: libhugs-network-bundled
libhugs-network-bundled (98.200609.21-5.4+b5)
Networking-related facilities
libhugs-openal
本虛擬套件由這些套件填實: libhugs-openal-bundled
libhugs-openal-bundled (98.200609.21-5.4+b5)
A binding to the OpenAL cross-platform 3D audio API
libhugs-opengl
本虛擬套件由這些套件填實: libhugs-opengl-bundled
libhugs-opengl-bundled (98.200609.21-5.4+b5)
A binding for the OpenGL graphics system
libhugs-parsec
本虛擬套件由這些套件填實: libhugs-parsec-bundled
libhugs-parsec-bundled (98.200609.21-5.4+b5)
Monadic parser combinators
libhugs-quickcheck
本虛擬套件由這些套件填實: libhugs-quickcheck-bundled
libhugs-quickcheck-bundled (98.200609.21-5.4+b5)
Automatic testing of Haskell programs
libhugs-stm
本虛擬套件由這些套件填實: libhugs-stm-bundled
libhugs-stm-bundled (98.200609.21-5.4+b5)
Software Transactional Memory
libhugs-time
本虛擬套件由這些套件填實: libhugs-time-bundled
libhugs-time-bundled (98.200609.21-5.4+b5)
time library
libhugs-unix
本虛擬套件由這些套件填實: libhugs-unix-bundled
libhugs-unix-bundled (98.200609.21-5.4+b5)
POSIX functionality
libhugs-x11
本虛擬套件由這些套件填實: libhugs-x11-bundled
libhugs-x11-bundled (98.200609.21-5.4+b5)
A binding to the X11 graphics library
libhugs-xhtml
本虛擬套件由這些套件填實: libhugs-xhtml-bundled
libhugs-xhtml-bundled (98.200609.21-5.4+b5)
A Haskell XHTML combinator library
libhunspell-1.7-0 (1.7.0-3)
spell checker and morphological analyzer (shared library)
libhunspell-dev (1.7.0-3)
spell checker and morphological analyzer (development)
libhwloc-common (2.4.1+dfsg-1)
Hierarchical view of the machine - common files
libhwloc-contrib-plugins (2.4.1+dfsg-2) [contrib]
Hierarchical view of the machine - contrib plugins
libhwloc-dev (2.4.1+dfsg-1)
Hierarchical view of the machine - static libs and headers
libhwloc-doc (2.4.1+dfsg-1)
Hierarchical view of the machine - documentation
libhwloc-plugins (2.4.1+dfsg-1)
Hierarchical view of the machine - plugins
libhwloc15 (2.4.1+dfsg-1)
Hierarchical view of the machine - shared libs
libhx-dev (3.25-2)
Development files for libhx
libhx-doc (3.25-2)
Documentation files for libhx
libhx32 (3.25-2)
C library providing queue, tree, I/O and utility functions
libhx509-5-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - X509 support library
libhyena-cil (0.5-4.1)
set of utility libraries for the CLI
libhyena-cil-dev (0.5-4.1)
set of utility libraries for the CLI - development files
libhyperic-sigar-java (1.6.4+dfsg-4)
System Information Gatherer And Reporter - Java bindings
libhyperscan-dev (5.4.0-2)
Development files for the Hyperscan library
libhyperscan5 (5.4.0-2)
High-performance regular expression matching library
libhyphen-dev (2.8.8-7)
ALTLinux hyphenation library - development files
libhyphen0 (2.8.8-7)
ALTLinux hyphenation library - shared library
libhypre (2.18.2-1)
High Performance Matrix Preconditioners - Shared Library
libhypre-dev (2.18.2-1)
High Performance Matrix Preconditioners - Development Files
libhz-dev (0.3.16-7)
Headers and static libraries for zh-autoconvert
libhz0 (0.3.16-7)
Chinese encoding autoconvert library
libi18n-acceptlanguage-perl (1.04-4)
module to matches language preference to available languages
libi18n-charset-perl (1.419-1)
Perl module for mapping character set names to IANA names
libi18n-langtags-perl (= 0.44)
本虛擬套件由這些套件填實: perl
libi2c-dev (4.2-1+b1)
userspace I2C programming library development files
libi2c0 (4.2-1+b1)
userspace I2C programming library
libi2util-dev (1.6-1+b1)
Internet2 utility library (development files)
libib-util (3.0.7.33374.ds4-2)
Firebird UDF support library
libibatis-java (2.3.4.726-6.1)
iBATIS Data Mapper framework
libibdm-dev (1.5.7+0.2.gbd7e502-3)
Development files for the libibdm library
libibdm1 (1.5.7+0.2.gbd7e502-3)
InfiniBand network diagnostic library
libiberty-dev (20210106-1)
library of utility functions used by GNU programs
libibmad-dev (33.2-1)
Development files for libibmad
libibmad5 (33.2-1)
Infiniband Management Datagram (MAD) library
libibnetdisc-dev (33.2-1)
InfiniBand diagnostics library headers
libibnetdisc5 (33.2-1)
InfiniBand diagnostics library
libibtk-dev (0.0.14-12.1)
Insomnia's Basic ToolKit: Development Libraries and Header Files
libibtk0 (0.0.14-12.1)
Insomnia's Basic ToolKit
libibumad-dev (33.2-1)
Development files for libibumad
libibumad3 (33.2-1)
InfiniBand Userspace Management Datagram (uMAD) library
libibus-1.0-5 (1.5.23-2)
Intelligent Input Bus - shared library
libibus-1.0-dev (1.5.23-2)
Intelligent Input Bus - development file
libibverbs-dev (33.2-1)
Development files for the libibverbs library
libibverbs1 (33.2-1)
Library for direct userspace use of RDMA (InfiniBand/iWARP)
libica-dev (3.2.0-4)
hardware cryptography support for IBM System z hardware (dev package)
libica-utils (3.2.0-4)
hardware cryptography support for Linux on z Systems (utils)
libica3 (3.2.0-4)
hardware cryptography support for IBM System z hardware
libical-dev (3.0.9-2)
iCalendar library implementation in C (development)
libical-doc (3.0.9-2)
iCalendar library implementation in C (documentation)
libical-parser-perl (1.21-1)
module to parse iCalendar files into a data structure
libical3 (3.0.9-2)
iCalendar library implementation in C (runtime)
libical3-dev
本虛擬套件由這些套件填實: libical-dev
libicapapi-dev (1:0.5.6-2)
ICAP API library development files
libicapapi5 (1:0.5.6-2)
ICAP API library
libicb-utils-java (2.0.1+git20161002.afee1d9-4)
Java library of utilities to manage files and compute statistics
libice-dev (2:1.0.10-1)
X11 Inter-Client Exchange library (development headers)
libice-doc (2:1.0.10-1)
documentation for the X11 ICE protocol and library
libice6 (2:1.0.10-1)
X11 Inter-Client Exchange library
libicecc-dev (1.3.1-1)
development files for icecc (distributed compiler)
libicns-dev (0.8.1-3.1)
libicns development files
libicns1 (0.8.1-3.1)
library for manipulation of the Mac OS icns format
libicon-famfamfam-silk-perl (0.002001003-1.1)
module containing the famous FamFamFam Silk icon theme
libiconloader-java (0.0+git20170615+dfsg1-2)
Smart Java Icon Loader with support of HiDPI (Retina) images
libiconv-hook-dev (0.0.20021209-11)
header files of libiconv-hook
libiconv-hook1 (0.0.20021209-11)
extension of iconv for libapache-mod-encoding
libics-dev (1.6.4-1)
Image Cytometry Standard file reading and writing (devel)
libics-doc (1.6.4-1)
Image Cytometry Standard file reading and writing (doc)
libics0 (1.6.4-1)
Image Cytometry Standard file reading and writing
libics0-dev
本虛擬套件由這些套件填實: libics-dev
libicsharpcode-nrefactory-cecil5.0-cil (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - Cecil back-end
libicsharpcode-nrefactory-cil-dev (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - Development files
libicsharpcode-nrefactory-csharp5.0-cil (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - C# Component
libicsharpcode-nrefactory-ikvm5.0-cil (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - IKVM back-end
libicsharpcode-nrefactory-xml5.0-cil (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - XML
libicsharpcode-nrefactory5.0-cil (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - Core
libicu-dev (67.1-7)
Development files for International Components for Unicode
libicu-le-hb-dev (1.0.3+git180724-3+b2)
ICU Layout Engine API on top of HarfBuzz shaping library (development)
libicu-le-hb0 (1.0.3+git180724-3+b2)
ICU Layout Engine API on top of HarfBuzz shaping library
libicu4j-4.4-java (4.4.2.2-4)
Library for Unicode support and internationalization
libicu4j-java (68.2-2)
Library for Unicode support and internationalization
libicu67 (67.1-7)
International Components for Unicode
libid3-3.8.3-dev (3.8.3-16.3)
ID3 Tag Library: Development Libraries and Header Files
libid3-3.8.3v5 (3.8.3-16.3)
library for manipulating ID3v1 and ID3v2 tags
libid3-dev
本虛擬套件由這些套件填實: libid3-3.8.3-dev
libid3-doc (3.8.3-16.3)
ID3 Tag Library: Documentation
libid3-tools (3.8.3-16.3)
ID3 Tag Library: Utilities
libid3tag0 (0.15.1b-14)
ID3 tag reading library from the MAD project
libid3tag0-dev (0.15.1b-14)
ID3 tag reading library from the MAD project
libiddawc-dev (0.9.8-2)
OAuth2 and OIDC client library - development
libiddawc0.9 (0.9.8-2)
OAuth2 and OIDC client library
libideep-dev (0.0~git20200915.ba88520-1)
Intel's mkldnn/dnnl wrapper for pytorch
libident (0.22-3.1+b1)
simple RFC1413 client library - runtime
libident-dev (0.22-3.1+b1)
simple RFC1413 client library - development
libidl-2-0 (0.8.14-4+b12)
library for parsing CORBA IDL files
libidl-dev (0.8.14-4+b12)
development files for programs that use libIDL
libidm-console-framework-java (1.2.0-1)
IDM Console Framework for the 389 Directory Server Console
libidn11 (1.33-3)
GNU Libidn library, implementation of IETF IDN specifications
libidn11-dev (1.33-3)
Development files for GNU Libidn, an IDN library
libidn11-java (1.33-3)
Java port of the GNU Libidn library, an IDN implementation
libidn2-0 (2.3.0-5)
Internationalized domain names (IDNA2008/TR46) library
libidn2-0-dev (2.3.0-5)
Internationalized domain names (IDNA2008/TR46) development files [dummy]
libidn2-0-dev
本虛擬套件由這些套件填實: libidn2-dev
libidn2-dev (2.3.0-5)
Internationalized domain names (IDNA2008/TR46) development files
libidn2-doc (2.3.0-5)
Internationalized domain names (IDNA2008/TR46) documentation
libidna-punycode-perl (1.100+dfsg-3)
module to encode Unicode string in Punycode
libidw-java (1.6.1-1.1)
InfoNode Docking Windows (similar to JInternalFrames)
libidw-java-doc (1.6.1-1.1)
InfoNode Docking Windows (javadoc)
libidzebra-2.0-0 (2.2.2-1)
IDZebra libraries
libidzebra-2.0-dev (2.2.2-1)
IDZebra development
libidzebra-2.0-mod-alvis (2.2.2-1)
IDZebra filter alvis (XSLT filter for XML)
libidzebra-2.0-mod-dom (2.2.2-1)
IDZebra filter 'dom' (XML DOM internal document model with XSLT)
libidzebra-2.0-mod-grs-marc (2.2.2-1)
IDZebra filter grs.marc (ISO2709 MARC reader)
libidzebra-2.0-mod-grs-regx (2.2.2-1)
IDZebra filters grs.regx, grs.tcl
libidzebra-2.0-mod-grs-xml (2.2.2-1)
IDZebra filter grs.xml (XML filter)
libidzebra-2.0-mod-safari (2.2.2-1)
IDZebra filter 'safari' (DBC)
libidzebra-2.0-mod-text (2.2.2-1)
IDZebra filter text
libidzebra-2.0-modules (2.2.2-1)
IDZebra modules
libiec16022-0 (0.2.4-1.2)
Library to generate 2d ISO/IEC 16022 barcodes (data matrix/semacode)
libiec16022-dev (0.2.4-1.2)
Development files for the ISO/IEC 16022 barcodes library
libiec61883-0 (1.2.0-4)
partial implementation of IEC 61883 (shared lib)
libiec61883-dev (1.2.0-4)
partial implementation of IEC 61883 (devel)
libieee1284-3 (0.2.11-14)
cross-platform library for parallel port access
libieee1284-3-dev (0.2.11-14)
cross-platform library for parallel port access [development files]
libifcplusplus (0~git20190402.13744d5+dfsg-2+b1)
Reader and writer for IFC files in STEP format - runtime libraries
libifcplusplus-dev (0~git20190402.13744d5+dfsg-2+b1)
Reader and writer for IFC files in STEP format - development
libifd-cyberjack6 (3.99.5final.sp14-2)
REINER SCT cyberJack USB chipcard reader user space driver
libifstat-dev (1.1-8.1+b3)
Ifstat Development Files
libigc-dev (1.0.5353.1-2)
Intel graphics compiler for OpenCL -- core development files
libigc-tools (1.0.5353.1-2)
Intel graphics compiler for OpenCL -- media tools
libigc1 (1.0.5353.1-2)
Intel graphics compiler for OpenCL -- core libs
libigdfcl-dev (1.0.5353.1-2)
Intel graphics compiler for OpenCL -- OpenCL development files
libigdfcl1 (1.0.5353.1-2)
Intel graphics compiler for OpenCL -- OpenCL library
libigdgmm-dev (20.4.1+ds1-1)
Intel Graphics Memory Management Library -- development files
libigdgmm11 (20.4.1+ds1-1)
Intel Graphics Memory Management Library -- shared library
libigfxcmrt-dev (21.1.1+dfsg1-1)
Intel C for Media Runtime -- development files
libigfxcmrt7 (21.1.1+dfsg1-1)
Intel C for Media Runtime -- shared library
libigloo-dev (0.9.0-1+b1)
runtime framework to aid modern programming patterns (development)
libigloo0 (0.9.0-1+b1)
generic C runtime framework to aid modern programming patterns
libignition-cmake-dev (2.7.0-1)
Ignition Robotics CMake Library - Development files
libignition-common-dev (3.5.0+dfsg1-5)
Collection of useful code used by robotics apps - Metapackage
libignition-common3-3 (3.5.0+dfsg1-5)
Collection of useful code used by robotics apps - Shared library
libignition-common3-av3 (3.5.0+dfsg1-5)
Collection of useful code used by robotics apps - AV libraries
libignition-common3-events3 (3.5.0+dfsg1-5)
Collection of useful code used by robotics apps - Events libraries
libignition-common3-graphics3 (3.5.0+dfsg1-5)
Collection of useful code used by robotics apps - Graphics libraries
libignition-common3-profiler3 (3.5.0+dfsg1-5)
Collection of useful code used by robotics apps - Profiler libs
libignition-fuel-tools-dev (4.1.0+dfsg-5+b4)
Ignition fuel-tools classes and functions - Development files
libignition-fuel-tools1-dev (4.1.0+dfsg-5)
transitional package
libignition-fuel-tools4-4 (4.1.0+dfsg-5+b4)
Ignition fuel-tools classes and functions - Shared library
libignition-math-dev (6.7.0+ds-3)
Ignition Robotics Math Library - Development files
libignition-math4-dev (6.7.0+ds-3)
transitional package
libignition-math6-6 (6.7.0+ds-3)
Ignition Robotics Math Library - Shared library
libignition-msgs-dev (5.1.0+dfsg-7)
Set of message definitions used by robotics apps - Dev files
libignition-msgs5-5 (5.1.0+dfsg-7)
Set of message definitions used by robotics apps - Shared library
libignition-msgs5-5-protobuf23
本虛擬套件由這些套件填實: libignition-msgs5-5
libignition-transport-dev (8.0.0+dfsg-3+b2)
Ignition Robotics transport Library - Development files
libignition-transport4-dev (8.0.0+dfsg-3)
transitional package
libignition-transport8-8 (8.0.0+dfsg-3+b2)
Ignition Robotics Transport Library - Shared library
libigraph-dev (0.8.5+ds1-1)
library for creating and manipulating graphs - development files
libigraph-examples (0.8.5+ds1-1)
library for creating and manipulating graphs - example files
libigraph1 (0.8.5+ds1-1)
library for creating and manipulating graphs
libiio-dev (0.21-2+b1)
libiio development files
libiio-utils (0.21-2+b1)
Miscellaneous libiio utilities
libiio0 (0.21-2+b1)
Library for interfacing with IIO devices
libiio0-doc (0.21-2)
libiio documentation
libiitii-dev (0.0+git20191030.85209e0-2)
Implicit Interval Tree with Interpolation Index (devel)
libij-java (1.53g-2)
Java library for ImageJ
libij-java-doc (1.53g-2)
documentation of libij-java
libijs-0.35 (0.35-15)
IJS raster image transport protocol: shared library
libijs-dev (0.35-15)
IJS raster image transport protocol: development files
libijs-doc (0.35-15)
IJS raster image transport protocol: documentation
libiksemel-dev (1.4-3+b2)
C library for the Jabber IM platform - development files
libiksemel-utils (1.4-3+b2)
utilities from the iksemel library
libiksemel3 (1.4-3+b2)
C library for the Jabber IM platform
libilmbase-dev (2.5.4-1)
development files for IlmBase
libilmbase25 (2.5.4-1)
several utility libraries from ILM used by OpenEXR
libima-dbi-perl (0.35-2.1)
module for database connection caching and organization
libimaevm-dev (1.1-1+b1)
Linux IMA Extended Verification Module signing tools - development files
libimaevm0 (1.1-1+b1)
Linux IMA Extended Verification Module signing tools - library
libimage-base-bundle-perl (1.0.7-3.3)
set of modules for loading, saving and creating xpm and xbm images
libimage-base-perl
本虛擬套件由這些套件填實: libimage-base-bundle-perl
libimage-exif-perl (2.01-1+b11 [s390x], 2.01-1+b9 [amd64, armel, armhf, i386, mipsel], 2.01-1+b8 [arm64], 2.01-1+b7 [mips64el, ppc64el])
Perl module to extract EXIF information from image files
libimage-exiftool-perl (12.16+dfsg-2)
library and program to read and write meta information in multimedia files
libimage-geometry-dev (1.15.0+ds-4)
image_geometry Robot OS package - development
libimage-geometry1d (1.15.0+ds-4)
image_geometry Robot OS package - runtime
libimage-imlib2-perl (2.03-1+b11 [s390x], 2.03-1+b10 [amd64, armel, i386, mipsel], 2.03-1+b9 [armhf], 2.03-1+b7 [arm64, mips64el, ppc64el])
perl interface to the imlib2 imaging library
libimage-info-perl (1.42-1)
allows extraction of meta information from image files
libimage-librsvg-perl (0.07-8+b8 [amd64, armel, armhf, i386, mipsel, s390x], 0.07-8+b7 [arm64, mips64el, ppc64el])
Perl binding for the GNOME librsvg SVG renderer library
libimage-magick-defaultquantum-perl
本虛擬套件由這些套件填實: libimage-magick-q16-perl
libimage-magick-perl (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
Perl interface to the ImageMagick graphics routines
libimage-magick-q16-perl (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
Perl interface to the ImageMagick graphics routines -- Q16 version
libimage-magick-q16hdri-perl (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
Perl interface to the ImageMagick graphics routines -- Q16HDRI version
libimage-math-constrain-perl (1.02-2)
Perl module performing math used to constrain image sizes
libimage-metadata-jpeg-perl (0.153-1.1)
Perl extension for showing/modifying JPEG (meta)data
libimage-png-libpng-perl (0.56-1)
Perl interface to libpng
libimage-proc-dev (1.15.2-4+b1)
Robot OS mage-proc package - development
libimage-proc0d (1.15.2-4+b1)
Robot OS Single image rectification and color processing
libimage-publisher-dev (1.15.2-4+b1)
Robot OS image publishing - development
libimage-publisher0d (1.15.2-4+b1)
Robot OS image publishing
libimage-rotate-dev (1.15.2-4+b1)
Robot OS image rotate - development
libimage-rotate0d (1.15.2-4+b1)
Robot OS image rotate stream
libimage-sane-perl (5-1+b1)
Perl extension for the SANE (Scanner Access Now Easy) Project
libimage-seek-perl (0.06-2+b3)
Perl module to find similar pictures in a library
libimage-size-perl (3.300-1.1)
module for determining image sizes in several common formats
libimage-transport-dev (1.12.0-3)
Robot OS image_transport package - development
libimage-transport0d (1.12.0-3)
Robot OS image_transport package
libimage-view-dev (1.15.2-4+b1)
Robot OS image_view - development
libimage-view0d (1.15.2-4+b1)
Robot OS image_view package
libimage-xbm-perl
本虛擬套件由這些套件填實: libimage-base-bundle-perl
libimage-xpm-perl
本虛擬套件由這些套件填實: libimage-base-bundle-perl
libimageclasses1 (2.6.7.6+ds-3+b1)
GDAL add-on tools to perform useful raster processing - libimageClasses
libimagequant-dev (2.12.2-1.1)
palette quantization library (development files)
libimagequant0 (2.12.2-1.1)
palette quantization library
libimager-perl (1.012+dfsg-1+b1)
Perl extension for generating 24-bit images
libimager-qrcode-perl (0.033-1.2+b9 [s390x], 0.033-1.2+b8 [amd64, armel, armhf, i386, mipsel], 0.033-1.2+b7 [arm64, mips64el, ppc64el])
Generate QR code with Imager using libqrencode
libimap-admin-perl (1.6.7-2.1)
Perl module for administrating IMAP servers
libimdb-film-perl (0.53-1.1)
Perl extension for retrieving movie info from IMDB.com
libime-bin (1.0.4-1)
Generic Input Method Implementation (tools)
libime-data (1.0.4-1)
Generic Input Method Implementation library (data files)
libime-data-language-model (1.0.4-1)
Generic Input Method Implementation library (language model)
libimecore-dev (1.0.4-1)
Generic Input Method Implementation (core dev files)
libimecore0 (1.0.4-1)
Generic Input Method Implementation (core library)
libimepinyin-dev (1.0.4-1)
Generic Input Method Implementation (pinyin dev files)
libimepinyin0 (1.0.4-1)
Generic Input Method Implementation (pinyin library)
libimetable-dev (1.0.4-1)
Generic Input Method Implementation (table dev files)
libimetable0 (1.0.4-1)
Generic Input Method Implementation (table library)
libimglib2-java (4.5.0-1)
multidimensional image processing library in Java
libimglib2-java-doc (4.5.0-1)
documentation for ImgLib2
libimgscalr-java (4.2-2)
Java Image Scaling Library
libimgui-dev (1.81+ds-1)
Bloat-free Immediate Mode Graphical User interface for C++
libiml-dev (1.0.4-1+b2)
Integer Matrix Library, development files
libiml0 (1.0.4-1+b2)
Integer Matrix Library, runtime files
libimlib2 (1.7.1-2)
image loading, rendering, saving library
libimlib2-dev (1.7.1-2)
image loading, rendering, saving library (development files)
libimobiledevice-dev (1.3.0-6)
Development files for libimobiledevice
libimobiledevice-doc (1.3.0-6)
Library for communicating with iPhone and other Apple devices (documentation)
libimobiledevice-utils (1.3.0-6)
Utitilies for communicating with iPhone and other Apple devices
libimobiledevice6 (1.3.0-6)
Library for communicating with iPhone and other Apple devices
libimport-into-perl (1.002005-1)
module for importing packages into other packages
libimporter-perl (0.026-1)
alternative but compatible interface to modules that export symbols
libimvirt-perl (0.9.6-8)
Perl module for detecting several virtualizations
libinchi-dev (1.03+dfsg-3)
International Chemical Identifier (InChI) algorithm (development files)
libinchi1 (1.03+dfsg-3)
International Chemical Identifier (InChI) algorithm (library)
libindi-data (1.8.8+dfsg-1)
Instrument-Neutral Device Interface library -- shared data
libindi-dev (1.8.8+dfsg-1)
Instrument-Neutral Device Interface library -- development files
libindi-plugins (1.8.8+dfsg-1)
Instrument-Neutral Device Interface library -- plugins
libindialignmentdriver1 (1.8.8+dfsg-1)
Instrument-Neutral Device Interface library -- alignment driver lib
libindidriver1 (1.8.8+dfsg-1)
Instrument-Neutral Device Interface library -- driver library
libindigo-dev (1.2.3-3.1)
Organic Chemistry Toolkit (development files)
libindigo-java (1.2.3-3.1)
Organic Chemistry Toolkit (Java package)
libindigo0d (1.2.3-3.1)
Organic Chemistry Toolkit
libindilx200-1 (1.8.8+dfsg-1)
Instrument-Neutral Device Interface library -- lx200 driver lib
libindirect-perl (0.39-1+b2)
module warning about using the indirect object syntax
libinfgtk-0.7-0 (0.7.2-1)
infinote-based collaborative editing (Gtk widgets)
libinfinity-0.7-0 (0.7.2-1)
infinote-based collaborative editing
libinfinity-0.7-dev (0.7.2-1)
infinote-based collaborative editing - development files
libinfinity-0.7-doc (0.7.2-1)
infinote-based collaborative editing - documentation
libinfluxdb-lineprotocol-perl (1.014-1)
write and read InfluxDB LineProtocol
libinfomas-asl-java (3.0.5-2)
INFOMAS ASL Annotation Detector
libinhomog-dev (0.1.9.2-1+b1)
static library for kin.backreaction/average scale factor
libinhomog0 (0.1.9.2-1+b1)
shared library for kin.backreaction/average scale factor
libini-config-dev (0.6.1-2)
Development files for libini_config
libini-config5 (0.6.1-2)
INI file parser for C
libini4j-java (0.5.4-1)
Java API for handling Windows ini file format (library)
libinifiles-ocaml (1.2-4+b3)
read and write .ini files for OCaml (runtime)
libinifiles-ocaml-23pi5
本虛擬套件由這些套件填實: libinifiles-ocaml
libinifiles-ocaml-dev (1.2-4+b3)
read and write .ini files for OCaml
libinifiles-ocaml-dev-23pi5
本虛擬套件由這些套件填實: libinifiles-ocaml-dev
libinifiles-ocaml-dev-dild1
本虛擬套件由這些套件填實: libinifiles-ocaml-dev
libinifiles-ocaml-dev-r0lp2
本虛擬套件由這些套件填實: libinifiles-ocaml-dev
libinifiles-ocaml-dev-rwoo7
本虛擬套件由這些套件填實: libinifiles-ocaml-dev
libinifiles-ocaml-dild1
本虛擬套件由這些套件填實: libinifiles-ocaml
libinifiles-ocaml-r0lp2
本虛擬套件由這些套件填實: libinifiles-ocaml
libinifiles-ocaml-rwoo7
本虛擬套件由這些套件填實: libinifiles-ocaml
libinih-dev (53-1+b2)
simple .INI file parser (development files)
libinih1 (53-1+b2)
simple .INI file parser
libinih1-udeb (53-1+b2)
simple .INI file parser - udeb
libiniparser-dev (4.1-4)
development files for the iniParser INI file reader/writer
libiniparser-doc (4.1-4)
documentation files for the iniParser INI file reader/writer
libiniparser1 (4.1-4)
runtime library for the iniParser INI file reader/writer
libinireader0 (53-1+b2)
simple .INI file parser for C++
libinjeqt-dev (1.1.0-1.1+b1 [mips64el], 1.1.0-1.1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Dependency injection framework for Qt
libinjeqt1 (1.1.0-1.1+b1 [mips64el], 1.1.0-1.1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Dependency injection framework for Qt
libinklevel-dev (0.9.3-3)
development files for libinklevel5
libinklevel5 (0.9.3-3)
library for checking the ink level of your local printer
libinline-c-perl (0.81-1)
C Language Support for Inline
libinline-files-perl (0.71-1)
module to handle multiple virtual files at the end of your code
libinline-java-perl (0.66-2+b3)
write Perl classes in Java
libinline-perl (0.86-1)
module for producing simple Foreign Function Interfaces
libinline-python-perl (0.56-2+b4)
module to write Perl subs and classes in Python
libinotify-ocaml (2.3-2+b2)
OCaml bindings for the inotify API
libinotify-ocaml-70c05
本虛擬套件由這些套件填實: libinotify-ocaml
libinotify-ocaml-cq1o2
本虛擬套件由這些套件填實: libinotify-ocaml
libinotify-ocaml-dev (2.3-2+b2)
OCaml bindings for the inotify API
libinotify-ocaml-dev-70c05
本虛擬套件由這些套件填實: libinotify-ocaml-dev
libinotify-ocaml-dev-cq1o2
本虛擬套件由這些套件填實: libinotify-ocaml-dev
libinotify-ocaml-dev-lp4d3
本虛擬套件由這些套件填實: libinotify-ocaml-dev
libinotify-ocaml-dev-w79y8
本虛擬套件由這些套件填實: libinotify-ocaml-dev
libinotify-ocaml-lp4d3
本虛擬套件由這些套件填實: libinotify-ocaml
libinotify-ocaml-w79y8
本虛擬套件由這些套件填實: libinotify-ocaml
libinotifytools-dev
本虛擬套件由這些套件填實: libinotifytools0-dev
libinotifytools0 (3.14-8.1)
utility wrapper around inotify
libinotifytools0-dev (3.14-8.1)
Development library and header files for libinotifytools0
libinput-bin (1.16.4-3)
input device management and event handling library - udev quirks
libinput-dev (1.16.4-3)
input device management and event handling library - development files
libinput-pad-dev (1.0.3-4)
On-screen Input Pad to Send Characters with Mouse - dev
libinput-pad-xtest (1.0.3-4)
On-screen Input Pad to Send Characters with Mouse - xtest
libinput-pad1 (1.0.3-4)
On-screen Input Pad to Send Characters with Mouse - libs
libinput-tools (1.16.4-3)
input device management and event handling library - command line tools
libinput10 (1.16.4-3)
input device management and event handling library - shared library
libinput10-udeb (1.16.4-3)
input device management and event handling library - shared library
libinputsynth-0.15-0 (0.15.0-2)
synthesize keyboard and mouse input
libinputsynth-dev (0.15.0-2)
synthesize keyboard and mouse input -- development files
libinsane-dev (1.0.9-2)
Library to access scanner - development files
libinsane-doc (1.0.9-2)
Library to access scanner - documentation
libinsane1 (1.0.9-2)
Library to access scanner
libinsighttoolkit4-dev (4.13.3withdata-dfsg1-4)
Image processing toolkit for registration and segmentation - development
libinsighttoolkit4.13 (4.13.3withdata-dfsg1-4)
Image processing toolkit for registration and segmentation - runtime
libinstaparse-clojure (1.4.7-1.1)
simple way to build parsers in Clojure
libinstpatch-1.0-2 (1.1.6-1)
MIDI instrument editing library
libinstpatch-dev (1.1.6-1)
MIDI instrument editing library development files
libint-dev (1.2.1-6)
Evaluate the integrals in modern atomic and molecular theory (devel)
libint1 (1.2.1-6)
Evaluate the integrals in modern atomic and molecular theory
libint2-2 (2.6.0-13)
Computation Chemistry Integral Evaluation Library
libint2-dev (2.6.0-13)
Computation Chemistry Integral Evaluation Library (development files)
libintegers-ocaml (0.4.0-1+b1)
library of various integer types for OCaml (runtime)
libintegers-ocaml-4sik9
本虛擬套件由這些套件填實: libintegers-ocaml
libintegers-ocaml-dev (0.4.0-1+b1)
library of various integer types for OCaml (development)
libintegers-ocaml-dev-4sik9
本虛擬套件由這些套件填實: libintegers-ocaml-dev
libintegers-ocaml-dev-t1ge5
本虛擬套件由這些套件填實: libintegers-ocaml-dev
libintegers-ocaml-dev-w6yb9
本虛擬套件由這些套件填實: libintegers-ocaml-dev
libintegers-ocaml-dev-zk3i6
本虛擬套件由這些套件填實: libintegers-ocaml-dev
libintegers-ocaml-t1ge5
本虛擬套件由這些套件填實: libintegers-ocaml
libintegers-ocaml-w6yb9
本虛擬套件由這些套件填實: libintegers-ocaml
libintegers-ocaml-zk3i6
本虛擬套件由這些套件填實: libintegers-ocaml
libintellij-annotations-java (20.1.0-1)
transitional package for libjetbrains-annotations-java
libintellij-annotations-java-doc (20.1.0-1)
transitional package for libjetbrains-annotations-java-doc
libintellij-java-compatibility-java (1.0.1-2)
Classes from JDK8 excluded from JDK9
libintelrdfpmath-dev (2.0u2-4)
Intel Decimal Floating-Point Math Library
libinteractive-markers-dev (1.12.0-5)
Robot OS interactive_markers package - development files
libinteractive-markers2d (1.12.0-5)
Robot OS interactive_markers package
libinterimap (0.5.6-1)
Net::IMAP::InterIMAP library and utils
libinternals-perl (1.1-2+b10 [s390x], 1.1-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 1.1-2+b7 [mips64el, ppc64el])
Perl module for write-protecting variables and manipulating refcounts
libintervalstorej-java (1.2+dfsg-3)
Java implementation of the Nested Containment List data structure
libintl-perl (1.26-3+deb11u1)
Uniforum message translations system compatible i18n library
libintl-xs-perl (1.26-3+deb11u1)
XS Uniforum message translations system compatible i18n library
libinventor1 (2.1.5-10-23.1)
Open Inventor runtime environment
libinvokebinder-java (1.7-2)
Java DSL for binding method handles
libinvokebinder-java-doc (1.7-2)
Documentation for invokebinder
libio-aio-perl (4.75-1)
asynchronous IO module for Perl
libio-all-lwp-perl (0.14-2.1)
Perl module to use HTTP and FTP URLs with IO::All
libio-all-perl (0.87-1)
Perl module for unified IO operations
libio-async-loop-epoll-perl (0.21-1)
Perl extension for an event loop using epoll
libio-async-loop-glib-perl (0.22-1)
Perl extension for an event loop using GLib
libio-async-loop-mojo-perl (0.07-1)
Perl module to use IO::Async with Mojolicious
libio-async-perl (0.78-1)
modules for asynchronous event-driven programming
libio-async-ssl-perl (0.22-3)
module to use SSL/TLS with IO::Async
libio-bufferedselect-perl (1.0-2.1)
Perl module for line-buffered select interface
libio-callback-perl (1.12-3.1)
emulate file interface for a code reference
libio-capture-perl (0.05-4)
Abstract Base Class to build modules to capture output
libio-captureoutput-perl (1.1105-1)
module for capturing STDOUT and STDERR from Perl code, subprocesses or XS
libio-compress-base-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libio-compress-bzip2-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libio-compress-lzma-perl (2.101-1)
modules for reading and writing lzma/xz files/buffers
libio-compress-perl (2.101-1)
bundle of IO::Compress modules
libio-compress-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libio-compress-zlib-perl (= 2.093)
本虛擬套件由這些套件填實: perl
libio-digest-perl (0.11-1.1)
Perl module to calculate digests while reading or writing
libio-dirent-perl (0.05-1+b11 [s390x], 0.05-1+b9 [amd64, armel, armhf, i386, mipsel], 0.05-1+b8 [arm64], 0.05-1+b7 [mips64el, ppc64el])
Perl module for accessing dirent structs returned by readdir
libio-epoll-perl (0.03-2+b10 [s390x], 0.03-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.03-2+b7 [mips64el, ppc64el])
Perl interface to the Epoll IO Multiplexing subsystem
libio-event-perl (0.813-2)
Perl module that ties filehandles for nonblocking IO with object callbacks
libio-fdpass-perl (1.3-1)
module to pass a file descriptor over a socket
libio-file-withpath-perl (0.09-1)
IO::File extension that keeps the pathname
libio-handle-util-perl (0.01-2.1)
module providing helper functions for IO::Handle
libio-html-perl (1.004-2)
open an HTML file with automatic charset detection
libio-interactive-perl (1.023-1)
utility module for interactive I/O
libio-interactive-tiny-perl (0.2-2)
minimalist utility module for interactive I/O
libio-interface-perl (1.09-2)
socket methods to get/set interface characteristics
libio-lcdproc-perl (0.037-1.1)
Perl extension to connect to a LCD display through lcdproc
libio-lockedfile-perl (0.23+d030220-4)
module providing object methods for locking files
libio-multiplex-perl (1.16-1.1)
object-oriented interface to select() for Perl
libio-pager-perl (2.10-1)
module to select a pager and pipe text to it
libio-pipely-perl (0.005-1.1)
portable way to create pipe() or pipe-like handles
libio-prompt-perl (0.997004-1)
module to interactively prompt for user input
libio-prompt-tiny-perl (0.003-1)
module that prompts for user input with a default option
libio-prompter-perl (0.004015-1)
Perl module to prompt for input, read it, clean it, return it
libio-pty-easy-perl (0.10-1.1)
module providing an easy interface to IO::Pty
libio-pty-perl (1:1.15-2)
Perl module for pseudo tty IO
libio-sessiondata-perl (1.03-1.1)
set of modules for non-blocking I/O
libio-socket-inet6-perl (2.72-2.1)
object interface for AF_INET6 domain sockets
libio-socket-ip-perl (0.41-1)
module for using IPv4 and IPv6 sockets in a protocol-independent way
libio-socket-ip-perl (= 0.39)
本虛擬套件由這些套件填實: perl-base
libio-socket-multicast-perl (1.12-2+b9 [s390x], 1.12-2+b7 [amd64, armel, armhf, i386, mipsel], 1.12-2+b6 [arm64, mips64el, ppc64el])
module for sending and receiving multicast messages
libio-socket-portstate-perl (0.03-1.1)
IO::Socket::PortState - checking the status of a port
libio-socket-socks-perl (0.74-1.1)
extension to IO::Socket providing SOCKS proxy
libio-socket-ssl-perl (2.069-1)
Perl module implementing object oriented interface to SSL sockets
libio-socket-timeout-perl (0.32-1)
IO::Socket with read/write timeout
libio-stream-perl (2.0.3-2)
module that provides non-blocking I/O streams based on EV
libio-string-perl (1.08-3.1)
Emulate IO::File interface for in-core strings
libio-stringy-perl (2.111-3)
modules for I/O on in-core objects (strings/arrays)
libio-stty-perl (0.04-1)
module to change/print terminal (PTY) line settings
libio-tee-perl (0.66-1)
module for multiplexing output to multiple output handles
libio-tiecombine-perl (1.005-1.1)
Perl module to collect output via any kind of tied variable
libio-tty-perl
本虛擬套件由這些套件填實: libio-pty-perl
libio-zlib-perl (= 1.10)
本虛擬套件由這些套件填實: perl
libiodbc2 (3.52.9-2.1+b1)
iODBC Driver Manager
libiodbc2-dev (3.52.9-2.1+b1)
iODBC Driver Manager (development files)
libip4tc-dev (1.8.7-1)
Development files for libip4tc
libip4tc2 (1.8.7-1)
netfilter libip4tc library
libip6tc-dev (1.8.7-1)
Development files for libip6tc
libip6tc2 (1.8.7-1)
netfilter libip6tc library
libipa-hbac-dev (2.4.1-2)
FreeIPA HBAC Evaluator library -- development files
libipa-hbac0 (2.4.1-2)
FreeIPA HBAC Evaluator library
libipaddr-ocaml (5.0.1-1+b1)
library for manipulation of IP (and MAC) address representations (runtime)
libipaddr-ocaml-796a6
本虛擬套件由這些套件填實: libipaddr-ocaml
libipaddr-ocaml-81tc4
本虛擬套件由這些套件填實: libipaddr-ocaml
libipaddr-ocaml-bkn69
本虛擬套件由這些套件填實: libipaddr-ocaml
libipaddr-ocaml-dev (5.0.1-1+b1)
library for manipulation of IP (and MAC) address representations (dev files)
libipaddr-ocaml-dev-796a6
本虛擬套件由這些套件填實: libipaddr-ocaml-dev
libipaddr-ocaml-dev-81tc4
本虛擬套件由這些套件填實: libipaddr-ocaml-dev
libipaddr-ocaml-dev-bkn69
本虛擬套件由這些套件填實: libipaddr-ocaml-dev
libipaddr-ocaml-dev-tisf2
本虛擬套件由這些套件填實: libipaddr-ocaml-dev
libipaddr-ocaml-tisf2
本虛擬套件由這些套件填實: libipaddr-ocaml
libipathverbs1
本虛擬套件由這些套件填實: ibverbs-providers
libipc-filter-perl (0.004-2.1)
module to filter data through an external process
libipc-pubsub-perl (0.29-2)
Interprocess Publish/Subscribe channels
libipc-run-perl (20200505.0-1)
Perl module for running processes
libipc-run-safehandles-perl (0.04-2)
Use IPC::Run and IPC::Run3 safely
libipc-run3-perl (0.048-2)
run a subprocess with input/output redirection
libipc-shareable-perl (0.61-2)
module to access IPC shared memory segments through perl
libipc-sharedcache-perl (1.3-9.1)
module to manage a cache in SysV IPC shared memory
libipc-sharelite-perl (0.17-4+b5)
Perl module that provides a simple interface to shared memory
libipc-signal-perl (1.00-7)
utility functions dealing with signals for Perl
libipc-system-simple-perl (1.30-1)
Perl module to run commands simply, with detailed diagnostics
libipe-dev (7.2.23+dfsg1-2)
Ipe library used by ipelets (developer files)
libipe7.2.23 (7.2.23+dfsg1-2)
Ipe library used by ipelets
libiperf-dev (3.9-1+deb11u1)
Internet Protocol bandwidth measuring tool (development files)
libiperf0 (3.9-1+deb11u1)
Internet Protocol bandwidth measuring tool (runtime files)
libipmctl-dev (02.00.00.3852+ds-1)
library for managing Intel Optane DC persistent memory modules - devel
libipmctl4 (02.00.00.3852+ds-1)
library for managing Intel Optane DC persistent memory modules
libipmiconsole-dev (1.6.6-4+deb11u1)
GNU IPMI - ipmiconsole development package
libipmiconsole2 (1.6.6-4+deb11u1)
GNU IPMI - Serial-over-Lan library
libipmidetect-dev (1.6.6-4+deb11u1)
GNU IPMI - ipmidetect development package
libipmidetect0 (1.6.6-4+deb11u1)
GNU IPMI - IPMI node detection library
libipmimonitoring-dev (1.6.6-4+deb11u1)
GNU IPMI - ipmimonitoring development package
libipmimonitoring6 (1.6.6-4+deb11u1)
GNU IPMI - Sensor monitoring library
libips4o-dev (0.0+git20190618.2206938-2)
In-place Parallel Super Scalar Samplesort
libipsec-mb-dev (0.55-1)
Development files for Intel(R) Multi-Buffer Crypto for IPSec library
libipsec-mb0 (0.55-1)
Intel(R) Multi-Buffer Crypto for IPSec library
libipset-dev (7.10-1)
development files for IP sets
libipset13 (7.10-1)
library for IP sets
libipt-dev (2.0.3-1)
Intel Processor Trace Decoder Library -- development files
libipt2 (2.0.3-1)
Intel Processor Trace Decoder Library
libiptables-chainmgr-perl (1.6-2)
Perl extension for manipulating iptables policies
libiptables-parse-perl (1.6-2)
Perl extension for parsing iptables firewall rulesets
libiptc-dev (1.8.7-1)
Common development files for libiptc
libiptc0 (1.8.7-1)
transitional dummy package
libiptcdata
本虛擬套件由這些套件填實: libiptcdata0
libiptcdata-bin (1.0.5-2.3)
Library to parse IPTC metadata (programs)
libiptcdata-dev
本虛擬套件由這些套件填實: libiptcdata0-dev
libiptcdata-doc (1.0.5-2.3)
Library to parse IPTC metadata (documentation)
libiptcdata0 (1.0.5-2.3)
Library to parse IPTC metadata
libiptcdata0-dbg (1.0.5-2.3)
Library to parse IPTC metadata (debug files)
libiptcdata0-dev (1.0.5-2.3)
Library to parse IPTC metadata (development files)
libirc-formatting-html-perl (0.29-1.1)
Perl module for converting between HTML and IRC formatting
libirc-utils-perl (0.12-1.1)
collection of common utilities for IRC-related tasks
libircclient-dev (1.9-1+b2)
development files for libircclient
libircclient1 (1.9-1+b2)
C library to create IRC clients
libirclib-java (1.10-4)
Java implementation of the IRC protocol
libirclib-java-doc (1.10-4)
Java implementation of the IRC protocol (javadoc files)
libirecovery-1.0-3 (1.0.0-5)
Library allowing communication with iBoot/iBSS of iOS devices via USB
libirecovery-1.0-dev (1.0.0-5)
Development files for libirecovery
libirecovery-common (1.0.0-5)
Common files for libirecovery
libiri-perl (0.011-1)
Handle Internationalized Resource Identifiers in Perl
libirman-dev (0.5.2-5)
Library, headers and test tools for the Irman infrared hardware
libirman0 (0.5.2-5)
Shared library to access the libirman hardware
libirrlicht-dev (1.8.4+dfsg1-1.1)
High performance realtime 3D engine development library
libirrlicht-doc (1.8.4+dfsg1-1.1)
High performance realtime 3D engine (API documentation)
libirrlicht1.8 (1.8.4+dfsg1-1.1)
High performance realtime 3D engine
libirrlicht1.8-dbg (1.8.4+dfsg1-1.1)
debugging symbols for irrlicht
libirs-export161 (1:9.11.19+dfsg-2.1)
Exported IRS Shared Library
libirs-export161-udeb (1:9.11.19+dfsg-2.1)
Exported IRS library for debian-installer
libirs161 (1:9.11.19+dfsg-2.1)
DNS Shared Library used by BIND
libirstlm-dev (6.00.05-2+b1)
IRST Language Modeling Toolkit - development files
libirstlm1 (6.00.05-2+b1)
IRST Language Modeling Toolkit - runtime library
libisal-dev (2.30.0-2)
Intel(R) Intelligent Storage Acceleration Library - devel files
libisal2 (2.30.0-2)
Intel(R) Intelligent Storage Acceleration Library - shared library
libisc-export1105 (1:9.11.19+dfsg-2.1)
Exported ISC Shared Library
libisc-export1105-udeb (1:9.11.19+dfsg-2.1)
Exported ISC library for debian-installer
libisc1105 (1:9.11.19+dfsg-2.1)
ISC Shared Library used by BIND
libisccc-export161 (1:9.11.19+dfsg-2.1)
Command Channel Library used by BIND
libisccc-export161-udeb (1:9.11.19+dfsg-2.1)
Command Channel Library used by BIND
libisccc161 (1:9.11.19+dfsg-2.1)
Command Channel Library used by BIND
libisccfg-export163 (1:9.11.19+dfsg-2.1)
Exported ISC CFG Shared Library
libisccfg-export163-udeb (1:9.11.19+dfsg-2.1)
Exported ISC CFG library for debian-installer
libisccfg163 (1:9.11.19+dfsg-2.1)
Config File Handling Library used by BIND
libiscsi-bin (1.19.0-3)
iSCSI client shared library - utilities
libiscsi-dev (1.19.0-3)
iSCSI client library development files
libiscsi7 (1.19.0-3)
iSCSI client shared library
libiscwt-java (5.3.20100629-4.1)
abstractions and Java implementations for rendering PDF
libiscwt-java-doc (5.3.20100629-4.1)
Javadoc API description for isCWT
libisds-dev (0.10.7-1+b1)
Czech Data Boxes access library (development files)
libisds5 (0.10.7-1+b1)
Czech Data Boxes access library (shared library)
libisfreetype-java (5.3.20100629-3.1)
Java wrapper for FreeType font handling library
libisfreetype-java-doc (5.3.20100629-3.1)
Javadoc API description for isFreeType
libisl-dev (0.23-1)
manipulating sets and relations of integer points bounded by linear constraints
libisl23 (0.23-1)
manipulating sets and relations of integer points bounded by linear constraints
libismrmrd-dev (1.4.2.1-6)
development files for ISMRMRD
libismrmrd-doc (1.4.2.1-6)
documentation for ISMRMRD
libismrmrd1.4 (1.4.2.1-6)
ISMRM Raw Data format (ISMRMRD)
libisnativec-java (5.3.20100629+fix-4)
helper routines to access native code from Java
libisnativec-java-doc (5.3.20100629+fix-4)
Javadoc API description for isNativeC
libisns-dev (0.100-3)
Internet Storage Name Service - development files
libisns-udeb (0.100-3)
Internet Storage Name Service - shared libraries
libisns0 (0.100-3)
Internet Storage Name Service - shared libraries
libiso9660++-dev (2.1.0-2)
C++ library to work with ISO9660 filesystems (development files)
libiso9660++0 (2.1.0-2)
C++ library to work with ISO9660 filesystems
libiso9660-11 (2.1.0-2)
library to work with ISO9660 filesystems
libiso9660-dev (2.1.0-2)
library to work with ISO9660 filesystems (development files)
libisoburn-dev (1.5.2-1)
development package for libisoburn
libisoburn-doc (1.5.2-1)
documentation package for libisoburn
libisoburn1 (1.5.2-1)
library to handle creation and inspection of ISO-9660 file systems
libisocodes-dev (1.2.3-1)
access of iso-codes data and translations - development files
libisocodes1 (1.2.3-1)
access of iso-codes data and translations
libisofs-dev (1.5.2-1)
development package for libisofs
libisofs-doc (1.5.2-1)
background documentation for libisofs library
libisofs6 (1.5.2-1)
library to create ISO 9660 images
libisoparser-java (1.1.22-1)
Generic parser and writer for ISO 14496 based files
libisorelax-java (20041111-12)
Interface for applications to support RELAX Core
libisorelax-java-doc (20041111-12)
Interface for applications to support RELAX Core - documentation
libisospec++-dev (2.1.3-1)
Isotopic fine structure calculator (C++ development files)
libisospec++-doc (2.1.3-1)
Isotopic fine structure calculator (C++ API documentation)
libisospec++2 (2.1.3-1)
Isotopic fine structure calculator (C++ runtime)
libisrt-java (4.8.20100629-3)
common runtime library by intarsys
libisrt-java-doc (4.8.20100629-3)
common runtime library by intarsys (documentation)
libistack-commons-java (3.0.6-5)
Common code for some Glassfish projects
libite-dev (2.2.0-2)
static library, header files, and docs for libite
libite5 (2.2.0-2)
That missing frog DNA you've been looking for
libiterator-perl (0.03+ds1-1.1)
Perl implementation of iterators
libiterator-simple-perl (0.07-2)
simple iterators and utilities
libiterator-util-perl (0.02+ds1-1.1)
Useful functions for creating and manipulating iterator objects
libitext-java (2.1.7-12)
Java Library to create and manipulate PDF on the fly
libitext-rtf-java (2.1.7-12)
Java Library to create and manipulate RTF files on the fly
libitext-rups-java (2.1.7-12)
graphical tool for Reading and Updating PDF Syntax (RUPS)
libitext1-java (1.4-7)
Java Library to generate PDF on the Fly
libitext5-java (5.5.13.2-1+deb11u1)
Java Library to create and manipulate PDF on the fly
libitext5-java-doc (5.5.13.2-1+deb11u1)
Java Library to create and manipulate PDF on the fly (documentation)
libitl-dev (0.8.0-2)
Islamic tools & libraries project - development files
libitl-gobject-dev (0.3-1)
GObject bindings for libitl - development files
libitl-gobject0 (0.3-1)
GObject bindings for libitl - shared library
libitl0 (0.8.0-2)
Islamic tools & libraries project - shared library
libitm1 (10.2.1-6)
GNU Transactional Memory Library
libitm1-alpha-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-amd64-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-arm64-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-i386-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-ppc64-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-ppc64el-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-s390x-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-sh4-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-sparc64-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitm1-x32-cross (10.2.1-6cross1)
GNU Transactional Memory Library
libitpp-dev (4.3.1-9.1)
C++ library of signal processing and communication routines: Headers
libitpp-doc (4.3.1-9.1)
C++ library of signal processing and communication routines: Documentation
libitpp8v5 (4.3.1-9.1)
C++ library of signal processing and communication routines
libitpp8v5-dbg (4.3.1-9.1)
C++ library of signal processing and communication routines: Debug symbols
libitsol-dev (1.0.0-3+b1)
ITerative SOLvers - devel
libitsol1 (1.0.0-3+b1)
ITerative SOLvers - runtime
libiv-unidraw2 (2.0.4a1-2)
Application Frameworks layered on Unidraw
libiv2 (2.0.4a1-2)
C++ GUI library with Motif Look and Feel
libivykis-dev (0.42.4-1)
Development libraries, header files and docs for libivykis
libivykis0 (0.42.4-1)
Asynchronous I/O readiness notification library
libivykis0-dbg (0.42.4-1)
Asynchronous I/O readiness notification library (debug symbols)
libiw-dev (30~pre9-13.1)
Wireless tools - development files
libiw30 (30~pre9-13.1)
Wireless tools - library
libiw30-udeb (30~pre9-13.1)
Tools for manipulating Linux Wireless Extensions
libixion-0.16-0 (0.16.1-2+b2)
general purpose formula parser & interpreter library
libixion-dev (0.16.1-2+b2)
general purpose formula parser & interpreter library -- development
libixion-doc (0.16.1-2)
general purpose formula parser & interpreter library -- documentation
libixml10 (1:1.8.4-2)
Portable SDK for UPnP Devices, version 1.8 (ixml shared library)
libixp
本虛擬套件由這些套件填實: libixp-dev
libixp-dev (0.6~20121202+hg148-5)
simple 9P client-/server-library (development)
libixp0 (0.6~20121202+hg148-5)
simple 9P client-/server-library
libj2ssh-java (0.2.9-5)
Java library for the SSH protocol
libj2ssh-java-doc (0.2.9-5)
Java library for the SSH protocol - documentation and examples
libjaba-client-java (2.2.0-2)
minimal client for the JABAWS server
libjabsorb-java (1.3-4) [non-free]
Java to Javascript object request broker
libjack-0.116
本虛擬套件由這些套件填實: libjack-jackd2-0, libjack0
libjack-0.125
本虛擬套件由這些套件填實: libjack0, libjack-jackd2-0
libjack-dev (1:0.125.0-3+b1)
JACK Audio Connection Kit (development files)
libjack-dev
本虛擬套件由這些套件填實: libjack-jackd2-dev
libjack-dev-session
本虛擬套件由這些套件填實: libjack-jackd2-dev, libjack-dev
libjack-jackd2-0 (1.9.17~dfsg-1)
JACK Audio Connection Kit (libraries)
libjack-jackd2-dev (1.9.17~dfsg-1)
JACK Audio Connection Kit (development files)
libjack0 (1:0.125.0-3+b1)
JACK Audio Connection Kit (libraries)
libjack0.100.0-dev
本虛擬套件由這些套件填實: libjack-jackd2-dev, libjack-dev
libjackrabbit-java (2.18.0+r2.14.6-1)
content repository implementation (JCR API)
libjackson-json-java (1.9.13-2)
streaming fast powerful standard conformant json processor in java
libjackson-json-java-doc (1.9.13-2)
standard conformant json processor in java - API documentation
libjackson2-annotations-java (2.12.1-1)
fast and powerful JSON library for Java -- annotations
libjackson2-annotations-java-doc (2.12.1-1)
Documentation for Jackson-annotations
libjackson2-core-java (2.12.1-1)
fast and powerful JSON library for Java -- core library
libjackson2-core-java-doc (2.12.1-1)
Documentation for Jackson-core
libjackson2-databind-java (2.12.1-1+deb11u1)
fast and powerful JSON library for Java -- data binding
libjackson2-databind-java-doc (2.12.1-1+deb11u1)
Documentation for jackson-databind
libjackson2-dataformat-cbor (2.7.8-3)
Jackson data format module for RfC7049 Concise Binary Object Representation
libjackson2-dataformat-cbor-doc (2.7.8-3)
Documentation for jackson-dataformat-cbor
libjackson2-dataformat-smile (2.7.8-3)
fast and powerful JSON library for Java -- Smile dataformat
libjackson2-dataformat-smile-doc (2.7.8-3)
Documentation for Jackson-dataformat-smile
libjackson2-dataformat-xml-java (2.12.1-1)
fast and powerful JSON library for Java -- XML dataformat
libjackson2-dataformat-xml-java-doc (2.12.1-1)
Documentation for Jackson-dataformat-XML
libjackson2-dataformat-yaml (2.8.11-1)
fast and powerful JSON library for Java -- YAML dataformat
libjackson2-dataformat-yaml-doc (2.8.11-1)
Documentation for jackson-dataformat-yaml
libjackson2-datatype-joda-java (2.12.1-1)
fast and powerful JSON library for Java -- Joda datatypes
libjackson2-jaxrs-providers-java (2.12.1-1)
Jackson JAX-RS providers
libjackson2-jr-java (2.12.1-1)
JSON library for Java -- standalone data-binding module
libjackson2-jr-java-doc (2.12.1-1)
Documentation for libjackson2-jr-java
libjackson2-module-jaxb-annotations-java (2.8.10-4)
Fast and powerful JSON library for Java -- JAXB annotations
libjacksum-sugar-java (1.7.0+ds-1)
Library building parts of numerical chameleon
libjacoco-java (0.8.6-1)
free code coverage library for Java
libjakarta-activation-java (2.0.0-1)
Jakarta Activation
libjakarta-annotation-api-java (2.0.0-1)
Annotations for common semantic concepts in the Java SE & Jakarta EE platforms
libjakarta-el-api-java (4.0.0-2)
Jakarta Expression Language API
libjakarta-mail-java (2.0.0-2)
Jakarta Mail API
libjakarta-poi-java
本虛擬套件由這些套件填實: libapache-poi-java
libjakarta-poi-java-doc
本虛擬套件由這些套件填實: libapache-poi-java-doc
libjakarta-servlet-api-java (5.0.0-2)
Jakarta Servlet API
libjakarta-validation-api-java (3.0.0-1)
Jakarta Bean Validation API
libjalali-dev (0.4.1-2+b1)
development files of a library to display Jalali calendar
libjalali0 (0.4.1-2+b1)
library to display Jalali calendar
libjam-java (0.1.git20180106.740247a+dfsg-1)
Java applications look and behave like native applications
libjam-java-doc (0.1.git20180106.740247a+dfsg-1)
Java applications look and behave like native applications (documentation)
libjama-dev (1.2.4-2.1)
C++ Linear Algebra Package
libjama-java (1.0.3-2)
Basic linear algebra library for Java
libjameica-datasource-java (2.8.1+dfsg-3)
OR mapper for Jameica - Java library
libjameica-datasource-java-doc (2.8.1+dfsg-3)
Documentation for OR mapper for Jameica - Java library
libjameica-util-java (2.8-2)
utility classes for Jameica - Java library
libjameica-util-java-doc (2.8-2)
Documentation for utility classes for Jameica - Java library
libjamm-java (0.3.3-3)
Java Agent for Memory Measurements
libjamm-java-doc (0.3.3-3)
Documentation for Java Agent for Memory Measurements
libjamon-java (2.7-7)
Java API for easy monitoring production applications
libjanino-java (2.7.0-2.1)
runtime compiler for Java expressions - library
libjanino-java-doc (2.7.0-2.1)
runtime compiler for Java expressions - documentation
libjansi-java (1.18-1)
Java library for generating and interpreting ANSI escape sequences
libjansi-native-java (1.8-1)
Java library for ANSI escape sequences - native component
libjansson-dev (2.13.1-1.1)
C library for encoding, decoding and manipulating JSON data (dev)
libjansson-doc (2.13.1-1.1)
C library for encoding, decoding and manipulating JSON data (doc)
libjansson4 (2.13.1-1.1)
C library for encoding, decoding and manipulating JSON data
libjarchivelib-java (1.1.0-1)
simple archiving and compression library for Java
libjargs-java (1.0.0-5)
Command-line argument parsing for Java
libjarjar-java (1.4+svn142-10)
repackage third-party jars
libjarjar-maven-plugin-java (1.9-8)
Maven plugin to repackage third-party jars
libjas-java (2.5.4408-1.1)
Java object-oriented type-safe Algebra System
libjas-plotter-java (2.2.6+dfsg1-4)
JAS(2) Plotter graphic library
libjasypt-java (1.9.3-1)
java library for simple high-level encryption
libjasypt-java-doc (1.9.3-1)
Documentation - java library for simple high-level encryption
libjatl-java (0.2.3-1.1)
JATL - Java Anti-Template Language
libjaudiotagger-java (2.0.3-3)
library for editing tags like ID3 in audio files such as MP3s
libjaula-dev (1.4.0-6)
JSON parser/writer library for C++ (development files)
libjaula-doc (1.4.0-6)
JSON parser/writer library for C++ (documentation)
libjaula1 (1.4.0-6)
JSON parser/writer library for C++
libjava-allocation-instrumenter-java (3.1.0-2)
JVM agent tracking memory allocations
libjava-allocation-instrumenter-java-doc (3.1.0-2)
JVM agent tracking memory allocations (documentation)
libjava-classpath-clojure (1.0.0-1)
examine the Java classpath from Clojure programs
libjava-gnome-java (4.1.3-10)
Java-gnome language bindings project
libjava-gnome-jni (4.1.3-10)
Java-gnome language bindings project JNI layer
libjava-jdbc-clojure (0.7.10-1)
Clojure interface to sql databases via jdbc
libjava-jmx-clojure (0.3.4-1.1)
produce and consume JMX beans from Clojure
libjava-string-similarity-java (2.0.0-1)
library of different string similarity and distance measures
libjava-xmlbuilder-java (0.4-2.1)
XML Builder Java library for creating XML documents
libjava-xmlbuilder-java-doc (0.4-2.1)
Documentation for XML Builder Java library for creating XML documents
libjava3d-java (1.5.2+dfsg-17)
Java 3D API (java library)
libjava3d-java-doc (1.5.2+dfsg-17)
Documentation for the Java3D API
libjava3d-jni (1.5.2+dfsg-17)
Java3D API (java jni library)
libjavacc-maven-plugin-java (2.6-4)
maven plugin which uses JavaCC to process JavaCC grammar files
libjavaewah-java (1.1.7-1)
Compressed variant of the Java bitset class
libjavafxsvg-java (1.2.1-2)
CenterDevice JavaFxSVG library
libjavaparser-java (1.0.11-1)
Java library for parsing Java 7
libjavaparser-java-doc (1.0.11-1)
Java library for parsing Java 7 (documentation)
libjavapoet-java (1.13.0-1)
Java API for generating .java source files
libjavascript-beautifier-perl (0.25-2)
Perl module to beautify JavaScript code
libjavascript-minifier-perl (1.14-1)
Perl extension for minifying JavaScript code
libjavascript-minifier-xs-perl (0.13-1)
XS based JavaScript minifier
libjavascript-packer-perl (2.06-1)
module to compact JavaScript code
libjavascript-rpc-perl (0.10-1.3)
Perl module to process Remote procedure calls from JavaScript
libjavascriptcoregtk-4.0-18 (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
JavaScript engine library from WebKitGTK
libjavascriptcoregtk-4.0-bin (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
JavaScript engine library from WebKitGTK - command-line interpreter
libjavascriptcoregtk-4.0-dev (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
JavaScript engine library from WebKitGTK - development files
libjavassist-java (1:3.27.0-1)
library for editing bytecodes in Java
libjavassist-java-doc (1:3.27.0-1)
library for editing bytecodes in Java -- documentation
libjavatuples-java (1.2-2)
Java library for tuples in Java.
libjavawriter-java (2.5.1-2)
Java API for generating .java source files
libjavawriter-java-doc (2.5.1-2)
Documentation for JavaWriter
libjawn-java (0.11.1+really0.6.0-1)
Scala json parser
libjax-maven-plugin (0.1.8+dfsg-1)
Using the xjc goal with OpenJDK 11+
libjaxb-api-java (2.3.1-1)
Java Architecture for XML Binding API
libjaxb-java (2.3.0.1-10)
JAXB Reference Implementation
libjaxb-java-doc (2.3.0.1-10)
JAXB Reference Implementation -- documentation
libjaxb2-maven-plugin-java (2.5.0-1)
JAXB-2 Maven Plugin
libjaxe-java (3.5-12)
JAva Xml Editor - library
libjaxe-java-doc (3.5-12)
JAva Xml Editor - Library documentation
libjaxen-java (1.1.6-4)
Java XPath engine
libjaxen-java-doc (1.1.6-4)
Java XPath engine - documentation
libjaxp1.3-java (1.3.05-6)
Java XML parser and transformer APIs (DOM, SAX, JAXP, TrAX)
libjaxrpc-api-java (1.1.2-2)
Java API for XML based RPC (JAX-RPC)
libjaxrs-api-java (2.1.2-2)
Java API for RESTful Services (JAX-RS)
libjaxws-api-java (2.3.0-1.1)
Java API for XML-Based Web Services
libjaxws-java (2.3.0.2-1.1)
JAX-WS Reference Implementation (Library)
libjaylink-dev (0.2.0-1)
library for interacting with J-Link programmers (development files)
libjaylink0 (0.2.0-1)
library for interacting with J-Link programmers
libjazzy-java (0.5.2-1.1)
spell checker java library
libjbbp-java (2.0.3-1)
comfortable way to work with binary data in Java
libjbcrypt-java (0.4-2.1)
Java implementation of OpenBSD's Blowfish hashing
libjbig-dev (2.1-3.1+b2)
JBIGkit development files
libjbig0 (2.1-3.1+b2)
JBIGkit libraries
libjbig2dec-dev
本虛擬套件由這些套件填實: libjbig2dec0-dev
libjbig2dec0 (0.19-2)
JBIG2 decoder library - shared libraries
libjbig2dec0-dev (0.19-2)
JBIG2 decoder library - development files
libjboss-bridger-java (1.5-2)
Java Bridge Method Maker
libjboss-classfilewriter-java (1.2.4-2)
bytecode writer that creates .class files at runtime
libjboss-classfilewriter-java-doc (1.2.4-2)
Documentation for JBoss Class File Writer
libjboss-jdeparser2-java (2.0.3-1)
Java source code generating library
libjboss-jdeparser2-java-doc (2.0.3-1)
Documentation for libjboss-jdeparser2-java
libjboss-logging-java (3.4.1-1)
JBoss Logging Framework
libjboss-logging-java-doc (3.4.1-1)
Documentation for the JBoss Logging Framework
libjboss-logging-tools-java (2.2.1-2)
create internationalized logger messages and exceptions
libjboss-logging-tools-java-doc (2.2.1-2)
Documentation for JBoss Logging Tools
libjboss-logmanager-java (2.1.15-1)
implementation of java.util.logging.LogManager
libjboss-logmanager-java-doc (2.1.15-1)
Documentation for JBoss Log Manager
libjboss-modules-java (1.11.0-1)
Modular Classloading System
libjboss-modules-java-doc (1.11.0-1)
Documentation for JBoss Modules
libjboss-threads-java (3.2.0-1)
JBoss Threads
libjboss-vfs-java (3.2.15.Final-2)
JBoss VFS
libjboss-xnio-java (3.8.4-1)
simplified low-level I/O layer for NIO
libjboss-xnio-java-doc (3.8.4-1)
Documentation for jboss-xnio
libjbzip2-java (0.9.1-5)
bzip2 compression/decompression library
libjcabi-log-java (0.19.0-1)
Static Wrapper of SLF4
libjcat-dev (0.1.3-2)
development files for libjcat
libjcat-tests (0.1.3-2)
Installed tests for libjcat1
libjcat1 (0.1.3-2)
JSON catalog library
libjcdf-java (1.2.3+dfsg-2)
Java library to read files in the NASA Common Data Format
libjcdf-java-doc (1.2.3+dfsg-2)
Library to read files in the NASA Common Data Format (Javadoc)
libjchart2d-java (3.2.2+dfsg2-3)
Java library for precise 2D charting visualizations
libjchart2d-java-doc (3.2.2+dfsg2-3)
Java library for precise 2D charting visualizations -- docs
libjcharts-java (0.7.5-5)
java based charts library
libjcharts-java-doc (0.7.5-5)
java based charts library (API docs)
libjcifs-java (1.3.19-2)
Java library for the CIFS/SMB networking protocol
libjcifs-java-doc (1.3.19-2)
Documentation for libjcifs-java (CIFS/SMB library for Java)
libjcip-annotations-java (20060626-6)
Java Concurrency In Practice annotations library
libjcm-java (1.0-1.1)
Java Components for Mathematics
libjcm-java-doc (1.0-1.1)
Documentation for Java Components for Mathematics
libjcode-perl (2.13-4.1)
Perl library for Japanese character code conversion
libjcode-pm-perl (2.06-1+b1)
Perl extension interface to convert Japanese text
libjcodings-java (1.0.55-1)
Java-based codings helper classes for Joni and JRuby
libjcommander-java (1.71-3)
Java framework for parsing command line parameters
libjcommander-java-doc (1.71-3)
Java framework for parsing command line parameters (documentation)
libjcommon-java (1.0.23-2)
General Purpose library for Java
libjcommon-java-doc (1.0.23-2)
General Purpose library for Java - documentation
libjconv-bin (2.8-7+b1)
charset conversion library - binaries
libjconv-dev (2.8-7+b1)
charset conversion library - development
libjconv2 (2.8-7+b1)
charset conversion library
libjcsp-java (1.1-rc4-2.1)
Java library providing Communicating Sequential Processes (CSP) features
libjcsp-java-doc (1.1-rc4-2.1)
Documentation for libjcsp-java
libjctools-java (2.0.2-1)
Java Concurrency Tools for the JVM
libjdeb-java (1.8-1)
utility to construct Debian packages from Ant or Maven
libjdepend-java (2.9.1-2)
tool to measure design quality of java class and source
libjdependency-java (1.4-1)
Java library analyzing class level dependencies
libjdns-dbg (2.0.3-1.1)
Simple DNS queries library - debugging symbols
libjdns-dev (2.0.3-1.1)
Simple DNS queries library - development files
libjdns2 (2.0.3-1.1)
Simple DNS queries library
libjdo-api-java (3.1-3)
Implementation of JSR 243: Java Data Objects 3.1
libjdom1-java (1.1.3-2.1)
lightweight and fast library using XML
libjdom1-java-doc (1.1.3-2.1)
lightweight and fast library using XML - documentation
libjdom2-intellij-java (2.0.6+git20180529-2)
lightweight and fast XML DOM library (IntelliJ version)
libjdom2-intellij-java-doc (2.0.6+git20180529-2)
documentation for IntelliJ version of JDOM2 library
libjdom2-java (2.0.6-2.1)
lightweight and fast library using XML
libje-perl (0.066-1.1)
Pure-Perl ECMAScript (JavaScript) Engine
libjebl2-java (0.1+git20201011.969bd4b-1)
Java Evolutionary Biology Library
libjebl2-java-doc (0.1+git20201011.969bd4b-1)
Java Evolutionary Biology Library (documentation)
libjellyfish-2.0-2 (2.3.0-10)
count k-mers in DNA sequences (dynamic library of jellyfish)
libjellyfish-2.0-dev (2.3.0-10)
count k-mers in DNA sequences (development files of jellyfish)
libjellyfish-perl (2.3.0-10)
count k-mers in DNA sequences (Perl bindings of jellyfish)
libjemalloc-dev (5.2.1-3)
development files and documentation for jemalloc
libjemalloc2 (5.2.1-3)
general-purpose scalable concurrent malloc(3) implementation
libjemmy2-java (2.3.1.1-4)
Java library for automated Java GUI testing
libjemmy2-java-doc (2.3.1.1-4)
Java library for automated Java GUI testing (documentation)
libjempbox-java (1:1.8.16-2)
XMP Compatible Java Library
libjempbox-java-doc (1:1.8.16-2)
XMP Compatible Java Library (documentation)
libjengelman-shadow-java (4.0.3-2)
Gradle plugin for creating fat/uber JARs with support for package relocation.
libjenkins-json-java (2.4-jenkins-3-6)
Library for transforming Java objects between XML and JSON
libjenkins-trilead-ssh2-java (217-jenkins-8-2)
Trilead SSH2 implementation for Java (Jenkins variant)
libjep-java (2.4.1+ds-4)
Java Expression Parser
libjerasure-dev (2.0.0+2017.04.10.git.de1739cc84-2)
forward error correction erasure channel library - development files
libjerasure2 (2.0.0+2017.04.10.git.de1739cc84-2)
forward error correction erasure channel library - shared library
libjericho-html-java (3.2-2)
Java based library for HTML Parsing
libjeromq-java (0.3.6-1.1)
Java implementation of the ZeroMQ messaging library
libjersey1-atom-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (atom module)
libjersey1-client-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (client module)
libjersey1-core-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (core library)
libjersey1-fastinfoset-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (fastinfoset module)
libjersey1-guice-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (guice module)
libjersey1-json-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (json module)
libjersey1-server-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (server module)
libjersey1-servlet-java (1.19.3-6+deb11u1)
RESTful Web Services in Java (servlet module)
libjetbrains-annotations-java (20.1.0-1)
annotations used for code inspection support and code documentation
libjetbrains-annotations-java-doc (20.1.0-1)
documentation for libjetbrains-annotations-java
libjets3t-java (0.8.1+dfsg-4)
Java interface to Amazon S3 and CloudFront services
libjets3t-java-doc (0.8.1+dfsg-4)
documentation for libjets3t-java
libjettison-java (1.5.3-1~deb11u1)
collection of StAX parsers and writers for JSON
libjetty9-extra-java (9.4.50-4+deb11u2) [security]
Java servlet engine and webserver -- extra libraries
libjetty9-java (9.4.50-4+deb11u2) [security]
Java servlet engine and webserver -- core libraries
libjeuclid-core-java (3.1.9-5)
Complete MathML rendering solution (java classes)
libjeuclid-fop-java (3.1.9-5)
Complete MathML rendering solution (fop plugin)
libjexcelapi-java (2.6.12-3)
Java API to read, write and modify Excel spreadsheets
libjexcelapi-java-doc (2.6.12-3)
Java API to read, write and modify Excel spreadsheets - documentation
libjffi-java (1.2.7-11)
Java Foreign Function Interface
libjffi-jni (1.2.7-11+b1)
Java Foreign Function Interface (JNI library)
libjformatstring-java (0.10~20131207-2.1)
Java format string compile-time checker
libjfreechart-java (1.0.19-3)
Chart library for Java
libjfreechart-java-doc (1.0.19-3)
Chart library for Java - documentation
libjfreechart-swt-java (1.0.19-3)
Chart library for Java (SWT support)
libjfreereport-java
本虛擬套件由這些套件填實: libpentaho-reporting-flow-engine-java
libjfreereport-java-doc
本虛擬套件由這些套件填實: libpentaho-reporting-flow-engine-java-doc
libjfreesvg-java (4.1-2)
Java graphics library to generate content in SVG format
libjfreesvg-java-doc (4.1-2)
Java graphics library to generate content in SVG format (docs)
libjfugue-java (4.0.3-5)
Java API for music programming
libjgit-ant-java (4.11.9-1)
Java implementation of GIT version control (Ant interface)
libjgit-java (4.11.9-1)
Java implementation of GIT version control
libjglobus-axisg-java (2.1.0-8.1)
Globus Java - Apache AXIS support
libjglobus-gram-java (2.1.0-8.1)
Globus Java - Grid Resource Allocation and Management (GRAM)
libjglobus-gridftp-java (2.1.0-8.1)
Globus Java - GridFTP
libjglobus-gss-java (2.1.0-8.1)
Globus Java - GSS-API implementation for SSL with proxies
libjglobus-io-java (2.1.0-8.1)
Globus Java - IO
libjglobus-jsse-java (2.1.0-8.1)
Globus Java - SSL support
libjglobus-myproxy-java (2.1.0-8.1)
Globus Java - MyProxy
libjglobus-parent-java (2.1.0-8.1)
Globus Java - parent pom file
libjglobus-ssl-proxies-java (2.1.0-8.1)
Globus Java - SSL and proxy certificate support
libjgoodies-animation-java (1.4.3-2)
Time-based real-time animations in Java
libjgoodies-binding-java (2.13.0-2)
Swing Data Binding Framework
libjgoodies-common-java (1.8.1-2.1)
JGoodies Common
libjgoodies-common-java-doc (1.8.1-2.1)
JGoodies Common library (documentation)
libjgoodies-forms-java (1.9.0-3.1)
Framework to lay out and implement elegant Swing panels
libjgoodies-forms-java-doc (1.9.0-3.1)
Documentation for libjgoodies-forms-java
libjgoodies-looks-java (2.7.0-3)
library with Swing look&feel implementations
libjgoodies-looks-java-doc (2.7.0-3)
library with Swing look&feel implementations (documentation)
libjgraph-java (5.12.4.2+dfsg-5.1)
JFC/Swing graph component for Java
libjgraph-java-doc (5.12.4.2+dfsg-5.1)
JFC/Swing graph component for Java (documentation)
libjgrapht-java
本虛擬套件由這些套件填實: libjgrapht0.8-java
libjgrapht0.6-java (0.6.0-12)
mathematical graph theory library for Java
libjgrapht0.6-java-link
本虛擬套件由這些套件填實: libjgrapht0.6-java
libjgrapht0.8-java (0.8.3-5)
mathematical graph theory library for Java
libjgrapht0.8-java-doc (0.8.3-5)
javadoc-generated API for libjgrapht0.8-java
libjgraphx-java (2.1.0.7-2)
Java Swing Diagramming Library
libjgraphx-java-doc (2.1.0.7-2)
Java Swing Diagramming Library - API documentation and manual
libjgromacs-java (1.0-1.1)
library for molecular dynamics trajectory analysis
libjgromacs-java-doc (1.0-1.1)
library for molecular dynamics trajectory analysis (documentation)
libjgroups-java (2.12.2.Final-5)
Toolkit for Reliable Multicast Communication
libjheatchart-java (0.6-1.1)
Heat map charting library for Java
libjheatchart-java-doc (0.6-1.1)
Heat map charting library for Java (documentation)
libjhighlight-java (1.0-3)
Small embeddable Java library for syntax highlighting
libjhlabs-filters-java (2.0.235-4)
Java Image Processing Filters
libjibx-java (1.2.6-2)
Framework for binding XML data to Java objects (symlink)
libjibx1.2-java (1.2.6-2)
Framework for binding XML data to Java objects
libjibx1.2-java-doc (1.2.6-2)
Framework for binding XML data to Java objects (documentation)
libjiconfont-font-awesome-java (4.7.0.0-2)
jIconFont - Font Awesome
libjiconfont-java (1.0.0-2)
API to provide icons generated by any icon font
libjiconfont-swing-java (1.0.1-2)
jIconFont - Swing support
libjide-oss-java (3.7.6+dfsg-1)
extensible Swing component library for Java
libjide-oss-java-doc (3.7.6+dfsg-1)
extensible Swing component library for Java -- documentation
libjifty-dbi-perl (0.78-2)
object-relational persistence framework for Jifty
libjim-dev (0.79+dfsg0-2)
small-footprint implementation of Tcl - development files
libjim0.79 (0.79+dfsg0-2)
small-footprint implementation of Tcl - shared library
libjimfs-java (1.1-6)
In-memory file system implementing the java.nio.file APIs
libjimfs-java-doc (1.1-6)
Documentation for libjimfs-java
libjing-java (20181222+dfsg2-4)
RELAX NG validator - library
libjinput-java (20100502+dfsg-11)
Java Game Controller API
libjinput-jni (20100502+dfsg-11)
Java Game Controller API (jni)
libjira-client-automated-perl (1.90-1)
JIRA REST Client for automated scripts
libjira-client-perl (0.45-1.1)
Perl interface to JIRA's API
libjira-rest-perl (0.021-1)
thin wrapper around Jira's REST APIs
libjitescript-java (0.4.1-3)
Java API for generating JVM bytecode
libjiu-java (0.14.3-5)
library to load, analyze, process and save pixel images
libjiu-java-doc (0.14.3-5)
API documentation for jiu java library for pixel images
libjlapack-java (0.8~dfsg-5)
LAPACK numerical subroutines translated from their Fortran 77 source
libjlatexmath-fop-java (1.0.7-3)
Implementation of LaTeX math mode wrote in Java (fop plugin)
libjlatexmath-java (1.0.7-3)
Implementation of LaTeX math mode wrote in Java
libjlayer-java (1.0.1-2.1)
library to decode, convert and play MP3 files
libjlayer-java-doc (1.0.1-2.1)
library to decode, convert and play MP3 files - documentation
libjlha-java (0.0.20050504-10.1)
LHA 壓縮/解壓縮 Java 函式庫
libjlha-java-doc-ja (0.0.20050504-10.1)
libjlha-java LHA Java 函式庫的日文文件
libjlibeps-java (0.1.ds3-4)
Java library to create EPS images
libjlibeps-java-doc (0.1.ds3-4)
API documentation for jlibeps Java library to create EPS images
libjline-java (1.0-3)
Java library for handling console input
libjline-java-doc (1.0-3)
Java library for handling console input - documentation
libjline2-java (2.14.6-4)
console input handling in Java
libjline3-java (3.3.1-2)
Console input handling library
libjloda-java (2.0-1)
Java library of data structures and algorithms for bioinformatics
libjmac-java (1.74-6.1)
Open Source Java Monkey's Audio Decoder/Encoder/JavaSound SPI
libjmagick6-java (6.6.9~20130201-svn99-4)
Java interface to ImageMagick
libjmagick6-jni (6.6.9~20130201-svn99-4+b1)
Java interface to ImageMagick (native library)
libjmdns-java (3.5.5-1)
Java implementation of multi-cast DNS (Apple Rendezvous)
libjmdns-java-doc (3.5.5-1)
Documentation for Java implementation of multi-cast DNS
libjmock-java (1.2.0-5.1)
Java library for testing code with mock objects
libjmock-java-doc (1.2.0-5.1)
Java library for testing code with mock objects - documentation
libjmock2-java (2.12.0-1)
Java library for testing code with mock objects
libjmol-java (14.6.4+2016.11.05+dfsg1-4)
Java library for molecular structures
libjmol-java-doc (14.6.4+2016.11.05+dfsg1-4)
API documentation for libjmol-java
libjna-java (5.6.0-1)
Dynamic access of native libraries from Java without JNI
libjna-java-doc (5.6.0-1)
Dynamic access of native libraries from Java without JNI (documentation)
libjna-jni (5.6.0-1)
Dynamic access of native libraries from Java without JNI (native libs)
libjna-platform-java (5.6.0-1)
Dynamic access of native libraries from Java without JNI (platform extension)
libjni-inchi-java (0.8+dfsg-6)
Java Native Interface wrapper for InChI
libjni-inchi-jni (0.8+dfsg-6)
Java Native Interface wrapper for InChI (shared library)
libjnlp-servlet-java (20120126-4)
simple and convenient packaging format for JNLP applications
libjnr-constants-java (0.10.1-1)
platform constants for Java
libjnr-constants-java-doc (0.10.1-1)
platform constants for Java - API documentation
libjnr-enxio-java (0.32.3-2)
Java extended native cross-platform I/O library
libjnr-enxio-java-doc (0.32.3-2)
Java extended native cross-platform I/O library (documentation)
libjnr-ffi-java (2.1.7-1)
Java library for loading native libraries without writing JNI code
libjnr-ffi-java-doc (2.1.7-1)
Documentation for libjnr-ffi-java
libjnr-netdb-java (1.1.6-1.1)
Lookup TCP and UDP services from java
libjnr-netdb-java-doc (1.1.6-1.1)
Documentation for jnr-netdb
libjnr-posix-java (3.0.45-2)
basic POSIX-like functions for Java
libjnr-posix-java-doc (3.0.45-2)
Java JNR-POSIX library API documentation
libjnr-unixsocket-java (0.18-4)
Java access to native libraries for unix sockets
libjnr-unixsocket-java-doc (0.18-4)
Java access to native libraries for unix sockets (documentation)
libjnr-x86asm-java (1.0.2-5.1)
Pure java x86 and x86_64 assembler
libjoda-convert-java (1.9.2-1)
Joda Convert - Java API to convert Objects to and from Strings
libjoda-convert-java-doc (1.9.2-1)
Documentation for Joda Convert
libjoda-time-java (2.10.10-1)
Java date and time API
libjoda-time-java-doc (2.10.10-1)
Java date and time API (developer documentation)
libjodconverter-java (2.2.2-13)
Office formats converter - Library
libjodconverter-java-doc (2.2.2-13)
Office formats converter - Library documentation
libjogl2-java (2.3.2+dfsg-9)
Java bindings for OpenGL API
libjogl2-java-doc (2.3.2+dfsg-9)
Documentation for the Java bindings for OpenGL
libjogl2-jni (2.3.2+dfsg-9)
Java bindings for OpenGL API (JNI lib)
libjogl2-toolkits (2.3.2+dfsg-9)
Toolkits to play with JOGL2
libjoint-state-listener1d (1.15.1-4)
Robot OS robot_state_publisher listener library
libjollyday-java (0.5.10-1)
holiday calendar
libjopendocument-java (1.3repack-1.1)
pure Java library for OASIS Open Document files manipulation
libjoptsimple-java (5.0.4-4)
Command line parsing java library
libjoptsimple-java-doc (5.0.4-4)
Command line parsing java library - Documentation
libjorbis-java (0.0.17-3)
Pure Java Ogg Vorbis Decoder
libjortho-freeplane-java
本虛擬套件由這些套件填實: freeplane
libjose-dev (10-3)
Javascript Object Signing and Encryption (José) - development files
libjose0 (10-3)
Javascript Object Signing and Encryption (José) - library
libjosql-java (2.2+dfsg-1)
library to apply SQL-like syntax to Java objects
libjpa-2.1-spec-java (2.1.0.v201304241213-1.1)
JPA Spec 2.1 OSGi Bundle
libjpathwatch-java (0.95-5)
Java library that monitors directories for changes
libjpathwatch-java-doc (0.95-5)
Java library that monitors directories for changes (documentation)
libjpathwatch-java-jni (0.95-5)
Java library that monitors directories for changes (JNI)
libjpedal-jbig2-java (20100117-1.1)
library for accession of large images
libjpeg-dev (1:2.0.6-4)
Development files for the JPEG library [dummy package]
libjpeg-dev
本虛擬套件由這些套件填實: libjpeg62-turbo-dev
libjpeg-progs
本虛擬套件由這些套件填實: libjpeg-turbo-progs
libjpeg-tools (0.0~git20210129.91985dc-1)
Complete implementation of 10918-1 (JPEG)
libjpeg-turbo-progs (1:2.0.6-4)
Programs for manipulating JPEG files
libjpeg62 (= 1:2.0.6-4)
本虛擬套件由這些套件填實: libjpeg62-turbo
libjpeg62-turbo (1:2.0.6-4)
libjpeg-turbo JPEG runtime library
libjpeg62-turbo-dev (1:2.0.6-4)
Development files for the libjpeg-turbo JPEG library
libjpf-java (1.5.1+dfsg-4.1)
Java Plugin Framework: plug-in infrastructure library for Java projects
libjpfcodegen-java (0.4+dfsg1-1.1)
tool for generating classes from JPF plug-ins
libjpgalleg4-dev (2:4.4.3.1-2)
development files for the JPG loading addon for Allegro 4
libjpgalleg4.4 (2:4.4.3.1-2)
JPG loading addon for Allegro 4
libjq-dev (1.6-2.1)
lightweight and flexible command-line JSON processor - development files
libjq1 (1.6-2.1)
lightweight and flexible command-line JSON processor - shared library
libjreen-qt5-1 (1.2.0-2.1)
powerful Jabber/XMPP library implemented in Qt5/C++
libjreen-qt5-dbg (1.2.0-2.1)
powerful Jabber/XMPP library (Qt5 build) - debugging symbols
libjreen-qt5-dev (1.2.0-2.1)
powerful Jabber/XMPP library (Qt5 build) - development files
libjregex-java (1.2.01-2)
regular expressions for Java
libjrosetta-java (1.0.4-4.1)
JRosetta - Advanced graphical console engine
libjruby-joni-java (2.1.41-1)
Java port of Oniguruma regexp library
libjs-angular-file-upload (12.0.4+dfsg1-2.1)
lightweight angular directive to upload files
libjs-angular-gettext (2.3.8-2.1)
gettext utilities for angular.js
libjs-angular-schema-form (0.8.13-1.1)
form generator from a JSON schema
libjs-angularjs (1.8.2-2)
lets you write client-side web applications as if you had a smarter browser
libjs-angularjs-smart-table (1.4.13-1.1)
table module for angular js
libjs-ansi-up (5.0.0+dfsg-1)
convert text containing ANSI color escape codes into HTML
libjs-arbiter (1.0-4)
Lightweight publish/subscribe library for client-side JavaScript
libjs-asciimathml (2.0.2-4)
Library to render high quality mathematical formulas in a browser
libjs-async (0.8.0-4)
functions and patterns for asynchronous code - web browsers
libjs-autolink (1.0.2+dfsg2-3)
JavaScript methods converting text to links
libjs-autolinker
本虛擬套件由這些套件填實: node-autolinker
libjs-autonumeric (1.9.12-1.1)
jQuery plugin that automatically formats currency and numbers
libjs-autosize (4.0.2~dfsg1-7)
script to automatically adjust textarea height to fit text - NodeJS
libjs-axios
本虛擬套件由這些套件填實: node-axios
libjs-backbone (1.4.0~dfsg+~1.4.5-2)
some Backbone for JavaScript applications - browser library
libjs-backbone-deep-model (0.10.4-3.1)
improved support for models with nested attributes
libjs-backbone.stickit (0.7.0-2.1)
Backbone data binding plugin that binds Model attributes to View elements
libjs-big.js
本虛擬套件由這些套件填實: node-big.js
libjs-bignumber (9.0.1+ds-1)
Arbitrary-precision decimal and non-decimal arithmetic (client)
libjs-blazy (1.8.2+dfsg-2)
lightweight script for lazy loading and multi-serving images
libjs-bootbox (5.5.2~ds-1)
flexible dialog boxes for Bootstrap
libjs-bootstrap (3.4.1+dfsg-2)
HTML, CSS and JS framework
libjs-bootstrap-switch (= 3.3.4+dfsg+really3.3.3-1)
本虛擬套件由這些套件填實: node-bootstrap-switch
libjs-bootstrap-tour (0.12.0+dfsg-2)
Build product tours (JavaScript library)
libjs-bootstrap4 (4.5.2+dfsg1-8~deb11u1)
HTML, CSS and JS framework
libjs-bootswatch (3.3.7+dfsg2-1.1)
themes for Twitter Bootstrap
libjs-browser-request (0.3.3-2)
browser library compatible with the node-request package
libjs-c3 (0.4.11+dfsg-4)
D3-based reusable chart library
libjs-chai (4.2.0+ds+~4.2.14-4)
assertion library for the browser
libjs-chart.js (2.9.4+dfsg+~cs2.10.1-3)
Simple HTML5 charts using the canvas element (Browser)
libjs-chartkick.js (2.1.0-2.1)
create beautiful JavaScript charts with minimal code
libjs-chosen (1.8.7+dfsg-2)
select box enhancer for jQuery and Prototype
libjs-class.js (1.0.0+dfsg-2.1)
Class Based Inheritance for JavaScript
libjs-class.js-doc (1.0.0+dfsg-2.1)
Class Based Inheritance for JavaScript (Documentation)
libjs-clipboard.js
本虛擬套件由這些套件填實: node-clipboard
libjs-cocktail (0.5.7-3.1)
implementation of Backbone mixins
libjs-codemirror (5.59.2+~cs0.23.109-1)
JavaScript editor interface for code-like content
libjs-coffeescript (1.12.8~dfsg-5)
client-side interpreter for the CoffeeScript language
libjs-cropper (1.2.2-1.1)
JavaScript image cropper UI
libjs-cryptojs (3.1.2+dfsg-3)
collection of cryptographic algorithms implemented in JavaScript
libjs-cssom (0.4.4-1)
CSS parser written in pure JavaScript
libjs-cssrelpreload (3.1.0+dfsg+~0.0.2-2)
JavaScript to load CSS asynchronously
libjs-d3 (3.5.17-4)
JavaScript visualization library for HTML and SVG
libjs-d3-format (1:1.4.1-3)
Formatting numbers for human consumption - browser library
libjs-d3-tip (0.7.1-2)
Tooltips for d3.js visualizations
libjs-dateformat (3.0.0-2)
JavaScript Date Format - browser module
libjs-debug (4.3.1+~cs4.1.5-1)
small debugging utility
libjs-debugger (0.5-5)
Console logger for debugging JavaScript
libjs-dojo-core (1.15.4+dfsg1-1+deb11u1)
modular JavaScript toolkit
libjs-dojo-dijit (1.15.4+dfsg1-1+deb11u1)
modular JavaScript toolkit - Dijit
libjs-dojo-dojox (1.15.4+dfsg1-1+deb11u1)
modular JavaScript toolkit - DojoX
libjs-dropzone (5.7.2+dfsg-1)
JavasScript library providing Dropzone
libjs-edit-area (0.8.2-1.1)
a free javascript editor for source code
libjs-elycharts (2.1.5+ds-4)
Javascript library to generate static and interactive charts
libjs-emojify (1.1.0+dfsg-2)
JavaScript library converting Emoji keywords to images
libjs-emojione (2.2.6-5)
Open source emoji set (javascript part)
libjs-eonasdan-bootstrap-datetimepicker (4.17.47-4)
Date/time picker widget based on twitter bootstrap
libjs-es-module-loader-0.17 (0.17.11+dfsg-2)
Polyfill and low-level API for the WhatWG loader spec
libjs-es5-shim (4.5.15-1)
ECMAScript 5 compatibility shims for old JavaScript engines (library)
libjs-es6-promise (4.2.8-7)
Lightweight async code library for JavaScript
libjs-es6-shim (0.35.6+ds-2)
ECMAScript 6 shims for legacy JavaScript engines (library)
libjs-esprima
本虛擬套件由這些套件填實: node-esprima
libjs-eventemitter2 (6.4.3-2)
Event emitter compatible with browsers
libjs-events (3.2.0-1)
Node.js events module for browsers
libjs-excanvas (0.r4~git20090427.0000000-4)
HTML5 Canvas for Internet Explorer
libjs-expect.js (0.3.1+dfsg+~0.3.29-1)
behavior driven test assertions - JavaScript library
libjs-favico.js (0.3.10~dfsg1-3)
make use of your favicon with badges, images or videos
libjs-fetch (3.5.0-1)
window.fetch JavaScript polyfill
libjs-filesaver (2.0.2+dfsg-3)
Client-side, HTML5 library for saving local files
libjs-flatted (2.0.2~dfsg-1)
super light and fast circular JSON parser - browser library
libjs-flotr (0.2.1~r301-1.1)
plotting library for the Prototype Framework
libjs-functional-red-black-tree (1.0.1+20181105-4)
fully persistent balanced binary search tree - browser library
libjs-fuzzaldrin-plus (0.5.0+dfsg-3)
fuzzy search / highlight for programmer's text editor (browser)
libjs-getobject (0.1.0-2+deb11u1)
set and get deep objects easily - library for browsers
libjs-gettext.js (0.7.0-2)
Lightweight yet complete GNU gettext port
libjs-gordon (0~git20101011-3)
Open source flash runtime written in pure javascript
libjs-graphael (0.5+dfsg-1.1)
charts for raphael
libjs-handlebars (3:4.7.6+~4.1.0-2)
let you build semantic templates effectively with no frustration
libjs-handlebars.runtime (3:4.7.6+~4.1.0-2)
runtime for handlebars semantic templates library
libjs-headjs (1.0.3+dfsg.1-1.1)
javascript libraryt for resource loading
libjs-highlight
本虛擬套件由這些套件填實: libjs-highlight.js
libjs-highlight.js (9.18.5+dfsg1-1)
JavaScript library for syntax highlighting
libjs-hooker (0.2.3-2)
Useful javascript debugging library usable in a browser
libjs-html5shiv (= 3.7.3+dfsg-3)
本虛擬套件由這些套件填實: node-html5shiv
libjs-i18next (19.8.4+dfsg-1)
internationalization framework for JavaScript environments - js library
libjs-i18next-browser-languagedetector (6.0.1-8)
language detector used in browser environment for i18next - js library
libjs-i18next-http-backend (1.0.21+dfsg-1)
Simple i18next backend to be used in Node.js - js library
libjs-img.srcset (2.0.0~20131003~dfsg-2.1)
fast JavaScript polyfill for img srcset
libjs-impress (1.0.0-1)
JavaScript library to make animated presentations
libjs-inherits (2.0.4-1)
Browser library that exposes inherits function from Node.js environment
libjs-ipaddr (2.0.0~dfsg-1)
IPv4 and IPv6 addresses manipulation - JavaScript library
libjs-is-typedarray (1.0.0-3)
JavaScript library checking if object is TypedArray
libjs-iscroll (5.2.0+dfsg1-2)
High performance, small footprint JavaScript scroller
libjs-jac (1.3.4+dfsg-3)
JavaScript Jabber Client Library
libjs-jed (1.1.1-2)
Gettext Style i18n for Modern JavaScript Apps - JavaScript library
libjs-jquery (3.5.1+dfsg+~3.5.5-7)
JavaScript library for dynamic web applications
libjs-jquery-areyousure (1.9.0-2)
jQuery plugin to alert users of unsaved changes
libjs-jquery-backstretch (2.1.17-1)
jQuery background image plugin
libjs-jquery-blockui (2.70-2)
simulate synchronous behaviour using AJAX
libjs-jquery-caret.js (0.3.1+dfsg.1-2.1)
library to query input caret position
libjs-jquery-center (1.1.0+dfsg1-2)
jQuery plugin that centralizes DOM element
libjs-jquery-colorbox (1.6.4-1.1)
jQuery customizable lightbox
libjs-jquery-colorpicker (1.2.20-1)
full-featured colorpicker for jQuery UI
libjs-jquery-cookie (12-3)
jQuery cookie plugin
libjs-jquery-coolfieldset (1.0.1-2.1)
jQuery Plugin for creating collapsible fieldset
libjs-jquery-countdown (12-3)
jQuery Countdown
libjs-jquery-datatables (1.10.21+dfsg-2+deb11u1)
jQuery plug-in that makes nice tables from different data sources
libjs-jquery-datatables-extensions (0.0+git20150910.28fd64e+dfsg-5)
extensions for the jQuery plug-in for tables from different data sources
libjs-jquery-easing (12-3)
jQuery Easing Plugin
libjs-jquery-event-drag (12-3)
jQuery Event Drag
libjs-jquery-event-drop (12-3)
jQuery Event Drop
libjs-jquery-fancybox (12-3)
fancy lightbox alternative
libjs-jquery-file-upload (9.25.0-2)
file upload widget for jQuery
libjs-jquery-fixedtableheader (1.0.3-2)
fixing header row of HTML table
libjs-jquery-flot (4.2.1+dfsg-5)
plotting library for jQuery
libjs-jquery-flot-axislabels (2.0.1+git20141122-3)
provides flot with the ability to label axes
libjs-jquery-flot-docs (4.2.1+dfsg-5)
Documentation for Flot
libjs-jquery-form (12-3)
jQuery Form Plugin
libjs-jquery-fullscreen (12-3)
jQuery FullScreen Plugin
libjs-jquery-fullscreen-plugin (0.5.0+dfsg-4)
plugin for showing any DOM element on a page in fullscreen mode
libjs-jquery-galleriffic (12-3)
jQuery Galleriffic plugin
libjs-jquery-geo (1.1.0+ds-1)
JavaScript mapping API
libjs-jquery-gitgraph (0.0~git20170511.0.5fcc26b+dfsg-3)
convert git log --graph to image with HTML5 canvas
libjs-jquery-history (12-3)
jQuery history plugin
libjs-jquery-hotkeys (0~20130707+git2d51e3a9+dfsg-2.1)
easily add and remove handlers for keyboard events anywhere in your code
libjs-jquery-i18n (1.1.2+dfsg1-2.1)
jquery plugin for doing client-side translations
libjs-jquery-i18n-properties (1.2.7+dfsg1-2)
lightweight jQuery internationalization plugin
libjs-jquery-idletimer (1.0.1-3.1)
jquery plugin to monitor user activity with a page
libjs-jquery-isonscreen (1.2.0-1.1)
detects if an element is visible within the users current view pane
libjs-jquery-jfeed (12-3)
jQuery RSS/Atom feed parser plugin
libjs-jquery-jgrowl (1.2.13+dfsg-1.1)
notification system for jquery
libjs-jquery-jstree (3.3.11+dfsg1-1)
jquery plugin providing interactive trees
libjs-jquery-jush (12-3)
jQuery Syntax Highlighter
libjs-jquery-lazyload (1.7.2-1.1)
Lazy Load Plugin for jQuery
libjs-jquery-livequery (12-3)
jQuery Live Query
libjs-jquery-markitup (1.1.15-1.1)
jQuery based universal markup editor
libjs-jquery-meiomask (12-3)
jQuery mask plugin
libjs-jquery-metadata (12-3)
jQuery plugin for parsing metadata from elements
libjs-jquery-migrate-1 (1.4.1-2)
Migrate older jQuery code to jQuery 1.9+
libjs-jquery-minicolors (2.2.6+dfsg-4)
tiny color picker built on jQuery
libjs-jquery-mobile (1.4.5+dfsg-1)
Touch-Optimized Web Framework for Smartphones & Tablets
libjs-jquery-mousewheel (1:3.1.13-2)
jQuery plugin that adds cross-browser mouse wheel support
libjs-jquery-nicescroll (3.6.6+dfsg-1)
jquery plugin, for nice scrollbars
libjs-jquery-opacityrollover (12-3)
jQuery Opacity Rollover plugin
libjs-jquery-placeholder (2.3.1-2.1)
jquery plugin providing html5 placeholders
libjs-jquery-reflection (1.1-1.1)
jQuery plugin to add reflection effects to images in webpages
libjs-jquery-resize (12-3)
jQuery resize
libjs-jquery-resize-doc (12-3)
jQuery resize (doc)
libjs-jquery-scrollto (2.1.2+dfsg-6)
scroll the page to a defined place with a nice effect
libjs-jquery-selectize.js (0.12.6+dfsg-1.1)
Extensible jQuery-based custom select UI control
libjs-jquery-simpletreemenu (1.5.0-2.1)
visually-simple menu inspired by the Mac OS X Finder tree
libjs-jquery-slides (12-3)
Simple slideshow plugin for jQuery
libjs-jquery-slimscroll (1.3.6+dfsg-3)
jquery plugin to transform any div into a scrollable area
libjs-jquery-stupidtable (1.1.3+dfsg-1)
jQuery table sorting plugin
libjs-jquery-tablesorter (1:2.31.3+dfsg1-1)
jQuery flexible client-side table sorting plugin
libjs-jquery-textchange (0.2.3-1.1)
detects text changes for input and textarea elements
libjs-jquery-textcomplete (1.8.5+dfsg-5)
implement auto-complete support for textareas (browserified)
libjs-jquery-throttle-debounce (1.1+dfsg.1-1.1)
library of rate-limit wrappers for functions
libjs-jquery-timeago (1.6.7-1)
updates fuzzy timestamps
libjs-jquery-timepicker (1.6.3-1)
timepicker addon for jQuery UI datepicker
libjs-jquery-tipsy (12-3)
jQuery Facebook-style tooltip plugin
libjs-jquery-tmpl (1.0.0~beta+dfsg-3)
original official jQuery Templates plugin
libjs-jquery-treetable (12-3)
jQuery treeTable Plugin
libjs-jquery-typeahead (2.11.0+dfsg1-2)
Type-ahead autocompletion plugin for JQuery
libjs-jquery-ui (1.12.1+dfsg-8+deb11u2)
JavaScript UI library for dynamic web applications
libjs-jquery-ui-docs (1.12.1+dfsg-8+deb11u2)
Documentation for JQuery-UI
libjs-jquery-ui-theme-base (1.12.1+dfsg-1)
Base theme for jQuery UI
libjs-jquery-ui-theme-black-tie (1.12.1+dfsg-1)
Black Tie theme for jQuery UI
libjs-jquery-ui-theme-blitzer (1.12.1+dfsg-1)
Blitzer theme for jQuery UI
libjs-jquery-ui-theme-cupertino (1.12.1+dfsg-1)
Cupertino theme for jQuery UI
libjs-jquery-ui-theme-dark-hive (1.12.1+dfsg-1)
Dark Hive theme for jQuery UI
libjs-jquery-ui-theme-dot-luv (1.12.1+dfsg-1)
Dot Luv theme for jQuery UI
libjs-jquery-ui-theme-eggplant (1.12.1+dfsg-1)
Eggplant theme for jQuery UI
libjs-jquery-ui-theme-excite-bike (1.12.1+dfsg-1)
Excite Bike theme for jQuery UI
libjs-jquery-ui-theme-flick (1.12.1+dfsg-1)
Flick theme for jQuery UI
libjs-jquery-ui-theme-hot-sneaks (1.12.1+dfsg-1)
Hot Sneaks theme for jQuery UI
libjs-jquery-ui-theme-humanity (1.12.1+dfsg-1)
Humanity theme for jQuery UI
libjs-jquery-ui-theme-le-frog (1.12.1+dfsg-1)
Le Frog theme for jQuery UI
libjs-jquery-ui-theme-mint-choc (1.12.1+dfsg-1)
Mint Choc theme for jQuery UI
libjs-jquery-ui-theme-overcast (1.12.1+dfsg-1)
Overcast theme for jQuery UI
libjs-jquery-ui-theme-pepper-grinder (1.12.1+dfsg-1)
Pepper Grinder theme for jQuery UI
libjs-jquery-ui-theme-redmond (1.12.1+dfsg-1)
Redmond theme for jQuery UI
libjs-jquery-ui-theme-smoothness (1.12.1+dfsg-1)
Smoothness theme for jQuery UI
libjs-jquery-ui-theme-south-street (1.12.1+dfsg-1)
South Street theme for jQuery UI
libjs-jquery-ui-theme-start (1.12.1+dfsg-1)
Start theme for jQuery UI
libjs-jquery-ui-theme-sunny (1.12.1+dfsg-1)
Sunny theme for jQuery UI
libjs-jquery-ui-theme-swanky-purse (1.12.1+dfsg-1)
Swanky Purse theme for jQuery UI
libjs-jquery-ui-theme-trontastic (1.12.1+dfsg-1)
Trontastic theme for jQuery UI
libjs-jquery-ui-theme-ui-darkness (1.12.1+dfsg-1)
UI Darkness theme for jQuery UI
libjs-jquery-ui-theme-ui-lightness (1.12.1+dfsg-1)
UI Lightness theme for jQuery UI
libjs-jquery-ui-theme-vader (1.12.1+dfsg-1)
Vader theme for jQuery UI
libjs-jquery-ui-touch-punch (0.0~git20141218.2.4bc0091+dfsg1-2)
duck punch for adding touch events to jQuery UI
libjs-jquery-watermark (3.1.4-1.1)
watermark plugin for jQuery
libjs-jquery.quicksearch (2.0.4-1.1)
plug-in for filtering large data sets with user input
libjs-jquery.sparkline (2.1.2-2)
library for jQuery to generate sparklines
libjs-jschardet
本虛擬套件由這些套件填實: node-jschardet
libjs-jsencrypt (2.3.0+dfsg2-2)
RSA Encryption in JavaScript
libjs-jshash (2.2-4.1)
calculate secure hash algorithms in JavaScript
libjs-json (0~20190826+~1.0.5-2)
JSON encoders/decoders implemented in JavaScript
libjs-json-editor (1.3.2+ds-1)
JSON Schema based editor
libjs-jsrender (1.0~pre21-2)
JavaScript template rendering system
libjs-jstimezonedetect (1.0.6-5)
Finds the zone info key representing the timezone setting
libjs-jstorage (0.3.1-1.1)
store data locally with JavaScript
libjs-jsxc (3.0.0+dfsg3-3)
JavaScript XMPP Client
libjs-katex (0.10.2+dfsg-8)
Fast math typesetting for the web (for browsers)
libjs-knowl (0~20160130-1.1)
JavaScript library for transclusion of supplementary information
libjs-leaflet (1.7.1~dfsg-2)
mobile-friendly interactive maps - browser library
libjs-leaflet-geometryutil (0.4.0-2)
Leaflet utility functions on geometries
libjs-leaflet-image (0.4.0~dfsg-3)
image export for Leaflet - browser library
libjs-leaflet-markercluster (1.4.1~dfsg-10)
transitional package for libjs-leaflet.markercluster
libjs-leaflet.markercluster (1.4.1~dfsg-10)
marker clustering functionality for Leaflet - browser library
libjs-less (3.13.0+dfsg-5)
LESS CSS meta-language - Javascript library
libjs-lightbox2 (2.11.3+dfsg-1)
small javascript library used to overlay images
libjs-loadcss
本虛擬套件由這些套件填實: libjs-cssrelpreload
libjs-lodash (4.17.21+dfsg+~cs8.31.173-1)
Lo-dash is a Javascript utility library
libjs-lrdragndrop (1.0.2-2.1)
drag and drop module for Angularjs
libjs-lunr (2.3.8~dfsg-2)
simple full-text search - web browsers
libjs-magic-search (0.2.5-1.1)
AngularJS widget that provides a UI for faceted and text search
libjs-markdown-it (8.4.2-5)
javascript markdown parser
libjs-markdown-it
本虛擬套件由這些套件填實: node-markdown-it
libjs-markdown-it-html5-embed (1.0.0+ds-8)
Markdown-it plugin for embedding audio/video in the HTML5 way
libjs-markdown-it-sub (1.0.0-2.1)
javascript markdown parser subscript plugin
libjs-markdown-it-sup (1.0.0-2.1)
javascript markdown parser superscript plugin
libjs-marked (0.8.0+ds+repack-2)
Full-featured markdown parser and compiler
libjs-material-design-lite (1.3.0+dfsg-2)
Material Design Lite (CSS, JS)
libjs-mathjax (2.7.9+dfsg-1)
JavaScript display engine for LaTeX and MathML
libjs-mathjax-doc (2.7+20171212-1)
JavaScript display engine for LaTeX and MathML (documentation)
libjs-matrix-js-sdk (9.3.0+~cs9.9.16-2)
JavaScript Client-Server SDK for the Matrix protocol
libjs-mediaelement (2.15.1+dfsg-3)
HTML5 <audio> or <video> player with Flash and Silverlight shims
libjs-merge (2.1.0+ds-3)
JavaScript utility for merging multiple objects into one.
libjs-microplugin.js (0.0.3+dfsg-1.1)
Lightweight plugin / dependency system for libraries
libjs-milligram (1.4.1-2)
lightweight CSS framework
libjs-modernizr (2.6.2+ds1-4)
JavaScript library to detect HTML5 and CSS3 features in the user's browser
libjs-moment (2.29.1+ds-2+deb11u2)
Work with dates in JavaScript (library)
libjs-moment-timezone (0.5.32+dfsg1-2+2021a)
Parse and display dates in any timezone
libjs-mootools (1.4.5~debian1-3)
compact JavaScript framework
libjs-mousetrap (1.6.0+dfsg1-2)
library for handling keyboard shortcuts in Javascript
libjs-mustache (2.3.2-2)
Mustache rendering engine for Javascript
libjs-n3 (1.0.4-3)
fast asynchronous streaming RDF for JavaScript - browser library
libjs-node-forge (0.10.0~dfsg-3+deb11u1)
JavaScript implementation of TLS and more - browser library
libjs-normalize.css (= 8.0.1-3)
本虛擬套件由這些套件填實: node-normalize.css
libjs-objectpath (1.2.1-2.1)
parses js object paths using both dot and bracket notation
libjs-of-ocaml (3.8.0-2)
OCaml bytecode to JavaScript compiler (runtime)
libjs-of-ocaml-4zrt8
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-of-ocaml-55dg4
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-of-ocaml-7lwj1
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-of-ocaml-dev (3.8.0-2)
OCaml bytecode to JavaScript compiler (development files)
libjs-of-ocaml-dev-4zrt8
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-dev-55dg4
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-dev-7lwj1
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-dev-k9qe1
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-dev-ppu75
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-dev-qgea1
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-dev-xxy31
本虛擬套件由這些套件填實: libjs-of-ocaml-dev
libjs-of-ocaml-doc (3.8.0-2)
OCaml bytecode to JavaScript compiler (documentation)
libjs-of-ocaml-k9qe1
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-of-ocaml-ppu75
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-of-ocaml-qgea1
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-of-ocaml-xxy31
本虛擬套件由這些套件填實: libjs-of-ocaml
libjs-olm (3.2.1~dfsg-7)
JavaScript compilation of the olm Double Ratchet implementation
libjs-openlayers (2.13.1+ds2-8)
JavaScript library for displaying map data in web browsers
libjs-pako (= 2.0.2+ds-1)
本虛擬套件由這些套件填實: node-pako
libjs-pdf (2.6.347+dfsg-3)
Portable Document Format (PDF) viewer built with HTML5
libjs-pegjs
本虛擬套件由這些套件填實: node-pegjs
libjs-perfect-scrollbar (1.4.0-4)
Minimalistic but perfect custom scrollbar plugin (development)
libjs-pie (1.0.0+dfsg-2.1)
CSS3 box decoration properties for Internet Explorer
libjs-pie-doc (1.0.0+dfsg-2.1)
CSS3 box decoration properties for Internet Explorer (Documentation)
libjs-popper.js (1.16.1+ds-3)
Javascript library to position poppers in web applications
libjs-prefix-free (1.0.10+repack-3)
manage CSS3 browser prefixes client-side
libjs-prettify (2015.12.04+dfsg-1.1)
syntax highlighting of source code snippets in an html page
libjs-protoaculous (5+nmu1)
Compressed library containing both prototype and scriptaculous
libjs-prototype (1.7.1-3.1)
JavaScript Framework for dynamic web applications
libjs-psl (1.8.0+ds-4)
Domain name parser based on the Public Suffix List
libjs-punycode (1.3.2-2.1)
punycode converter library in javascript
libjs-q (1.5.1-3)
JavaScript library for promises (CommonJS/Promises/A,B,D)
libjs-qrcode-generator
本虛擬套件由這些套件填實: node-qrcode-generator
libjs-queue-async (1.0.7-2)
asynchronous helper library for JavaScript
libjs-qunit (1.23.1~dfsg-1)
JavaScript Unit Testing framework
libjs-rainbow (2.1.4+ds-4)
code syntax highlighting library written in Javascript
libjs-raphael (2.3.0-3)
JavaScript library to work with vector graphics
libjs-rdf-canonize (1.2.0-1)
RDF Dataset Normalization Algorithm - browser library
libjs-regenerate (1.4.2-2)
Unicode-aware regular expression generator (JavaScript library)
libjs-require-css (0.1.10+ds-1)
requiring and optimization with almond support
libjs-requirejs (2.3.6+ds-1)
JavaScript file and module loader
libjs-requirejs-text (2.0.12-1.1)
loader plugin for loading text resources
libjs-reqwest (0.6.0-2)
javascript wrapper for asynchronous HTTP requests
libjs-rickshaw (1.5.1.dfsg-4)
JavaScript toolkit for interactive time series graph
libjs-rtcpeerconnection-shim (1.2.15-1)
RTCPeerConnection API implemented ontop of ORTC
libjs-rx (4.1.0+dfsg1-1.1)
Reactive Extensions for JavaScript
libjs-s5 (1.1.dfsg.2-9)
simple HTML-based presentation system - template content
libjs-sax (1.2.4+~1.2.1-2)
event-based streaming XML parser - JavaScript library
libjs-sciencejs (1.9.3+dfsg-2)
JavaScript library for scientific and statistical computing
libjs-scriptaculous (1.9.0-2.1)
JavaScript library for dynamic web applications
libjs-sdp (2.12.0+~2.14.1+~1.0.1-1)
SDP parsing and serialization utilities
libjs-select2.js (4.0.13+dfsg1-4)
jQuery based replacement for select boxes
libjs-should (13.2.3~dfsg-5)
behavior driven test assertions library - browser module
libjs-sifter.js (0.6.0+dfsg-1)
Library for textually searching arrays and hashes of objects
libjs-simile-timeline (2.3.0+dfsg1-4)
JavaScript library for web-based interactive timelines
libjs-simple-is (0.2.0+20130421-3.1)
maximally minimal type-testing library (JavaScript lib)
libjs-simplemde (1.11.2+dfsg2-2)
JavaScript library providing a simple Markdown editor
libjs-sink-test (1.0.2-4)
Asynchronous JavaScript Unit Testing Framework
libjs-sizzle (2.3.5+ds-2)
Pure-JavaScript CSS selector engine
libjs-skeleton (2.0.4-2)
Minimalistic CSS boilerplate framework
libjs-slick (1.8.0-1.1)
responsive carousel jQuery plugin
libjs-slimbox (2.04-1.1)
Slimbox is a visual clone of the popular Lightbox
libjs-sockjs (0.3.4+dfsg-2)
WebSocket emulation - Javascript client
libjs-soundmanager2 (2.97a.20150601+dfsg2-2)
cross-platform audio player API
libjs-source-map (0.7.0++dfsg2+really.0.6.1-7)
Mozilla source map generator and consumer - JavaScript library
libjs-spectre (0.5.9-1)
lightweight, responsive and modern CSS framework
libjs-spectre-docs (0.5.9-1)
lightweight, responsive and modern CSS framework - documentation
libjs-sphinxdoc (3.4.3-2)
JavaScript support for Sphinx documentation
libjs-spin.js (1.2.8+dfsg2-1.1)
animated CSS3 loading spinner
libjs-sprintf-js (1.1.2+ds1-2)
JavaScript sprintf implementation
libjs-stringmap (0.2.2+20130926-5)
fast and robust stringmap (JavaScript lib)
libjs-strophe (1.2.14+dfsg-8)
Library for writing XMPP clients
libjs-term.js (0.0.7-2)
full xterm clone written in javascript
libjs-terser (4.1.2-8)
parser/mangler/compressor for ES6+ - browser library
libjs-text-encoding (0.7.0-3)
Polyfill for the Encoding Living Standard's API (JavaScript lib)
libjs-three (111+dfsg1-2)
lightweight 3D graphics library
libjs-tinycon (0.6.5+ds-2)
Manipulate the favicon
libjs-traverse (0.6.6-2)
recursively traverse objects in Javascript
libjs-trust-json-document (0.1.4~dfsg-8)
JSON Document manipulation library - browser library
libjs-turbolinks (= 5.2.0+dfsg-2)
本虛擬套件由這些套件填實: node-turbolinks
libjs-tv4 (1.2.7+dfsg1-2.1)
tiny validator for JSON schema v4
libjs-twitter-bootstrap-datepicker (1.3.1+dfsg1-4.1)
add datepicker picker to field or to any other element
libjs-twitter-bootstrap-wizard (1.0.0+dfsg1-2.1)
wizard using a formatted tabbable structure
libjs-typedarray-to-buffer (4.0.0-1)
Nodejs utility converting TypedArray to buffer without copy
libjs-uglify (2.8.29-8)
UglifyJS in library form
libjs-uglify-js (3.12.8-1)
JavaScript parser, mangler/compressor and beautifier - browser library
libjs-underscore (1.9.1~dfsg-3)
JavaScript's functional programming helper library
libjs-underscore.string (3.3.5+dfsg-1)
String manipulation extensions - browser library
libjs-unorm (1.6.0-2)
Common JS Unicode Normalizer (client/browser)
libjs-util (0.10.3-4)
JavaScript util module
libjs-vue (2.6.12+dfsg-3)
Core library of the Vue JavaScript framework, browser build
libjs-vue-router (3.4.9+ds-2)
official router for Vue.js
libjs-webcomponentsjs-custom-element-v0 (1.0.1-1.1)
CustomElements polyfill from the webcomponentsjs project
libjs-webrtc-adapter (7.7.0~ds-4)
shim to insulate apps from WebRTC quirks - browser library
libjs-websocket (1.0.33+~cs9.0.11-2)
WebSocket implementation for NodeJS, standalone API for browser
libjs-xmlextras (20060529-2)
creates a common interface to use of the XML objects provided by IE and Mozilla
libjs-xterm (3.8.1+~cs0.9.0-1)
terminal front-end component for the browser - browser library
libjs-yamm3 (1.1.0+dfsg1-2)
Yet another megamenu for Bootstrap 3 from Twitter
libjs-zeparser (0.0.7+dfsg-3)
Javascript library for parsing Javascript code
libjsamp-java (1.3.7-1)
Java Simple Application Messaging Protocol library
libjsamp-java-doc (1.3.7-1)
Java Simple Application Messaging Protocol library docs
libjsap-java (2.1-3.1)
Java Simple Argument Parser
libjsap-java-doc (2.1-3.1)
Java Simple Argument Parser (documentation)
libjsch-agent-proxy-java (0.0.9-1)
Proxy to ssh-agent and Pageant in Java
libjsch-java (0.1.55-1)
Java implementation of the SSH2 protocol
libjsch-java-doc (0.1.55-1)
Java implementation of the SSH2 protocol - documentation
libjsf-api-java (2.2.8-6)
JavaServer Faces 2.2 Java EE web framework - API
libjsf-java-doc (2.2.8-6)
Documentation for libjsf-api-java
libjsilver-aosp-java (10.0.0+r36-1)
Pure-Java implementation of Clearsilver
libjsmn-dev (1.1.0-2)
header-only JSON library
libjsofa-java (0~20200721-1)
Pure Java translation of the IAU's C SOFA software library
libjsofa-java-doc (0~20200721-1)
Pure Java translation of the IAU's C SOFA software library (docs)
libjson-any-perl (1.39-2)
wrapper class for the various JSON classes
libjson-c-dev (0.15-2+deb11u1)
JSON manipulation library - development files
libjson-c-doc (0.15-2+deb11u1)
JSON manipulation library - documentation files
libjson-c5 (0.15-2+deb11u1)
JSON manipulation library - shared library
libjson-c5-udeb (0.15-2+deb11u1)
JSON manipulation library - shared library (udeb)
libjson-glib-1.0-0 (1.6.2-1)
GLib JSON manipulation library
libjson-glib-1.0-common (1.6.2-1)
GLib JSON manipulation library (common files)
libjson-glib-dev (1.6.2-1)
GLib JSON manipulation library (development files)
libjson-glib-doc (1.6.2-1)
GLib JSON manipulation library (documentation)
libjson-hyper-perl (0.011-2)
extract links from JSON via a schema
libjson-java (2.4-3.1)
library for transforming Java objects and XML to JSON and back again
libjson-maybexs-perl (1.004003-1)
interface to the best available JSON module
libjson-multivalueordered-perl (0.006-1)
module to handle JSON like {"a":1, "a":2}
libjson-parse-perl (0.61-1)
module to read JSON into a Perl variable
libjson-path-perl (0.431-1)
search nested hashref/arrayref structures using JSONPath
libjson-perl (4.03000-1)
module for manipulating JSON-formatted data
libjson-pointer-perl (0.07-1)
Perl implementation of JSON Pointer (RFC6901)
libjson-pp-perl (4.06000-1)
module for manipulating JSON-formatted data (Pure Perl)
libjson-pp-perl (= 4.04000)
本虛擬套件由這些套件填實: perl
libjson-rpc-common-perl (0.11-2)
transport agnostic JSON RPC helper objects
libjson-rpc-perl (1.06-2.1)
Perl implementation of JSON-RPC 1.1 protocol
libjson-simple-doc (2.3.0-1)
documentation for libjson-simple-java
libjson-simple-java (2.3.0-1)
Simple, lightweight and efficient JSON toolkit for Java
libjson-smart-java (2.2-2)
JSON Small and Fast Parser
libjson-types-perl (0.05-1.1)
variable type utility for JSON encoding
libjson-validator-perl (4.14+dfsg-1)
module to validate data against a JSON schema
libjson-webtoken-perl (0.10-2)
JSON Web Token (JWT) implementation
libjson-xs-perl (4.030-1+b1)
module for manipulating JSON-formatted data (C/XS-accelerated)
libjson11-1 (1.0.0-2)
Tiny JSON library for C++11
libjson11-1-dev (1.0.0-2)
Tiny JSON library for C++11 (development files)
libjson4s-java (3.5.1+really3.2.10-1)
Single AST to be used by other scala json libraries
libjsonb-api-java (1.0-2)
Java API for JSON Binding (JSON-B)
libjsoncpp-dev (1.9.4-4)
library for reading and writing JSON for C++ (devel files)
libjsoncpp-doc (1.9.4-4)
API documentation for libjsoncpp-dev
libjsoncpp24 (1.9.4-4)
library for reading and writing JSON for C++
libjsonld-java (0.13.2-2)
Java implementation of JSON-LD 1.0 and JSON-LD-API 1.0 specifications
libjsonld-perl (0.005-1)
Perl toolkit for interacting with JSON-LD data
libjsonm-ocaml (1.0.1-2.1)
Non-blocking streaming JSON codec for OCaml (plugins)
libjsonm-ocaml-4llr8
本虛擬套件由這些套件填實: libjsonm-ocaml
libjsonm-ocaml-dev (1.0.1-2.1)
Non-blocking streaming JSON codec for OCaml
libjsonm-ocaml-dev-4llr8
本虛擬套件由這些套件填實: libjsonm-ocaml-dev
libjsonm-ocaml-dev-hgmr7
本虛擬套件由這些套件填實: libjsonm-ocaml-dev
libjsonm-ocaml-dev-kz698
本虛擬套件由這些套件填實: libjsonm-ocaml-dev
libjsonm-ocaml-dev-t2e17
本虛擬套件由這些套件填實: libjsonm-ocaml-dev
libjsonm-ocaml-doc (1.0.1-2.1)
Non-blocking streaming JSON codec for OCaml (documentation)
libjsonm-ocaml-hgmr7
本虛擬套件由這些套件填實: libjsonm-ocaml
libjsonm-ocaml-kz698
本虛擬套件由這些套件填實: libjsonm-ocaml
libjsonm-ocaml-t2e17
本虛擬套件由這些套件填實: libjsonm-ocaml
libjsonnet-dev (0.17.0+ds-2)
data templating language (devel)
libjsonnet0 (0.17.0+ds-2)
data templating language (lib)
libjsonp-java (1.1.2-2)
Java API for JSON Processing
libjsonparser-dev (1.1.0-2)
Very low footprint JSON parser written in portable ANSI C - development headers
libjsonparser1.1 (1.1.0-2)
Very low footprint JSON parser written in portable ANSI C
libjsonpath-java (2.0.0-5)
Jayway JsonPath - XPath like expressions for JSON
libjsonrpc-glib-1.0-1 (3.38.0-1)
JSON-RPC library for GLib
libjsonrpc-glib-1.0-dev (3.38.0-1)
JSON-RPC library for GLib - development files
libjsonrpc-glib-doc (3.38.0-1)
JSON-RPC library for GLib - documentation
libjsonrpccpp-client0 (0.7.0-1.1+b1)
library implementing json-rpc C++ clients
libjsonrpccpp-client0-dbg (0.7.0-1.1+b1)
debugging symbols for libjsonrpccpp-client0
libjsonrpccpp-common0 (0.7.0-1.1+b1)
common functionality for server and client library
libjsonrpccpp-common0-dbg (0.7.0-1.1+b1)
debugging symbols for libjsonrpccpp-common0
libjsonrpccpp-dev (0.7.0-1.1+b1)
development files for JSON-RPC C++ framework
libjsonrpccpp-server0 (0.7.0-1.1+b1)
library implementing json-rpc C++ servers
libjsonrpccpp-server0-dbg (0.7.0-1.1+b1)
debugging symbols for libjsonrpccpp-server0
libjsonrpccpp-stub0 (0.7.0-1.1+b1)
library for stub generation of libjsonrpccpp based applications
libjsonrpccpp-stub0-dbg (0.7.0-1.1+b1)
debugging symbols for libjsonrpccpp-stub0
libjsonrpccpp-tools (0.7.0-1.1+b1)
stub generator for libjsonrpccpp based applications
libjsoup-java (1.10.2-2)
Java HTML parser that makes sense of real-world HTML soup
libjsoup-java-doc (1.10.2-2)
Documentation for jsoup HTML Parser
libjsp-api-java (2.3.4-3)
JavaServer Pages API
libjspeex-java (0.9.7-4.1)
Java Implementation of Speex
libjsr166y-java (1.7.0-2.1)
Parallel computation framework for Java
libjsr166y-java-doc (1.7.0-2.1)
Documentation for libjsr166y-java
libjsr305-java (0.1~+svn49-11)
Java library that provides annotations for software defect detection
libjsr311-api-java (1.1.1-1.1)
JSR 311, JAX-RS, Java API for RESTful Web Services
libjsr311-api-java-doc (1.1.1-1.1)
JSR 311, JAX-RS, Java API for RESTful Web Services - documentation
libjss-java (4.8.0-2)
Network Security Services for Java
libjssc-java (2.8.0-3)
library for working with serial ports from Java
libjssc-java-doc (2.8.0-3)
library for working with serial ports from Java - doc
libjstun-java (0.7.3+dfsg-2)
Java-based STUN implementation
libjstun-java-doc (0.7.3+dfsg-2)
Java-based STUN implementation (documentation)
libjswingreader-java (0.3-3)
RSS NewsFeed reader for Java
libjsyntaxpane-java (0.9.6~r156-7.1)
Java EditorPane with support for Syntax Highlighting
libjsyntaxpane-java-doc (0.9.6~r156-7.1)
Java EditorPane with support for Syntax Highlighting (javadoc files)
libjt400-java (9.4-1.1)
Java database (JDBC) driver for IBM DB2
libjtds-java (1.3.1+dfsg-1)
JDBC 3.0 driver for Microsoft SQL Server(tm) and Sybase(tm)
libjte-dev (1.22-3)
Jigdo Template Export - development
libjte2 (1.22-3)
Jigdo Template Export - runtime library
libjtharness-java (6.0-b15-1)
General purpose test harness for Java
libjthread-dbg (1.3.1-3.1)
JThread cross-platform threading library debugging symbols
libjthread-dev (1.3.1-3.1)
JThread cross-platform library headers
libjthread-doc (1.3.1-3.1)
JThread cross-platform threading library documentation
libjthread1.3.1 (1.3.1-3.1)
JThread cross-platform threading library
libjtidy-java (7+svn20110807-5)
JTidy HTML syntax checker and pretty printer
libjtidy-java-doc (7+svn20110807-5)
JTidy HTML syntax checker and pretty printer (documentation)
libjts-java (1.18.0+ds-1)
JTS Topology Suite
libjts-java-doc (1.18.0+ds-1)
Documentation for the JTS Topology Suite
libjtype-java (0.1.3-4.1)
Helper library for the Java 5 Type
libjuce-doc (5.4.7~ds0-2)
Jules' Utility Class Extensions (documentation)
libjudy-dev (1.0.5-5+b2)
C library for creating and accessing dynamic arrays (dev package)
libjudydebian1 (1.0.5-5+b2)
C library for creating and accessing dynamic arrays
libjug-java (3.1.5-1)
Pure java UUID generator
libjuh-java (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- Java Uno helper (compatibility library)
libjulia-dev (1.5.3+dfsg-3)
high-performance programming language for technical computing (development)
libjulia-openblas64 (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (julia version)
libjulia1 (1.5.3+dfsg-3)
high-performance programming language for technical computing (runtime library)
libjung-free-java (2.0.1+dfsg-1.1)
Java Universal Network/Graph Framework
libjung-free-java-doc (2.0.1+dfsg-1.1)
Java Universal Network/Graph Framework (documentation)
libjuniversalchardet-java (1.0.3-1.1)
Encoding detector library (Java port of the Mozilla library)
libjuniversalchardet-java-doc (1.0.3-1.1)
Documentation for juniversalchardet
libjunixsocket-java (2.0.4-1.1)
Unix Domain Sockets in Java
libjunixsocket-jni (2.0.4-1.1)
Unix Domain Sockets in Java (JNI library)
libjurt-java (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- Java Uno Runtime (compatibility library)
libjutils-java (20100502+dfsg-5)
Common utilities for Java Game Technology Group projects
libjvyamlb-java (0.2.5-2.1)
pure Java YAML loader and dumper
libjws-api-java (1.1-2)
Java EE Web Services Metadata API (JSR 181)
libjwt-dev (1.10.2-1)
C library to handle JWT (JSON Web Token) - development
libjwt-gnutls-dev (1.10.2-1)
Development files for libjwt - GnuTLS flavour
libjwt-gnutls0 (1.10.2-1)
C library to handle JWT (JSON Web Token) - GnuTLS flavour
libjwt0 (1.10.2-1)
C library to handle JWT (JSON Web Token)
libjxgrabkey-java (0.3.2-10)
X11 hotkey API for java
libjxgrabkey-jni (0.3.2-10)
X11 hotkey API for java (jni backend)
libjxp-java (1.6.1-6.1)
Java template engine/script processor
libjxr-dbg (1.1-6+b1)
JPEG-XR lib - debug files
libjxr-dev (1.1-6+b1)
JPEG-XR lib - dev files
libjxr-tools (1.1-6+b1)
JPEG-XR lib - command line apps
libjxr0 (1.1-6+b1)
JPEG-XR lib - libraries
libjzlib-java (1.1.3-2)
Java Zlib implementation
libk3b-dev (20.12.2-1)
KDE CD/DVD burning application library - development files
libk3b7 (20.12.2-1)
KDE CD/DVD burning application library - runtime files
libk3b7-extracodecs (20.12.2-1)
KDE CD/DVD burning application library - extra decoders
libk5crypto3 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - Crypto Library
libkaccounts-dev (4:20.12.1-1)
System to administer web accounts - development files
libkaccounts2 (4:20.12.1-1)
System to administer web accounts - shared library
libkadm5clnt-mit12 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - Administration Clients
libkadm5clnt7-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - kadmin client library
libkadm5srv-mit12 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - KDC and Admin Server
libkadm5srv8-heimdal (7.7.0+dfsg-2+deb11u3)
Libraries for Heimdal Kerberos
libkafs-client-dev (0.5-2)
Development files for kAFS tool shared library
libkafs-client0 (0.5-2)
kAFS tool shared library
libkafs0-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - KAFS support library
libkainjow-mustache-dev (4.1+ds-2)
Mustache text templates for modern C++
libkakasi2 (2.3.6-4.1)
Library version of KAKASI
libkakasi2-dev (2.3.6-4.1)
Header files and static libraries for library version of KAKASI
libkal-dev (0.9.0-2)
library for converting dates between various calendar systems
libkarma-cil (0.1.2-6)
Rio Karma access library [CLI runtime library]
libkarma-cil-dev (0.1.2-6)
Rio Karma access library [CLI library development files]
libkarma-dev (0.1.2-6)
Rio Karma access library [development files]
libkarma0 (0.1.2-6)
Rio Karma access library [runtime files]
libkasten4controllers0 (5:0.26.5-2)
controllers Kasten library for Okteta
libkasten4core0 (5:0.26.5-2)
core Kasten library for Okteta
libkasten4gui0 (5:0.26.5-2)
gui Kasten library for Okteta
libkasten4okteta2controllers0 (5:0.26.5-2)
kastencontrollers library for Okteta
libkasten4okteta2core0 (5:0.26.5-2)
kastencore library for Okteta
libkasten4okteta2gui0 (5:0.26.5-2)
kastengui library for Okteta
libkate-dev (0.4.1-11)
Codec for karaoke and text encapsulation (dev)
libkate1 (0.4.1-11)
Codec for karaoke and text encapsulation
libkaz-dev (1.21-2+b2)
Kazlib's reusable data structure development tools
libkaz1 (1.21-2+b2)
Kazlib's reusable data structure modules
libkazocsaba-imageviewer-java (1.2.3-2)
Swing image viewer component
libkcapi-dev (1.2.1-1)
Development files for Linux Kernel Crypto API
libkcapi-doc (1.2.1-1)
Documentation for Linux Kernel Crypto API
libkcapi1 (1.2.1-1)
Linux Kernel Crypto API User Space Interface Library
libkchart-dev (2.8.0-1)
library for creating business charts (development files)
libkchart2 (2.8.0-1)
library for creating business charts (shared library)
libkchart2-l10n (2.8.0-1)
library for creating business charts (translation)
libkcolorpicker-dev (0.1.5~git20201226-1)
QToolButton-like widget with color selection popup menu (dev)
libkcolorpicker0 (0.1.5~git20201226-1)
QToolButton-like widget with color selection popup menu (lib)
libkdb-data (3.2.0-4)
data files for KDb
libkdb3-4abi1 (3.2.0-4+b1)
database connectivity and creation framework -- shared library
libkdb3-dev (3.2.0-4+b1)
development files for KDb
libkdb3-driver-mysql (3.2.0-4+b1)
MySQL driver for KDb
libkdb3-driver-postgresql (3.2.0-4+b1)
PostgreSQL driver for KDb
libkdb3-driver-sqlite (3.2.0-4+b1)
SQLite driver for KDb
libkdb5-10 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - Kerberos database
libkdc2-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - KDC support library
libkdecorations2-5v5 (4:5.20.5-1)
library to create window decorations
libkdecorations2-dev (4:5.20.5-1)
library to create window decorations - development files
libkdecorations2private7 (4:5.20.5-1)
library to create window decorations - private library
libkdf5-2 (2.10.9+dfsg-2)
hdf5 access to data in the INSDC Sequence Read Archives
libkdf5-dev (2.10.9+dfsg-2)
hdf5 access to data in the INSDC Sequence Read Archives (devel)
libkdgcommons-java (1.0.15-1.1)
utility library for web programming
libkdl-parser-dev (1.14.1-2)
Development files for ROS kdl_parser library
libkdl-parser1d (1.14.1-2)
ROS kdl_parser library
libkdsoap-bin (1.9.1+dfsg-2)
Qt-based client-side and server-side SOAP component - binaries
libkdsoap-dev (1.9.1+dfsg-2)
Qt-based client-side and server-side SOAP component - devel files
libkdsoap-doc (1.9.1+dfsg-2)
Qt-based client-side and server-side SOAP component - documentation
libkdsoap-server1 (1.9.1+dfsg-2)
Qt-based client-side and server-side SOAP component - server-side library
libkdsoap1 (1.9.1+dfsg-2)
Qt-based client-side and server-side SOAP component - client-side library
libkdtree++-dev (0.7.1+git20101123-5)
C++ template container implementation of kd-tree sorting
libkeduvocdocument-dev (4:20.12.0-1)
development files for KEduVocDocument
libkeduvocdocument5 (4:20.12.0-1)
library for reading and writing vocabulary files
libkeepalive0 (0.3-2+b1 [amd64], 0.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
enable tcp keepalive support in programs using shared libraries
libkeybinder-3.0-0 (0.3.2-1.1)
registers global key bindings for applications - Gtk+3
libkeybinder-3.0-dev (0.3.2-1.1)
registers global key bindings for applications - Gtk+3 - development headers
libkeybinder-dev (0.3.1-2.1)
registers global key bindings for applications - development headers
libkeybinder0 (0.3.1-2.1)
registers global key bindings for applications
libkeyutils-dev (1.6.1-2)
Linux Key Management Utilities (development)
libkeyutils1 (1.6.1-2)
Linux Key Management Utilities (library)
libkeyword-simple-perl (0.04-2+b1)
Perl module to define new keywords in pure Perl
libkf5activities-dev (5.78.0-2)
development files for kactivities.
libkf5activities-doc (5.78.0-2)
Library to organize the user work in separate activities (documentation)
libkf5activities5 (5.78.0-2)
Library to organize the user work in separate activities.
libkf5activitiesstats-dev (5.78.0-2)
development files for kactivities-stats.
libkf5activitiesstats-doc (5.78.0-2)
usage data collected by the activities system
libkf5activitiesstats1 (5.78.0-2)
usage data collected by the activities system
libkf5akonadi-data (4:20.08.3-3)
Akonadi arch independent data
libkf5akonadi-dev (4:20.08.3-3)
development files for the KDE Development Platform PIM libraries
libkf5akonadi-dev-bin (4:20.08.3-3)
Additional development binaries for the Akonadi KDE PIM libraries
libkf5akonadiagentbase5 (4:20.08.3-3)
Akonadi agent base library
libkf5akonadiagentbase5-20.08
本虛擬套件由這些套件填實: libkf5akonadiagentbase5
libkf5akonadicalendar-data (4:20.08.3-1)
data files for akonadi-calendar
libkf5akonadicalendar-dev (4:20.08.3-1)
akonadi-calendar - development files
libkf5akonadicalendar5-20.08
本虛擬套件由這些套件填實: libkf5akonadicalendar5abi1
libkf5akonadicalendar5abi1 (4:20.08.3-1)
library providing calendar helpers for Akonadi items
libkf5akonadicontact-dev (4:20.08.3-1)
Akonadi contacts access library - development files
libkf5akonadicontact5 (4:20.08.3-1)
Akonadi contacts access library
libkf5akonadicontact5-20.08
本虛擬套件由這些套件填實: libkf5akonadicontact5
libkf5akonadicore5-20.08
本虛擬套件由這些套件填實: libkf5akonadicore5abi2
libkf5akonadicore5abi2 (4:20.08.3-3)
Akonadi core library
libkf5akonadimime-dev (4:20.08.3-1)
Akonadi MIME handling library - development files
libkf5akonadimime5 (4:20.08.3-1)
Akonadi MIME handling library
libkf5akonadimime5-20.08
本虛擬套件由這些套件填實: libkf5akonadimime5
libkf5akonadinotes-dev (4:20.08.3-1)
Akonadi notes access library - development files
libkf5akonadinotes5 (4:20.08.3-1)
Akonadi notes access library
libkf5akonadinotes5-20.08
本虛擬套件由這些套件填實: libkf5akonadinotes5
libkf5akonadiprivate5-20.08
本虛擬套件由這些套件填實: libkf5akonadiprivate5abi2
libkf5akonadiprivate5abi2 (4:20.08.3-3)
libraries for the Akonadi PIM storage service
libkf5akonadisearch-bin (4:20.08.3-1)
Akonadi search library - runtime binaries
libkf5akonadisearch-data (4:20.08.3-1)
Akonadi search library - data files
libkf5akonadisearch-dev (4:20.08.3-1)
Akonadi search library - development files
libkf5akonadisearch-plugins (4:20.08.3-1)
Akonadi search library - runtime plugins
libkf5akonadisearchcore5 (4:20.08.3-1)
Akonadi search core library
libkf5akonadisearchcore5-20.08
本虛擬套件由這些套件填實: libkf5akonadisearchcore5
libkf5akonadisearchdebug5 (4:20.08.3-1)
Akonadi search debug library
libkf5akonadisearchdebug5-20.08
本虛擬套件由這些套件填實: libkf5akonadisearchdebug5
libkf5akonadisearchpim5 (4:20.08.3-1)
Akonadi search library
libkf5akonadisearchpim5-20.08
本虛擬套件由這些套件填實: libkf5akonadisearchpim5
libkf5akonadisearchxapian5 (4:20.08.3-1)
Akonadi search xapian library
libkf5akonadisearchxapian5-20.08
本虛擬套件由這些套件填實: libkf5akonadisearchxapian5
libkf5akonadiserver-dev (4:20.08.3-3)
development files for the Akonadi PIM storage service
libkf5akonadiwidgets5-20.08
本虛擬套件由這些套件填實: libkf5akonadiwidgets5abi1
libkf5akonadiwidgets5abi1 (4:20.08.3-3)
Akonadi widgets library
libkf5akonadixml5 (4:20.08.3-3)
Akonadi XML helper library
libkf5akonadixml5-20.08
本虛擬套件由這些套件填實: libkf5akonadixml5
libkf5alarmcalendar-data (4:20.08.3-1)
library for handling kalarm calendar data - arch independent files
libkf5alarmcalendar-dev (4:20.08.3-1)
library for handling kalarm calendar data - development files
libkf5alarmcalendar5-20.08
本虛擬套件由這些套件填實: libkf5alarmcalendar5abi1
libkf5alarmcalendar5abi1 (4:20.08.3-1)
library for handling kalarm calendar data
libkf5archive-dev (5.78.0-2)
development files for karchive
libkf5archive-doc (5.78.0-2)
Qt 5 addon providing access to numerous types of archives (documentation)
libkf5archive5 (5.78.0-2)
Qt 5 addon providing access to numerous types of archives
libkf5attica-dev (5.78.0-2)
development files for libkf5attica5
libkf5attica-doc (5.78.0-2)
Qt library that implements the Open Collaboration Services API (documentation)
libkf5attica5 (5.78.0-2)
Qt library that implements the Open Collaboration Services API
libkf5auth-data (5.78.0-2)
Abstraction to system policy and authentication features
libkf5auth-dev (5.78.0-2)
Abstraction to system policy and authentication features
libkf5auth-dev-bin (5.78.0-2)
Abstraction to system policy and authentication features
libkf5auth-doc (5.78.0-2)
Abstraction to system policy and authentication features (documentation)
libkf5auth5 (5.78.0-2)
Abstraction to system policy and authentication features
libkf5authcore5 (5.78.0-2)
Abstraction to system policy and authentication features
libkf5baloo-doc (5.78.0-3)
framework for searching and managing metadata core lib (documentation)
libkf5baloo5 (5.78.0-3)
framework for searching and managing metadata core lib.
libkf5balooengine5 (5.78.0-3)
framework for searching and managing metadata plugins
libkf5baloowidgets-bin (4:20.12.0-1)
Wigets for use with Baloo - binaries
libkf5baloowidgets-dev (4:20.12.0-1)
Development headers for developing applications with Baloo
libkf5baloowidgets5 (4:20.12.0-1)
Wigets for use with Baloo
libkf5bluezqt-data (5.78.0-3)
data files for bluez-qt
libkf5bluezqt-dev (5.78.0-3)
development files for bluez-qt
libkf5bluezqt-doc (5.78.0-3)
documentation files for bluez-qt
libkf5bluezqt6 (5.78.0-3)
Qt wrapper for bluez
libkf5bookmarks-data (5.78.0-2)
Qt library with support for bookmarks and the XBEL format.
libkf5bookmarks-dev (5.78.0-2)
Qt library with support for bookmarks and the XBEL format.
libkf5bookmarks-doc (5.78.0-2)
Qt library with support for bookmarks and the XBEL format (documentation)
libkf5bookmarks5 (5.78.0-2)
Qt library with support for bookmarks and the XBEL format.
libkf5calendarcore-dev (5:5.78.0-2)
development files for kcalcore
libkf5calendarcore-doc (5:5.78.0-2)
calendar access framework (documentation)
libkf5calendarcore5abi2 (5:5.78.0-2)
calendar access framework
libkf5calendarevents5 (5.78.0-2)
provides integration of QML and KDE frameworks -- calendarevents
libkf5calendarsupport-data (4:20.08.3-1)
KDE PIM Calendar support - data files
libkf5calendarsupport-dev (4:20.08.3-1)
KDE PIM Calendar support - devel files
libkf5calendarsupport5-20.08
本虛擬套件由這些套件填實: libkf5calendarsupport5abi1
libkf5calendarsupport5abi1 (4:20.08.3-1)
KDE PIM Calendar support - library
libkf5calendarutils-dev (4:20.08.3-1)
kcalutils - development files
libkf5calendarutils5 (4:20.08.3-1)
library with utility functions for the handling of calendar data
libkf5calendarutils5-20.08
本虛擬套件由這些套件填實: libkf5calendarutils5
libkf5cddb-dev (4:20.12.0-1)
CDDB library for KDE Platform (development)
libkf5cddb5 (4:20.12.0-1)
CDDB library for KDE Platform (runtime)
libkf5codecs-data (5.78.0-2)
collection of methods to manipulate strings
libkf5codecs-dev (5.78.0-2)
development files for kcodecs
libkf5codecs-doc (5.78.0-2)
collection of methods to manipulate strings (documentation)
libkf5codecs5 (5.78.0-2)
collection of methods to manipulate strings
libkf5compactdisc-dev (4:20.12.0-1)
CD drive library for KDE Platform (development)
libkf5compactdisc5 (4:20.12.0-1)
CD drive library for KDE Platform (runtime)
libkf5completion-data (5.78.0-3)
Widgets with advanced auto-completion features.
libkf5completion-dev (5.78.0-3)
development files for kcompletion
libkf5completion-doc (5.78.0-3)
Widgets with advanced auto-completion features (documentation)
libkf5completion5 (5.78.0-3)
Widgets with advanced auto-completion features.
libkf5config-bin (5.78.0-4)
configuration settings framework for Qt
libkf5config-data (5.78.0-4)
configuration settings framework for Qt
libkf5config-dev (5.78.0-4)
configuration settings framework for Qt
libkf5config-dev-bin (5.78.0-4)
configuration settings framework for Qt -- binary package
libkf5config-doc (5.78.0-4)
configuration settings framework for Qt (documentation)
libkf5configcore5 (5.78.0-4)
configuration settings framework for Qt
libkf5configgui5 (5.78.0-4)
configuration settings framework for Qt
libkf5configwidgets-data (5.78.0-2)
Extra widgets for easier configuration support.
libkf5configwidgets-dev (5.78.0-2)
development files for kconfigwidgets
libkf5configwidgets-doc (5.78.0-2)
Extra widgets for easier configuration support (documentation)
libkf5configwidgets5 (5.78.0-2)
Extra widgets for easier configuration support.
libkf5contacteditor-dev (4:20.08.3-1)
Akonadi contacts editor library - development files
libkf5contacteditor5 (4:20.08.3-1)
Akonadi contact editor library
libkf5contacteditor5-20.08
本虛擬套件由這些套件填實: libkf5contacteditor5
libkf5contacts-data (5:5.78.0-2)
data files for kcontacts
libkf5contacts-dev (5:5.78.0-2)
development files for kcontacts
libkf5contacts-doc (5:5.78.0-2)
address book API for KDE Framework (documentation)
libkf5contacts5 (5:5.78.0-2)
address book API for KDE frameworks
libkf5coreaddons-data (5.78.0-4)
KDE Frameworks 5 addons to QtCore - data files
libkf5coreaddons-dev (5.78.0-4)
KDE Frameworks 5 addons to QtCore - development files
libkf5coreaddons-dev-bin (5.78.0-4)
KDE Frameworks 5 addons to QtCore - development files
libkf5coreaddons-doc (5.78.0-4)
KDE Frameworks 5 addons to QtCore (documentation)
libkf5coreaddons5 (5.78.0-4)
KDE Frameworks 5 addons to QtCore
libkf5crash-dev (5.78.0-3)
Support for application crash analysis and bug report from apps
libkf5crash-doc (5.78.0-3)
Support for application crash analysis and bug report from apps (documentation)
libkf5crash5 (5.78.0-3)
Support for application crash analysis and bug report from apps
libkf5dav-data (1:5.78.0-2)
DAV protocol implementation with KJobs - arch independent files
libkf5dav-dev (1:5.78.0-2)
DAV protocol implementation with KJobs - development files
libkf5dav-doc (1:5.78.0-2)
DAV protocol implementation with KJobs - documentation
libkf5dav5 (1:5.78.0-2)
DAV protocol implementation with KJobs
libkf5dbusaddons-bin (5.78.0-2)
class library for qtdbus
libkf5dbusaddons-data (5.78.0-2)
class library for qtdbus
libkf5dbusaddons-dev (5.78.0-2)
development files for dbusaddons
libkf5dbusaddons-doc (5.78.0-2)
class library for qtdbus (documentation)
libkf5dbusaddons5 (5.78.0-2)
class library for qtdbus
libkf5declarative-data (5.78.0-2)
provides integration of QML and KDE frameworks
libkf5declarative-dev (5.78.0-2)
development files for kdeclarative
libkf5declarative-doc (5.78.0-2)
provides integration of QML and KDE frameworks (documentation)
libkf5declarative5 (5.78.0-2)
provides integration of QML and KDE frameworks
libkf5dnssd-data (5.78.0-2)
Abstraction to system DNSSD features.
libkf5dnssd-dev (5.78.0-2)
development files for kdnssd
libkf5dnssd-doc (5.78.0-2)
Abstraction to system DNSSD features (documentation)
libkf5dnssd5 (5.78.0-2)
Abstraction to system DNSSD features.
libkf5doctools-dev (5.78.0-2)
Development files for kdoctools5
libkf5doctools5 (5.78.0-2)
Tools to generate documentation in various formats from DocBook
libkf5emoticons-bin (5.78.0-2)
Support for emoticons and emoticons themes.
libkf5emoticons-data (5.78.0-2)
Support for emoticons and emoticons themes.
libkf5emoticons-dev (5.78.0-2)
Support for emoticons and emoticons themes.
libkf5emoticons-doc (5.78.0-2)
Support for emoticons and emoticons themes (documentation)
libkf5emoticons5 (5.78.0-2)
Support for emoticons and emoticons themes.
libkf5eventviews-data (4:20.08.3-1)
KDE PIM event handling - data files
libkf5eventviews-dev (4:20.08.3-1)
KDE PIM event handling - devel files
libkf5eventviews5-20.08
本虛擬套件由這些套件填實: libkf5eventviews5abi1
libkf5eventviews5abi1 (4:20.08.3-1)
KDE PIM event handling - library
libkf5filemetadata-bin (5.78.0-2)
library for extracting file metadata
libkf5filemetadata-data (5.78.0-2)
library for extracting file metadata
libkf5filemetadata-dev (5.78.0-2)
library for extracting file metadata
libkf5filemetadata-doc (5.78.0-2)
library for extracting file metadata (documentation)
libkf5filemetadata3 (5.78.0-2)
library for extracting file metadata
libkf5globalaccel-bin (5.78.0-3)
Configurable global shortcut support.
libkf5globalaccel-data (5.78.0-3)
Configurable global shortcut support.
libkf5globalaccel-dev (5.78.0-3)
development files for kglobalaccel
libkf5globalaccel-doc (5.78.0-3)
Configurable global shortcut support (documentation)
libkf5globalaccel5 (5.78.0-3)
Configurable global shortcut support.
libkf5globalaccelprivate5 (5.78.0-3)
Configurable global shortcut support - private runtime library
libkf5grantleetheme-dev (20.08.3-1)
KDE PIM grantlee theme support - devel files
libkf5grantleetheme-plugins (20.08.3-1)
KDE PIM grantlee theme support - plugins
libkf5grantleetheme5 (20.08.3-1)
KDE PIM grantlee theme support - library
libkf5grantleetheme5-20.08
本虛擬套件由這些套件填實: libkf5grantleetheme5
libkf5gravatar-data (4:20.08.3-1)
KDE PIM gravatar library - data files
libkf5gravatar-dev (4:20.08.3-1)
KDE PIM gravatar library, devel files
libkf5gravatar5-20.08
本虛擬套件由這些套件填實: libkf5gravatar5abi2
libkf5gravatar5abi2 (4:20.08.3-1)
KDE PIM gravatar library
libkf5guiaddons-dev (5.78.0-3)
development headers for the kguiaddons framework
libkf5guiaddons-doc (5.78.0-3)
additional addons for QtGui (documentation)
libkf5guiaddons5 (5.78.0-3)
additional addons for QtGui
libkf5holidays-data (1:5.78.0-2)
holidays calculation library
libkf5holidays-dev (1:5.78.0-2)
holidays calculation library - development files
libkf5holidays-doc (1:5.78.0-2)
holidays calculation library (documentation)
libkf5holidays5 (1:5.78.0-2)
holidays calculation library
libkf5i18n-data (5.78.0-2)
Advanced internationalization framework.
libkf5i18n-dev (5.78.0-2)
Advanced internationalization framework.
libkf5i18n-doc (5.78.0-2)
Advanced internationalization framework (documentation)
libkf5i18n5 (5.78.0-2)
Advanced internationalization framework.
libkf5iconthemes-bin (5.78.0-2)
Support for icon themes
libkf5iconthemes-data (5.78.0-2)
Support for icon themes.
libkf5iconthemes-dev (5.78.0-2)
development files for kiconthemes
libkf5iconthemes-doc (5.78.0-2)
Support for icon themes (documentation)
libkf5iconthemes5 (5.78.0-2)
Support for icon themes.
libkf5identitymanagement-dev (20.08.3-1)
library for managing user identities - development files
libkf5identitymanagement5 (20.08.3-1)
library for managing user identities
libkf5identitymanagement5-20.08
本虛擬套件由這些套件填實: libkf5identitymanagement5
libkf5idletime-dev (5.78.0-2)
development headers for the kidletime library
libkf5idletime-doc (5.78.0-2)
library to provide information about idle time (documentation)
libkf5idletime5 (5.78.0-2)
library to provide information about idle time
libkf5imap-data (20.08.3-1)
library for handling IMAP data - arch independent files
libkf5imap-dev (20.08.3-1)
library for handling IMAP data - development files
libkf5imap5 (20.08.3-1)
library for handling IMAP data
libkf5imap5-20.08
本虛擬套件由這些套件填實: libkf5imap5
libkf5incidenceeditor-bin (20.08.3-1)
KDE PIM incidence editor
libkf5incidenceeditor-data (20.08.3-1)
KDE PIM incidence editor - shared data
libkf5incidenceeditor-dev (20.08.3-1)
KDE PIM incidence editor - devel files
libkf5incidenceeditor5-20.08
本虛擬套件由這些套件填實: libkf5incidenceeditor5abi1
libkf5incidenceeditor5abi1 (20.08.3-1)
KDE PIM incidence editor - library
libkf5itemmodels-dev (5.78.0-2)
additional item/view models for Qt Itemview
libkf5itemmodels-doc (5.78.0-2)
additional item/view models for Qt Itemview (documentation)
libkf5itemmodels5 (5.78.0-2)
additional item/view models for Qt Itemview
libkf5itemviews-data (5.78.0-2)
Qt library with additional widgets for ItemModels
libkf5itemviews-dev (5.78.0-2)
Qt library with additional widgets for ItemModels
libkf5itemviews-doc (5.78.0-2)
Qt library with additional widgets for ItemModels (documentation)
libkf5itemviews5 (5.78.0-2)
Qt library with additional widgets for ItemModels
libkf5jobwidgets-data (5.78.0-2)
Widgets for tracking KJob instances
libkf5jobwidgets-dev (5.78.0-2)
Widgets for tracking KJob instances
libkf5jobwidgets-doc (5.78.0-2)
Widgets for tracking KJob instances (documentation)
libkf5jobwidgets5 (5.78.0-2)
Widgets for tracking KJob instances
libkf5js5 (5.78.0-2)
Support for JS scripting in Qt applications
libkf5jsapi5 (5.78.0-2)
Support for JS scripting in Qt applications
libkf5jsembed-data (5.78.0-2)
Embedded JS library for Qt
libkf5jsembed-dev (5.78.0-2)
Embedded JS library for Qt
libkf5jsembed5 (5.78.0-2)
Embedded JS library for Qt
libkf5kaddressbookgrantlee-dev (4:20.08.3-1)
KAddressbook Grantlee support - devel files
libkf5kaddressbookgrantlee5 (4:20.08.3-1)
KAddressbook Grantlee support
libkf5kaddressbookgrantlee5-20.08
本虛擬套件由這些套件填實: libkf5kaddressbookgrantlee5
libkf5kaddressbookimportexport-dev (4:20.08.3-1)
Addressbook import export library, devel files
libkf5kaddressbookimportexport5 (4:20.08.3-1)
Addressbook import export library
libkf5kaddressbookimportexport5-20.08
本虛擬套件由這些套件填實: libkf5kaddressbookimportexport5
libkf5kcmutils-data (5.78.0-3)
Extra APIs to write KConfig modules.
libkf5kcmutils-dev (5.78.0-3)
Extra APIs to write KConfig modules.
libkf5kcmutils-doc (5.78.0-3)
Extra APIs to write KConfig modules (documentation)
libkf5kcmutils5 (5.78.0-3)
Extra APIs to write KConfig modules.
libkf5kdcraw-dev (20.12.0-1)
RAW picture decoding library -- development files
libkf5kdcraw5 (20.12.0-1)
RAW picture decoding library
libkf5kdegames-dev (4:20.12.1-1)
development files for the KDE games library
libkf5kdegames7 (4:20.12.1-1)
shared library for KDE games
libkf5kdegamesprivate1 (4:20.12.1-1)
private part of shared library for KDE games
libkf5kdelibs4support-data (5.78.0-2)
Porting aid from KDELibs4.
libkf5kdelibs4support-dev (5.78.0-2)
development files for kde4support
libkf5kdelibs4support5 (5.78.0-2)
Porting aid from KDELibs4.
libkf5kdelibs4support5-bin (5.78.0-2)
Porting aid from KDELibs4.
libkf5kexiv2-15.0.0 (20.12.0-1)
Qt like interface for the libexiv2 library
libkf5kexiv2-dev (20.12.0-1)
Qt-like interface for the libexiv2 library -- development files
libkf5khtml-bin (5.78.0-2)
HTML widget and component
libkf5khtml-data (5.78.0-2)
HTML widget and component
libkf5khtml-dev (5.78.0-2)
HTML widget and component
libkf5khtml5 (5.78.0-2)
HTML widget and component
libkf5kio-dev (5.78.0-5)
resource and network access abstraction (development files)
libkf5kio-doc (5.78.0-5)
resource and network access abstraction (documentation)
libkf5kiocore5 (5.78.0-5)
resource and network access abstraction (KIO core library)
libkf5kiofilewidgets5 (5.78.0-5)
resource and network access abstraction (KIO file widgets library)
libkf5kiogui5 (5.78.0-5)
resource and network access abstraction (KIO gui library)
libkf5kiontlm5 (5.78.0-5)
resource and network access abstraction (KIO NTLM library)
libkf5kiowidgets5 (5.78.0-5)
resource and network access abstraction (KIO widgets library)
libkf5kipi-data (4:20.12.1-1)
KDE Image Plugin Interface library -- data files
libkf5kipi-dev (4:20.12.1-1)
KDE Image Plugin Interface library -- development files
libkf5kipi32.0.0 (4:20.12.1-1)
KDE Image Plugin Interface library
libkf5kirigami2-5 (5.78.0-3)
set of QtQuick components targeted for mobile use
libkf5kirigami2-doc (5.78.0-3)
set of QtQuick components targeted for mobile use (documentation)
libkf5kjs-dev (5.78.0-2)
Support for JS scripting in Qt applications
libkf5kmahjongglib-dev (4:20.12.1-1)
development files for the KDE kmahjongg library
libkf5kmahjongglib5 (4:20.12.1-1)
shared library for kmahjongg and kshisen
libkf5kmanagesieve5 (4:20.08.3-1)
Sieve remote script management support for kdepim, library
libkf5kmanagesieve5-20.08
本虛擬套件由這些套件填實: libkf5kmanagesieve5
libkf5konq-dev (4:20.12.0-4)
development files for the Konqueror libraries
libkf5konq6 (4:20.12.0-4)
core libraries for Konqueror
libkf5kontactinterface-data (20.08.3-1)
Kontact interface library - data files
libkf5kontactinterface-dev (20.08.3-1)
Kontact interface library - development files
libkf5kontactinterface5 (20.08.3-1)
Kontact interface library
libkf5kontactinterface5-20.08
本虛擬套件由這些套件填實: libkf5kontactinterface5
libkf5krosscore5 (5.78.0-2)
Multi-language application scripting.
libkf5krossui5 (5.78.0-2)
Multi-language application scripting.
libkf5ksieve-data (4:20.08.3-1)
Sieve mail filtering language support for kdepim, data files
libkf5ksieve-dev (4:20.08.3-1)
Sieve mail filtering language support for kdepim, development files
libkf5ksieve5 (4:20.08.3-1)
Sieve mail filtering language support for kdepim, library
libkf5ksieve5-20.08
本虛擬套件由這些套件填實: libkf5ksieve5
libkf5ksieveui5 (4:20.08.3-1)
Sieve mail filtering language support for kdepim, GUI library
libkf5ksieveui5-20.08
本虛擬套件由這些套件填實: libkf5ksieveui5
libkf5ldap-data (20.08.3-1)
Arch independent data forlibrary for accessing LDAP
libkf5ldap-dev (20.08.3-1)
library for accessing LDAP - development files
libkf5ldap-doc (20.08.3-1)
library for accessing LDAP (documentation)
libkf5ldap5-20.08
本虛擬套件由這些套件填實: libkf5ldap5abi1
libkf5ldap5abi1 (20.08.3-1)
library for accessing LDAP
libkf5libkdepim-data (4:20.08.3-1)
KDE PIM library - data files
libkf5libkdepim-dev (4:20.08.3-1)
KDE PIM library - development files
libkf5libkdepim-plugins (4:20.08.3-1)
KDE PIM library - plugins
libkf5libkdepim5 (4:20.08.3-1)
KDE PIM library
libkf5libkdepim5-20.08
本虛擬套件由這些套件填實: libkf5libkdepim5
libkf5libkleo-data (4:20.08.3-1)
KDE PIM cryptographic library, data files
libkf5libkleo-dev (4:20.08.3-1)
KDE PIM cryptographic library, devel files
libkf5libkleo5 (4:20.08.3-1)
KDE PIM cryptographic library
libkf5libkleo5-20.08
本虛擬套件由這些套件填實: libkf5libkleo5
libkf5mailcommon-data (4:20.08.3-1)
KDE PIM email utility library, shared data
libkf5mailcommon-dev (4:20.08.3-1)
KDE PIM email utility library, devel files
libkf5mailcommon-plugins (4:20.08.3-1)
KDE PIM email utility library, plugins
libkf5mailcommon5-20.08
本虛擬套件由這些套件填實: libkf5mailcommon5abi2
libkf5mailcommon5abi2 (4:20.08.3-1)
KDE PIM email utility library
libkf5mailimporter-dev (4:20.08.3-1)
KDE PIM Mail importer library, devel files
libkf5mailimporter5 (4:20.08.3-1)
KDE PIM Mail importer library
libkf5mailimporter5-20.08
本虛擬套件由這些套件填實: libkf5mailimporter5
libkf5mailimporterakonadi5 (4:20.08.3-1)
KDE PIM Mail importer Akonadi library
libkf5mailimporterakonadi5-20.08
本虛擬套件由這些套件填實: libkf5mailimporterakonadi5
libkf5mailtransport-data (20.08.3-1)
mail transport service library - data files
libkf5mailtransport-dev (20.08.3-1)
mail transport service library - development files
libkf5mailtransport5 (20.08.3-1)
mail transport service library
libkf5mailtransport5-20.08
本虛擬套件由這些套件填實: libkf5mailtransport5
libkf5mailtransportakonadi5 (20.08.3-1)
mail transport service library for akonadi
libkf5mailtransportakonadi5-20.08
本虛擬套件由這些套件填實: libkf5mailtransportakonadi5
libkf5mbox-dev (20.08.3-1)
library for handling mbox mailboxes - development files
libkf5mbox5 (20.08.3-1)
library for handling mbox mailboxes
libkf5mbox5-20.08
本虛擬套件由這些套件填實: libkf5mbox5
libkf5mediaplayer-data (5.78.0-2)
Plugin interface for media player features.
libkf5mediaplayer-dev (5.78.0-2)
Plugin interface for media player features.
libkf5mediaplayer5 (5.78.0-2)
Plugin interface for media player features.
libkf5mediawiki-dev (5.37.0-2)
libmediawiki is a KDE C++ interface for "MediaWiki"
libkf5mediawiki5 (5.37.0-2)
libmediawiki is a KDE C++ interface for "MediaWiki"
libkf5messagecomposer-dev (4:20.08.3-5)
KDE PIM messaging library, composer devel files
libkf5messagecomposer5-20.08
本虛擬套件由這些套件填實: libkf5messagecomposer5abi1
libkf5messagecomposer5abi1 (4:20.08.3-5)
KDE PIM messaging library, composer library
libkf5messagecore-dev (4:20.08.3-5)
KDE PIM messaging library, core devel files
libkf5messagecore5-20.08
本虛擬套件由這些套件填實: libkf5messagecore5abi1
libkf5messagecore5abi1 (4:20.08.3-5)
KDE PIM messaging library, core library
libkf5messagelist-dev (4:20.08.3-5)
KDE PIM messaging library, message list devel files
libkf5messagelist5-20.08
本虛擬套件由這些套件填實: libkf5messagelist5abi1
libkf5messagelist5abi1 (4:20.08.3-5)
KDE PIM messaging library, message list library
libkf5messageviewer-dev (4:20.08.3-5)
KDE PIM messaging library, message viewer devel files
libkf5messageviewer5-20.08
本虛擬套件由這些套件填實: libkf5messageviewer5abi1
libkf5messageviewer5abi1 (4:20.08.3-5)
KDE PIM messaging library, message viewer library
libkf5mime-data (20.08.3-1)
Arch independent data for library for handling MIME data
libkf5mime-dev (20.08.3-1)
library for handling MIME data - development files
libkf5mime5-20.08
本虛擬套件由這些套件填實: libkf5mime5abi1
libkf5mime5abi1 (20.08.3-1)
library for handling MIME data
libkf5mimetreeparser-dev (4:20.08.3-5)
KDE PIM Mime Tree parser library - devel files
libkf5mimetreeparser5-20.08
本虛擬套件由這些套件填實: libkf5mimetreeparser5abi1
libkf5mimetreeparser5abi1 (4:20.08.3-5)
KDE PIM Mime Tree parser library
libkf5modemmanagerqt-doc (5.78.0-2)
Qt wrapper library for ModemManager (documentation)
libkf5modemmanagerqt6 (5.78.0-2)
Qt wrapper library for ModemManager
libkf5networkmanagerqt-dev (5.78.0-2)
Qt wrapper for NetworkManager - devel files.
libkf5networkmanagerqt-doc (5.78.0-2)
Qt wrapper for NetworkManager - library (documentation)
libkf5networkmanagerqt6 (5.78.0-2)
Qt wrapper for NetworkManager - library
libkf5newstuff-data (5.78.0-4+deb11u1)
Support for downloading application assets from the network.
libkf5newstuff-dev (5.78.0-4+deb11u1)
development files for knewstuff
libkf5newstuff-doc (5.78.0-4+deb11u1)
Support for downloading application assets from the network (documentation)
libkf5newstuff5 (5.78.0-4+deb11u1)
Support for downloading application assets from the network.
libkf5newstuffcore5 (5.78.0-4+deb11u1)
Support for downloading application assets from the network.
libkf5notifications-data (5.78.0-2)
Framework for desktop notifications
libkf5notifications-dev (5.78.0-2)
Framework for desktop notifications
libkf5notifications-doc (5.78.0-2)
Framework for desktop notifications
libkf5notifications5 (5.78.0-2)
Framework for desktop notifications
libkf5notifyconfig-data (5.78.0-2)
Configuration system for KNotify.
libkf5notifyconfig-dev (5.78.0-2)
development files for knotifyconfig
libkf5notifyconfig-doc (5.78.0-2)
Configuration system for KNotify (documentation)
libkf5notifyconfig5 (5.78.0-2)
Configuration system for KNotify.
libkf5package-data (5.78.0-3)
non-binary asset management framework
libkf5package-dev (5.78.0-3)
development files for kpackage
libkf5package-doc (5.78.0-3)
non-binary asset management framework (documentation)
libkf5package5 (5.78.0-3)
non-binary asset management framework
libkf5parts-data (5.78.0-3)
Document centric plugin system.
libkf5parts-dev (5.78.0-3)
development files for kparts
libkf5parts-doc (5.78.0-3)
Document centric plugin system (documentation)
libkf5parts-plugins (5.78.0-3)
Document centric plugin system.
libkf5parts5 (5.78.0-3)
Document centric plugin system.
libkf5people-data (5.78.0-2)
data files for kpeople
libkf5people-dev (5.78.0-2)
development files for kpeople
libkf5people-doc (5.78.0-2)
framework providing unified access to contacts aggregated by person (documentation)
libkf5people5 (5.78.0-2)
framework providing unified access to contacts aggregated by person
libkf5peoplebackend5 (5.78.0-2)
framework providing unified access to contacts aggregated by person
libkf5peoplewidgets5 (5.78.0-2)
framework providing unified access to contacts aggregated by person
libkf5pimcommon-data (4:20.08.3-1)
Common library for KDE PIM - data files
libkf5pimcommon-dev (4:20.08.3-1)
Common library for KDE PIM - devel files
libkf5pimcommon-plugins (4:20.08.3-1)
Common library for KDE PIM - plugins
libkf5pimcommon5-20.08
本虛擬套件由這些套件填實: libkf5pimcommon5abi2
libkf5pimcommon5abi2 (4:20.08.3-1)
Common library for KDE PIM
libkf5pimcommonakonadi5-20.08
本虛擬套件由這些套件填實: libkf5pimcommonakonadi5abi1
libkf5pimcommonakonadi5abi1 (4:20.08.3-1)
Common library for KDE PIM Akonadi
libkf5pimtextedit-data (20.08.3-1)
libkf5pimtextedit - arch independent files
libkf5pimtextedit-dev (20.08.3-1)
libkf5pimtextedit - development files
libkf5pimtextedit-plugins (20.08.3-1)
library that provides a textedit with PIM-specific features - plugins
libkf5pimtextedit5-20.08
本虛擬套件由這些套件填實: libkf5pimtextedit5abi2
libkf5pimtextedit5abi2 (20.08.3-1)
library that provides a textedit with PIM-specific features
libkf5plasma-dev (5.78.0-3)
development files for plasma-framework
libkf5plasma-doc (5.78.0-3)
Plasma Runtime components (documentation)
libkf5plasma5 (5.78.0-3)
Plasma Runtime components
libkf5plasmaquick5 (5.78.0-3)
Plasma Runtime components
libkf5plotting-dev (5.78.0-2)
development files for kplotting
libkf5plotting-doc (5.78.0-2)
KPlotting provides classes to do plotting (documentation)
libkf5plotting5 (5.78.0-2)
KPlotting provides classes to do plotting.
libkf5prison-dev (5.78.0-2)
barcode API for Qt - development files
libkf5prison-doc (5.78.0-2)
barcode API for Qt (documentation)
libkf5prison5 (5.78.0-2)
barcode API for Qt
libkf5pty-data (5.78.0-2)
Pty abstraction.
libkf5pty-dev (5.78.0-2)
development files for kpty
libkf5pty-doc (5.78.0-2)
Pty abstraction (documentation)
libkf5pty5 (5.78.0-2)
Pty abstraction.
libkf5pulseaudioqt-dev (1.2-2)
Pulseaudio bindings development files for Qt
libkf5pulseaudioqt2 (1.2-2)
Pulseaudio bindings library for Qt
libkf5pulseaudioqt2-doc (1.2-2)
Pulseaudio bindings library for Qt documentation
libkf5purpose-bin (5.78.0-2)
abstraction to provide and leverage actions of a specific kind, runtime
libkf5purpose-dev (5.78.0-2)
abstraction to provide and leverage actions of a specific kind, devel files
libkf5purpose5 (5.78.0-2)
library for abstractions to get the developer's purposes fulfilled
libkf5qqc2desktopstyle-dev (5.78.0-2)
Qt Quick Controls 2: Desktop Style
libkf5quickaddons5 (5.78.0-2)
provides integration of QML and KDE frameworks -- quickaddons
libkf5runner-dev (5.78.0-3)
development files for krunner
libkf5runner-doc (5.78.0-3)
Used to write plugins loaded at runtime called "Runners" (documentation)
libkf5runner5 (5.78.0-3)
Used to write plugins loaded at runtime called "Runners".
libkf5sane-data (20.12.0-1)
scanner library (data files)
libkf5sane-dev (20.12.0-1)
scanner library development headers
libkf5sane5 (20.12.0-1)
scanner library (runtime)
libkf5screen-bin (4:5.20.5-1)
library for screen management - helpers
libkf5screen-dev (4:5.20.5-1)
library for screen management - development files
libkf5screen7 (4:5.20.5-1)
library for screen management - shared library
libkf5service-bin (5.78.0-2)
Advanced plugin and service introspection
libkf5service-data (5.78.0-2)
Advanced plugin and service introspection
libkf5service-dev (5.78.0-2)
development files for kservice
libkf5service-doc (5.78.0-2)
Advanced plugin and service introspection (documentation)
libkf5service5 (5.78.0-2)
Advanced plugin and service introspection
libkf5solid-bin (5.78.0-2)
Qt library to query and control hardware
libkf5solid-dev (5.78.0-2)
Qt library to query and control hardware
libkf5solid-doc (5.78.0-2)
Qt library to query and control hardware (documentation)
libkf5solid5 (5.78.0-2)
Qt library to query and control hardware
libkf5solid5-data (5.78.0-2)
Qt library to query and control hardware
libkf5sonnet-dev (5.78.0-2)
spell checking library for Qt, devel files
libkf5sonnet-dev-bin (5.78.0-2)
spell checking library for Qt, devel binaries
libkf5sonnet-doc (5.78.0-2)
spell checking library for Qt (documentation)
libkf5sonnet5-data (5.78.0-2)
spell checking library for Qt, data files
libkf5sonnetcore5 (5.78.0-2)
spell checking library for Qt, core lib
libkf5sonnetui5 (5.78.0-2)
spell checking library for Qt, ui lib
libkf5style-dev (5.78.0-2)
KF5 cross-framework integration plugins - KStyle
libkf5style5 (5.78.0-2)
KF5 cross-framework integration plugins - KStyle
libkf5su-bin (5.78.0-2)
runtime files for kdesu
libkf5su-data (5.78.0-2)
translation files for kdesu
libkf5su-dev (5.78.0-2)
development files for kdesu
libkf5su-doc (5.78.0-2)
runtime files for kdesu (documentation)
libkf5su5 (5.78.0-2)
Integration with su for elevated privileges.
libkf5syndication-dev (1:5.78.0-2)
parser library for RSS and Atom feeds - development files
libkf5syndication-doc (1:5.78.0-2)
parser library for RSS and Atom feeds - documentation
libkf5syndication5abi1 (1:5.78.0-2)
parser library for RSS and Atom feeds
libkf5syntaxhighlighting-data (5.78.0-2)
Syntax highlighting Engine - translations
libkf5syntaxhighlighting-dev (5.78.0-2)
Syntax highlighting Engine
libkf5syntaxhighlighting-doc (5.78.0-2)
Syntax highlighting Engine (documentation)
libkf5syntaxhighlighting-tools (5.78.0-2)
Syntax highlighting Engine
libkf5syntaxhighlighting5 (5.78.0-2)
Syntax highlighting Engine
libkf5sysguard-bin (4:5.20.5-1)
library for system monitoring - utilities
libkf5sysguard-data (4:5.20.5-1)
library for system monitoring - data files
libkf5sysguard-dev (4:5.20.5-1)
library for system monitoring - development files
libkf5templateparser-dev (4:20.08.3-5)
KDE PIM messaging library, template parser devel files
libkf5templateparser5 (4:20.08.3-5)
KMail template parser library
libkf5templateparser5-20.08
本虛擬套件由這些套件填實: libkf5templateparser5
libkf5texteditor-bin (5.78.0-3)
provide advanced plain text editing services (binaries)
libkf5texteditor-dev (5.78.0-3)
provide advanced plain text editing services
libkf5texteditor-doc (5.78.0-3)
provide advanced plain text editing services (documentation)
libkf5texteditor5 (5.78.0-3)
provide advanced plain text editing services
libkf5textwidgets-data (5.78.0-2)
Advanced text editing widgets.
libkf5textwidgets-dev (5.78.0-2)
development files for ktextwidgets
libkf5textwidgets-doc (5.78.0-2)
Advanced text editing widgets (documentation)
libkf5textwidgets5 (5.78.0-2)
Advanced text editing widgets.
libkf5threadweaver-dev (5.78.0-2)
ThreadWeaver library to help multithreaded programming in Qt
libkf5threadweaver-doc (5.78.0-2)
ThreadWeaver library to help multithreaded programming in Qt
libkf5threadweaver5 (5.78.0-2)
ThreadWeaver library to help multithreaded programming in Qt
libkf5tnef-dev (4:20.08.3-1)
library for handling TNEF data - development files
libkf5tnef5 (4:20.08.3-1)
library for handling TNEF data
libkf5tnef5-20.08
本虛擬套件由這些套件填實: libkf5tnef5
libkf5torrent6abi1 (2.2.0-3)
KTorrent library for C++ / Qt 5 / KDE Frameworks
libkf5unitconversion-data (5.78.0-2)
Support for unit conversion.
libkf5unitconversion-dev (5.78.0-2)
development files for kunitconversion
libkf5unitconversion-doc (5.78.0-2)
Support for unit conversion (documentation)
libkf5unitconversion5 (5.78.0-2)
Support for unit conversion.
libkf5wallet-bin (5.78.0-2)
Secure and unified container for user passwords.
libkf5wallet-data (5.78.0-2)
Secure and unified container for user passwords.
libkf5wallet-dev (5.78.0-2)
development files for kwallet-framework
libkf5wallet-doc (5.78.0-2)
Secure and unified container for user passwords.
libkf5wallet5 (5.78.0-2)
Secure and unified container for user passwords.
libkf5wayland-dev (4:5.78.0-2)
development files for kwayland
libkf5wayland-doc (4:5.78.0-2)
Qt library wrapper for Wayland libraries (documentation)
libkf5waylandclient5 (4:5.78.0-2)
Qt library wrapper for Wayland libraries
libkf5waylandserver5 (4:5.78.0-2)
Qt library wrapper for Wayland libraries
libkf5webengineviewer-dev (4:20.08.3-5)
KDE's Qt Web Engine Viewer - devel files
libkf5webengineviewer5-20.08
本虛擬套件由這些套件填實: libkf5webengineviewer5abi1
libkf5webengineviewer5abi1 (4:20.08.3-5)
KDE's Qt Web Engine Viewer
libkf5webkit-dev (5.78.0-2)
development files for kdewebkit
libkf5webkit5 (5.78.0-2)
KDE Integration for QtWebKit.
libkf5widgetsaddons-data (5.78.0-2)
add-on widgets and classes for applications that use the Qt Widgets module
libkf5widgetsaddons-dev (5.78.0-2)
development files for kwidgetsaddons
libkf5widgetsaddons-doc (5.78.0-2)
add-on widgets and classes for applications that use the Qt Widgets module (documentation)
libkf5widgetsaddons5 (5.78.0-2)
add-on widgets and classes for applications that use the Qt Widgets module
libkf5windowsystem-data (5.78.0-2)
Convenience access to certain properties and features of the window manager
libkf5windowsystem-dev (5.78.0-2)
development files for kwindowsystem
libkf5windowsystem-doc (5.78.0-2)
Convenience access to certain properties and features of the window manager
libkf5windowsystem5 (5.78.0-2)
Convenience access to certain properties and features of the window manager
libkf5xmlgui-bin (5.78.0-2)
User configurable main windows.
libkf5xmlgui-data (5.78.0-2)
User configurable main windows.
libkf5xmlgui-dev (5.78.0-2)
User configurable main windows.
libkf5xmlgui-doc (5.78.0-2)
User configurable main windows (documentation)
libkf5xmlgui5 (5.78.0-2)
User configurable main windows.
libkf5xmlrpcclient-data (5.78.0-2)
data files for kxmlrpcclient
libkf5xmlrpcclient-dev (5.78.0-2)
development files for kxmlrpcclient
libkf5xmlrpcclient-doc (5.78.0-2)
framework providing an xml rpc client library (documentation)
libkf5xmlrpcclient5 (5.78.0-2)
framework providing an xml rpc client library
libkfontinst5 (4:5.20.5-6)
Tools and widgets for the desktop library
libkfontinstui5 (4:5.20.5-6)
Tools and widgets for the desktop library
libkgantt-dev (2.8.0-1)
library for creating Gantt diagrams (development files)
libkgantt2 (2.8.0-1)
library for creating Gantt diagrams (shared library)
libkgantt2-l10n (2.8.0-1)
library for creating Gantt diagrams (translations)
libkibi-dbg (0.1.1-2.1)
library for byte prefixes (debugging symbols)
libkibi-dev (0.1.1-2.1)
library for byte prefixes (development files)
libkibi0 (0.1.1-2.1)
library for byte prefixes
libkickpass-dev (0.2.0-4)
library used by kickpass (development files)
libkickpass0 (0.2.0-4)
library used by kickpass
libkido-dev (6.9.5-3)
transitional package
libkido-gui-dev (6.9.5-3)
transitional package
libkido-gui-osg-dev (6.9.5-3)
transitional package
libkido-gui-osg0 (6.9.5-3)
transitional package
libkido-gui0 (6.9.5-3)
transitional package
libkido-optimizer-ipopt-dev (6.9.5-3)
transitional package
libkido-optimizer-ipopt0 (6.9.5-3)
transitional package
libkido-optimizer-nlopt-dev (6.9.5-3)
transitional package
libkido-optimizer-nlopt0 (6.9.5-3)
transitional package
libkido-planning-dev (6.9.5-3)
transitional package
libkido-planning0 (6.9.5-3)
transitional package
libkido-utils-dev (6.9.5-3)
transitional package
libkido-utils0 (6.9.5-3)
transitional package
libkido0 (6.9.5-3)
transitional package
libkim-api-dev (2.2.1-1)
Development files for KIM-API
libkim-api-doc (2.2.1-1)
Documentation and examples for KIM-API
libkim-api2 (2.2.1-1)
Shared library for KIM-API
libkimageannotator-common (0.4.0-2)
Image Annotating Library (common data files)
libkimageannotator-dev (0.4.0-2)
Image Annotating Library (dev)
libkimageannotator0 (0.4.0-2)
Image Annotating Library (lib)
libkinosearch1-perl (1.01-4+b5)
Perl library providing search engine features
libkissfft-dev (131.1.0-1)
Mixed-radix Fast Fourier Transform library (development tools)
libkissfft-float131 (131.1.0-1)
Mixed-radix Fast Fourier Transform library
libkitchensink-clojure (3.1.1-3)
utility library for Puppet Labs clojure projects
libkiwix-dev (9.4.1+dfsg-1)
library of common code for Kiwix (development)
libkiwix9 (9.4.1+dfsg-1)
library of common code for Kiwix
libkkc-common (0.3.5-7)
Japanese Kana Kanji input library - common data
libkkc-data (0.2.7-4)
language model data for libkkc
libkkc-dev (0.3.5-7)
Japanese Kana Kanji input library - development files
libkkc-utils (0.3.5-7)
Japanese Kana Kanji input library - testing utility
libkkc2 (0.3.5-7)
Japanese Kana Kanji input library
libklatexformula4 (4.1.0-1)
Runtime libraries for klatexformula
libklatexformula4-dev (4.1.0-1)
Runtime libraries for klatexformula, development files
libklatexformula4-doc (4.1.0-1)
Runtime libraries for klatexformula, API documentation
libklibc (2.0.8-6.1)
minimal libc subset for use with initramfs
libklibc-dev (2.0.8-6.1)
kernel headers used during the build of klibc
libklu1 (1:5.8.1+dfsg-2)
circuit simulation sparse LU factorization library
libkmc-dev (3.1.1+dfsg-3+b2)
library to access KMC k-mer count files
libkmer-dev (0~20150903+r2013-8+b1)
suite of tools for DNA sequence analysis (development lib)
libkmfl-dev (11.0.101-1)
This package provides Keyman(C) services to Linux - development
libkmfl0 (11.0.101-1)
This package provides Keyman(C) services to Linux - runtime
libkmflcomp-dev (11.0.101-1)
Development files for libkmflcomp
libkmflcomp0 (11.0.101-1)
KMFL (Keyboard Mapping for Linux) compiler library
libkml-dev (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - development files
libkmlbase1 (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - libkmlbase
libkmlconvenience1 (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - libkmlconvenience
libkmldom1 (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - libkmldom
libkmlengine1 (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - libkmlengine
libkmlframework-java (0.0.git20150825.a2e0518-1)
library/framework for generating Google Earth KML
libkmlregionator1 (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - libkmlregionator
libkmlxsd1 (1.3.0-9)
Library to manipulate KML 2.2 OGC standard files - libkmlxsd
libkmnkbp-dev (11.0.101-3)
Development files for Keyman keyboard processing library
libkmnkbp0-0 (11.0.101-3)
Keyman keyboard processing library
libkmod-dev (28-1)
libkmod development files
libkmod2 (28-1)
libkmod shared library
libkmod2-udeb (28-1)
libkmod shared library
libknet-dev (1.20-4)
kronosnet core switching implementation (developer files)
libknet-doc (1.20-4)
kronosnet core API documentation
libknet1 (1.20-4)
kronosnet core switching implementation
libknopflerfish-osgi-framework-java (6.1.1-3.1)
Java framework implementing the OSGi R6 version
libknot-dev (3.0.5-1+deb11u1)
Knot DNS shared library development files
libknot11 (3.0.5-1+deb11u1)
Authoritative domain name server (shared library)
libkokyu-6.5.12 (6.5.12+dfsg-3)
ACE scheduling and dispatching library
libkokyu-dev (6.5.12+dfsg-3)
ACE scheduling and dispatching library - development files
libkolabxml-dev (1.2.0-2)
Development files for libkolabxml
libkolabxml1v5 (1.2.0-2)
Kolab XML format (shared library)
libkomparediff2-5 (4:20.12.0-2)
library to compare files and strings
libkomparediff2-dev (4:20.12.0-2)
library to compare files and strings - development files
libkompareinterface-dev (4:20.12.0-2)
file difference viewer - kpart interface library development files
libkompareinterface5 (4:20.12.0-2)
file difference viewer - kpart interface library
libkopenafs2 (1.8.6-5)
AFS distributed file system runtime library (PAGs)
libkpathsea-dev (2020.20200327.54578-7+deb11u1)
TeX Live: path search library for TeX (development part)
libkpathsea6 (2020.20200327.54578-7+deb11u1)
TeX Live: path search library for TeX (runtime part)
libkpimgapi-data (20.08.3-1)
Google API library for KDE -- data files
libkpimgapi-dev (20.08.3-1)
Google API library for KDE -- development files
libkpimgapiblogger5-20.08
本虛擬套件由這些套件填實: libkpimgapiblogger5abi1
libkpimgapiblogger5abi1 (20.08.3-1)
library to integrate with Google Blogger service API
libkpimgapicalendar5 (20.08.3-1)
library to integrate with Google Calendar service API
libkpimgapicalendar5-20.08
本虛擬套件由這些套件填實: libkpimgapicalendar5
libkpimgapicontacts5 (20.08.3-1)
library to integrate with Google Contacts service API
libkpimgapicontacts5-20.08
本虛擬套件由這些套件填實: libkpimgapicontacts5
libkpimgapicore5-20.08
本虛擬套件由這些套件填實: libkpimgapicore5abi1
libkpimgapicore5abi1 (20.08.3-1)
core library to integrate with Google service APIs
libkpimgapidrive5 (20.08.3-1)
library to integrate with Google Drive service API
libkpimgapidrive5-20.08
本虛擬套件由這些套件填實: libkpimgapidrive5
libkpimgapilatitude5 (20.08.3-1)
library to integrate with Google Latitude service API
libkpimgapilatitude5-20.08
本虛擬套件由這些套件填實: libkpimgapilatitude5
libkpimgapimaps5 (20.08.3-1)
library to integrate with Google Maps service API
libkpimgapimaps5-20.08
本虛擬套件由這些套件填實: libkpimgapimaps5
libkpimgapitasks5 (20.08.3-1)
library to integrate with Google Tasks service API
libkpimgapitasks5-20.08
本虛擬套件由這些套件填實: libkpimgapitasks5
libkpimimportwizard-dev (4:20.08.3-1)
PIM data import wizard - devel files
libkpimimportwizard5 (4:20.08.3-1)
PIM data import wizard - library
libkpimimportwizard5-20.08
本虛擬套件由這些套件填實: libkpimimportwizard5
libkpimitinerary-bin (20.08.3-3+b1)
library for Travel Reservation information - binaries
libkpimitinerary-data (20.08.3-3)
library for Travel Reservation information - arch independent data
libkpimitinerary-dev (20.08.3-3+b1)
library for Travel Reservation information - development files
libkpimitinerary5 (20.08.3-3+b1)
library for Travel Reservation information
libkpimitinerary5-20.08
本虛擬套件由這些套件填實: libkpimitinerary5
libkpimpkpass-dev (20.08.3-1)
library for Apple Wallet Pass reader - development files
libkpimpkpass5 (20.08.3-1)
library for Apple Wallet Pass reader
libkpimpkpass5-20.08
本虛擬套件由這些套件填實: libkpimpkpass5
libkpimsmtp-data (20.08.3-1)
library for handling SMTP data - arch independent files
libkpimsmtp-dev (20.08.3-1)
library for handling SMTP data - devel files
libkpimsmtp5-20.08
本虛擬套件由這些套件填實: libkpimsmtp5abi1
libkpimsmtp5abi1 (20.08.3-1)
library for handling SMTP data
libkpmcore-dev (20.12.3-2)
KDE Partition Manager Core development files
libkpmcore10 (20.12.3-2)
KDE Partition Manager Core
libkproperty-data (3.2.0-2)
data files for KProperty
libkproperty3-dev (3.2.0-2)
development files for KProperty
libkpropertycore3-4 (3.2.0-2)
property editing framework -- core library
libkpropertywidgets3-4 (3.2.0-2)
property editing framework -- widgets library
libkrad-dev (1.18.3-6+deb11u4)
MIT Kerberos RADIUS Library Development
libkrad0 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - RADIUS library
libkrb5-26-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - libraries
libkrb5-3 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries
libkrb5-dbg (1.18.3-6+deb11u4)
debugging files for MIT Kerberos
libkrb5-dev (1.18.3-6+deb11u4)
headers and development libraries for MIT Kerberos
libkrb5support0 (1.18.3-6+deb11u4)
MIT Kerberos runtime libraries - Support library
libkreport-data (3.2.0-3)
data files for KReport
libkreport3-4 (3.2.0-3)
report creation and generation framework -- shared library
libkreport3-dev (3.2.0-3)
development files for KReport
libkreport3-plugin-barcode (3.2.0-3)
barcode plugin for KReport
libkreport3-plugin-maps (3.2.0-3)
maps plugin for KReport
libkreport3-plugin-web (3.2.0-3)
web plugin for KReport
libkryo-java (2.20-6.2)
object graph serialization framework for Java
libkryo-java-doc (2.20-6.2)
object graph serialization framework for Java - doc
libksba-dev (1.5.0-3+deb11u2)
X.509 and CMS support library - development files
libksba-mingw-w64-dev (1.5.0-3+deb11u2)
X.509 and CMS support library (Windows development)
libksba8 (1.5.0-3+deb11u2)
X.509 and CMS support library
libkscreenlocker5 (5.20.5-1+deb11u1)
Secure lock screen architecture
libksgrd9 (4:5.20.5-1)
library for system monitoring - ksgrd shared library
libksignalplotter9 (4:5.20.5-1)
library for system monitoring - ksignalplotter shared library
libksysguardformatter1 (4:5.20.5-1)
library for system monitoring - ksysguardformatter shared library
libksysguardsensorfaces1 (4:5.20.5-1)
library for system monitoring - ksysguardsensorfaces shared library
libksysguardsensors1 (4:5.20.5-1)
library for system monitoring - ksysguardsensors shared library
libktoblzcheck1-dev (1.53-2)
library for verification of account numbers and bank codes (development files)
libktoblzcheck1v5 (1.53-2)
library for verification of account numbers and bank codes
libktorrent-dev (2.2.0-3)
development files for the KTorrent Library
libktorrent-l10n (2.2.0-3)
localization files for the KTorrent library
libktp-dev (20.08.0-1)
KDE Telepathy internal library - Development headers
libktpcommoninternals9abi1 (20.08.0-1)
KDE Telepathy common internal library
libktplogger9abi1 (20.08.0-1)
KDE Telepathy internal logger library
libktpmodels9abi1 (20.08.0-1)
KDE Telepathy data models internal library
libktpotr9 (20.08.0-1)
KDE Telepathy internal OTR library
libktpwidgets9 (20.08.0-1)
KDE Telepathy common internal widgets library
libkuserfeedbackcore1 (1.0.0-3)
user feedback for applications - core library
libkuserfeedbackwidgets1 (1.0.0-3)
user feedback for applications - widgets library
libkvilib5 (4:5.0.0+dfsg-5)
KVIrc (IRC client) base library
libkwalletbackend5-5 (5.78.0-2)
Secure and unified container for user passwords.
libkwargs-perl (0.01-1.1)
Perl module for simple and clean handling of named arguments
libkwaylandserver-dev (5.20.5-1)
development files for kwaylandserver
libkwaylandserver5 (5.20.5-1)
Qt library wrapper for Wayland libraries
libkwaylandserver5-5.20
本虛擬套件由這些套件填實: libkwaylandserver5
libkwin4-effect-builtins1 (4:5.20.5-1)
KDE window manager effect builtins library
libkwineffects12a (4:5.20.5-1)
KDE window manager effects library
libkwinglutils12 (4:5.20.5-1)
KDE window manager gl utils library
libkwinxrenderutils12 (4:5.20.5-1)
KDE window manager render utils library
libkwnn-dev (1.1.1~a021+cvs20130302-7+b2)
Header files and static library for kWnn (FreeWnn kserver)
libkwnn0 (1.1.1~a021+cvs20130302-7+b2)
FreeWnn library for kWnn (FreeWnn kserver)
libkworkspace5-5 (4:5.20.5-6)
Plasma Workspace for KF5 library
libkxl0 (1.1.7-17)
multimedia library for game development
libkxl0-dev (1.1.7-17)
development files for libkxl0
libkxml2-java (2.3.0+ds1-2.1)
small XML parser, designed for small environments
libkxml2-java-doc (2.3.0+ds1-2.1)
small XML parser, designed for small environments - docs
libkyocabinet-dbg
本虛擬套件由這些套件填實: libkyotocabinet16v5-dbg
libkyotocabinet-dev (1.2.76-4.2+b1)
Straightforward implementation of DBM - development headers
libkyotocabinet16-dev
本虛擬套件由這些套件填實: libkyotocabinet-dev
libkyotocabinet16v5 (1.2.76-4.2+b1)
Straightforward implementation of DBM - shared library
libkyotocabinet16v5-dbg (1.2.76-4.2+b1)
Straightforward implementation of DBM - debugging symbols
libla4j-java (0.6.0-2)
Linear Algebra for Java
liblab-gamut1 (2.42.2-5)
rich set of graph drawing tools - liblab_gamut library
liblablgl-ocaml (1:1.06-1+b3)
Runtime libraries for lablgl
liblablgl-ocaml-18pu1
本虛擬套件由這些套件填實: liblablgl-ocaml
liblablgl-ocaml-7p7x7
本虛擬套件由這些套件填實: liblablgl-ocaml
liblablgl-ocaml-dev (1:1.06-1+b3)
OpenGL interface for Objective Caml
liblablgl-ocaml-dev-18pu1
本虛擬套件由這些套件填實: liblablgl-ocaml-dev
liblablgl-ocaml-dev-7p7x7
本虛擬套件由這些套件填實: liblablgl-ocaml-dev
liblablgl-ocaml-dev-rpo86
本虛擬套件由這些套件填實: liblablgl-ocaml-dev
liblablgl-ocaml-dev-w3xp4
本虛擬套件由這些套件填實: liblablgl-ocaml-dev
liblablgl-ocaml-rpo86
本虛擬套件由這些套件填實: liblablgl-ocaml
liblablgl-ocaml-w3xp4
本虛擬套件由這些套件填實: liblablgl-ocaml
liblablgtk-extras-ocaml-dev (1.6-2+b1)
collection of modules for OCaml/LablGtk2 applications
liblablgtk-extras-ocaml-dev-58h89
本虛擬套件由這些套件填實: liblablgtk-extras-ocaml-dev
liblablgtk-extras-ocaml-dev-dg1u6
本虛擬套件由這些套件填實: liblablgtk-extras-ocaml-dev
liblablgtk-extras-ocaml-dev-ltju7
本虛擬套件由這些套件填實: liblablgtk-extras-ocaml-dev
liblablgtk-extras-ocaml-dev-ymu46
本虛擬套件由這些套件填實: liblablgtk-extras-ocaml-dev
liblablgtk-extras-ocaml-doc (1.6-2)
collection of modules for OCaml/LablGtk2 applications (documentation)
liblablgtk2-gl-ocaml (2.18.11-1+b1)
runtime libraries for OCaml bindings to GtkGL
liblablgtk2-gl-ocaml-atnn3
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml
liblablgtk2-gl-ocaml-ckm38
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml
liblablgtk2-gl-ocaml-dev (2.18.11-1+b1)
OCaml bindings to GtkGL
liblablgtk2-gl-ocaml-dev-atnn3
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml-dev
liblablgtk2-gl-ocaml-dev-ckm38
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml-dev
liblablgtk2-gl-ocaml-dev-gege2
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml-dev
liblablgtk2-gl-ocaml-dev-hmno5
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml-dev
liblablgtk2-gl-ocaml-gege2
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml
liblablgtk2-gl-ocaml-hmno5
本虛擬套件由這些套件填實: liblablgtk2-gl-ocaml
liblablgtk2-gnome-ocaml (2.18.11-1+b1)
runtime libraries for OCaml bindings to GNOME
liblablgtk2-gnome-ocaml-dev (2.18.11-1+b1)
OCaml bindings to GNOME
liblablgtk2-gnome-ocaml-dev-g8ns9
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml-dev
liblablgtk2-gnome-ocaml-dev-j1567
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml-dev
liblablgtk2-gnome-ocaml-dev-kndq5
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml-dev
liblablgtk2-gnome-ocaml-dev-npjp4
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml-dev
liblablgtk2-gnome-ocaml-g8ns9
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml
liblablgtk2-gnome-ocaml-j1567
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml
liblablgtk2-gnome-ocaml-kndq5
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml
liblablgtk2-gnome-ocaml-npjp4
本虛擬套件由這些套件填實: liblablgtk2-gnome-ocaml
liblablgtk2-ocaml (2.18.11-1+b1)
runtime libraries for OCaml bindings for GTK+ version 2
liblablgtk2-ocaml-dev (2.18.11-1+b1)
OCaml bindings to Gtk+ version 2
liblablgtk2-ocaml-dev-ea813
本虛擬套件由這些套件填實: liblablgtk2-ocaml-dev
liblablgtk2-ocaml-dev-gd1i2
本虛擬套件由這些套件填實: liblablgtk2-ocaml-dev
liblablgtk2-ocaml-dev-gexx4
本虛擬套件由這些套件填實: liblablgtk2-ocaml-dev
liblablgtk2-ocaml-dev-te9m7
本虛擬套件由這些套件填實: liblablgtk2-ocaml-dev
liblablgtk2-ocaml-doc (2.18.11-1)
OCaml bindings to Gtk+ version 2 (documentation)
liblablgtk2-ocaml-ea813
本虛擬套件由這些套件填實: liblablgtk2-ocaml
liblablgtk2-ocaml-gd1i2
本虛擬套件由這些套件填實: liblablgtk2-ocaml
liblablgtk2-ocaml-gexx4
本虛擬套件由這些套件填實: liblablgtk2-ocaml
liblablgtk2-ocaml-te9m7
本虛擬套件由這些套件填實: liblablgtk2-ocaml
liblablgtk3-ocaml (3.1.1+official-1+b1)
OCaml bindings to Gtk+ version 3 (runtime)
liblablgtk3-ocaml-0kl61
本虛擬套件由這些套件填實: liblablgtk3-ocaml
liblablgtk3-ocaml-dev (3.1.1+official-1+b1)
OCaml bindings to Gtk+ version 3 (development files)
liblablgtk3-ocaml-dev-0kl61
本虛擬套件由這些套件填實: liblablgtk3-ocaml-dev
liblablgtk3-ocaml-dev-hdqe0
本虛擬套件由這些套件填實: liblablgtk3-ocaml-dev
liblablgtk3-ocaml-dev-izdy8
本虛擬套件由這些套件填實: liblablgtk3-ocaml-dev
liblablgtk3-ocaml-dev-x0f80
本虛擬套件由這些套件填實: liblablgtk3-ocaml-dev
liblablgtk3-ocaml-doc (3.1.1+official-1)
OCaml bindings to Gtk+ version 3 (documentation)
liblablgtk3-ocaml-hdqe0
本虛擬套件由這些套件填實: liblablgtk3-ocaml
liblablgtk3-ocaml-izdy8
本虛擬套件由這些套件填實: liblablgtk3-ocaml
liblablgtk3-ocaml-x0f80
本虛擬套件由這些套件填實: liblablgtk3-ocaml
liblablgtkmathview-ocaml (0.7.8-7+b4)
OCaml bindings for libgtkmathview (runtime)
liblablgtkmathview-ocaml-6yy48
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml
liblablgtkmathview-ocaml-dev (0.7.8-7+b4)
OCaml bindings for libgtkmathview, a GTK widget to render MathML
liblablgtkmathview-ocaml-dev-6yy48
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml-dev
liblablgtkmathview-ocaml-dev-pih67
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml-dev
liblablgtkmathview-ocaml-dev-qiy19
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml-dev
liblablgtkmathview-ocaml-dev-rgnp2
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml-dev
liblablgtkmathview-ocaml-pih67
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml
liblablgtkmathview-ocaml-qiy19
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml
liblablgtkmathview-ocaml-rgnp2
本虛擬套件由這些套件填實: liblablgtkmathview-ocaml
liblablgtksourceview3-ocaml (3.1.1+official-1+b1)
OCaml bindings for libgtksourceview3 (runtime)
liblablgtksourceview3-ocaml-0eup3
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml
liblablgtksourceview3-ocaml-5j5o8
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml
liblablgtksourceview3-ocaml-dev (3.1.1+official-1+b1)
OCaml bindings for libgtksourceview3 (development files)
liblablgtksourceview3-ocaml-dev-0eup3
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml-dev
liblablgtksourceview3-ocaml-dev-5j5o8
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml-dev
liblablgtksourceview3-ocaml-dev-m95m5
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml-dev
liblablgtksourceview3-ocaml-dev-nyu15
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml-dev
liblablgtksourceview3-ocaml-m95m5
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml
liblablgtksourceview3-ocaml-nyu15
本虛擬套件由這些套件填實: liblablgtksourceview3-ocaml
liblablgtkspell3-ocaml (3.1.1+official-1+b1)
OCaml bindings for libgtkspell3 (runtime)
liblablgtkspell3-ocaml-1neo3
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml
liblablgtkspell3-ocaml-1wus8
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml
liblablgtkspell3-ocaml-63qs2
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml
liblablgtkspell3-ocaml-dev (3.1.1+official-1+b1)
OCaml bindings for libgtkspell3 (development files)
liblablgtkspell3-ocaml-dev-1neo3
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml-dev
liblablgtkspell3-ocaml-dev-1wus8
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml-dev
liblablgtkspell3-ocaml-dev-63qs2
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml-dev
liblablgtkspell3-ocaml-dev-r3r49
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml-dev
liblablgtkspell3-ocaml-r3r49
本虛擬套件由這些套件填實: liblablgtkspell3-ocaml
liblabltk-ocaml (8.06.9-1+b1)
OCaml bindings to Tcl/Tk (runtime libraries)
liblabltk-ocaml-9oa47
本虛擬套件由這些套件填實: liblabltk-ocaml
liblabltk-ocaml-bkc57
本虛擬套件由這些套件填實: liblabltk-ocaml
liblabltk-ocaml-dev (8.06.9-1+b1)
OCaml bindings to Tcl/Tk (dev. libraries)
liblabltk-ocaml-dev-9oa47
本虛擬套件由這些套件填實: liblabltk-ocaml-dev
liblabltk-ocaml-dev-bkc57
本虛擬套件由這些套件填實: liblabltk-ocaml-dev
liblabltk-ocaml-dev-k63w6
本虛擬套件由這些套件填實: liblabltk-ocaml-dev
liblabltk-ocaml-dev-wya19
本虛擬套件由這些套件填實: liblabltk-ocaml-dev
liblabltk-ocaml-k63w6
本虛擬套件由這些套件填實: liblabltk-ocaml
liblabltk-ocaml-wya19
本虛擬套件由這些套件填實: liblabltk-ocaml
libladspa-ocaml (0.1.5-1+b1)
OCaml bindings for LADSPA -- runtime files
libladspa-ocaml-13rd4
本虛擬套件由這些套件填實: libladspa-ocaml
libladspa-ocaml-6i7v9
本虛擬套件由這些套件填實: libladspa-ocaml
libladspa-ocaml-dev (0.1.5-1+b1)
OCaml bindings for LADSPA -- development files
libladspa-ocaml-dev-13rd4
本虛擬套件由這些套件填實: libladspa-ocaml-dev
libladspa-ocaml-dev-6i7v9
本虛擬套件由這些套件填實: libladspa-ocaml-dev
libladspa-ocaml-dev-i6p96
本虛擬套件由這些套件填實: libladspa-ocaml-dev
libladspa-ocaml-dev-riv13
本虛擬套件由這些套件填實: libladspa-ocaml-dev
libladspa-ocaml-i6p96
本虛擬套件由這些套件填實: libladspa-ocaml
libladspa-ocaml-riv13
本虛擬套件由這些套件填實: libladspa-ocaml
liblaf-plugin-java (7.3+dfsg3-5)
Support for third-party components in Java look-and-feel libraries
liblaf-plugin-java-doc (7.3+dfsg3-5)
Support for third-party components in Java look-and-feel libraries (doc)
liblaf-widget-java (7.3+dfsg3-5)
Java widget toolbox for other look-and-feel libraries
liblaf-widget-java-doc (7.3+dfsg3-5)
Java widget toolbox for look-and-feel libraries - documentation
liblam4 (7.1.4-6.1)
Shared libraries used by LAM parallel programs
liblambda-term-ocaml (3.1.0-1+b2)
terminal manipulation library for OCaml (runtime)
liblambda-term-ocaml-9it00
本虛擬套件由這些套件填實: liblambda-term-ocaml
liblambda-term-ocaml-dev (3.1.0-1+b2)
terminal manipulation library for OCaml (development tools)
liblambda-term-ocaml-dev-9it00
本虛擬套件由這些套件填實: liblambda-term-ocaml-dev
liblambda-term-ocaml-dev-ehv58
本虛擬套件由這些套件填實: liblambda-term-ocaml-dev
liblambda-term-ocaml-dev-tuy55
本虛擬套件由這些套件填實: liblambda-term-ocaml-dev
liblambda-term-ocaml-dev-y03q5
本虛擬套件由這些套件填實: liblambda-term-ocaml-dev
liblambda-term-ocaml-ehv58
本虛擬套件由這些套件填實: liblambda-term-ocaml
liblambda-term-ocaml-tuy55
本虛擬套件由這些套件填實: liblambda-term-ocaml
liblambda-term-ocaml-y03q5
本虛擬套件由這些套件填實: liblambda-term-ocaml
liblammps-dev (20210122~gita77bb+ds1-2+b1)
Molecular Dynamics Simulator (dev files)
liblammps0 (20210122~gita77bb+ds1-2+b1)
Molecular Dynamics Simulator (shared library)
liblangtag-common (0.6.3-2)
library to access tags for identifying languages -- data
liblangtag-dev (0.6.3-2)
library to access tags for identifying languages -- development
liblangtag-doc (0.6.3-2)
library to access tags for identifying languages -- documentation
liblangtag-gobject0 (0.6.3-2)
library to access tags for identifying languages
liblangtag1 (0.6.3-2)
library to access tags for identifying languages
liblapack-dev (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - static version
liblapack-doc (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - documentation
liblapack-pic (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - transitional
liblapack-test (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - testing programs
liblapack.so
本虛擬套件由這些套件填實: libopenblas-serial-dev, libatlas-base-dev, liblapack-dev, libopenblas-openmp-dev, libopenblas-pthread-dev
liblapack.so.3
本虛擬套件由這些套件填實: libatlas3-base, libopenblas0-pthread, liblapack3, libopenblas0-serial, libopenblas0-openmp
liblapack3 (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - shared version
liblapack64-3 (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - shared version (64bit-index)
liblapack64-dev (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - static version (64bit-index)
liblapack64-test (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - testing programs (64bit-index)
liblapack64.so
本虛擬套件由這些套件填實: libopenblas64-serial-dev, libopenblas64-openmp-dev, libopenblas64-pthread-dev, liblapack64-dev
liblapack64.so.3
本虛擬套件由這些套件填實: liblapack64-3, libopenblas64-0-openmp, libopenblas64-0-pthread, libopenblas64-0-serial
liblapacke (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - C lib shared version
liblapacke-dev (3.9.0-3+deb11u1)
Library of linear algebra routines 3 () - Headers
liblapacke.so.3
本虛擬套件由這些套件填實: liblapacke
liblapacke64 (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - C lib shared version (64bit-index)
liblapacke64-dev (3.9.0-3+deb11u1)
Library of linear algebra routines 3 - Headers (64bit-index)
liblapacke64.so.3
本虛擬套件由這些套件填實: liblapacke64
liblaser-geometry-dev (1.6.5-3)
Robot OS laser geometry package - development files
liblaser-geometry0d (1.6.5-3)
Robot OS laser geometry package
liblasi-dev (1.1.0-2)
development files and documentation for the LASi library
liblasi0 (1.1.0-2)
creation of PostScript documents containing Unicode symbols
liblasso-dev
本虛擬套件由這些套件填實: liblasso3-dev
liblasso-perl (2.6.1-3)
Library for Liberty Alliance and SAML protocols - Perl bindings
liblasso3 (2.6.1-3)
Library for Liberty Alliance and SAML protocols - runtime library
liblasso3-dev (2.6.1-3)
Library for Liberty Alliance and SAML protocols - development kit
liblastfm-fingerprint5-1 (1.0.9-1.1)
Last.fm fingerprinting library (Qt5 build)
liblastfm-fingerprint5-dbg (1.0.9-1.1)
Debugging symbols for the fingerprint library (Qt5 build)
liblastfm-java (1:0.1.0-2.1)
last.fm API bindings for Java
liblastfm-ocaml-dev (0.3.2-1+b5)
OCaml interface to audioscrobbler and lastfm radios
liblastfm-ocaml-dev-2nex0
本虛擬套件由這些套件填實: liblastfm-ocaml-dev
liblastfm-ocaml-dev-7y3z9
本虛擬套件由這些套件填實: liblastfm-ocaml-dev
liblastfm-ocaml-dev-smm20
本虛擬套件由這些套件填實: liblastfm-ocaml-dev
liblastfm-ocaml-dev-uov62
本虛擬套件由這些套件填實: liblastfm-ocaml-dev
liblastfm5-1 (1.0.9-1.1)
Last.fm web services library (Qt5 build)
liblastfm5-dbg (1.0.9-1.1)
Debugging symbols for the Last.fm web services library (Qt5 build)
liblastfm5-dev (1.0.9-1.1)
Last.fm web services library (Qt5 build) - development files
liblaszip-api8 (3.4.3-2)
Lossless LiDAR compression - API shared library
liblaszip-dev (3.4.3-2)
Lossless LiDAR compression - development files
liblaszip8 (3.4.3-2)
Lossless LiDAR compression - shared library
liblatex-decode-perl (0.05-1.1)
Perl module to convert LaTeX input to Unicode
liblatex-driver-perl (1.2.0-1)
driver module that encapsulates the details of formatting a LaTeX document
liblatex-encode-perl (0.092.0-1)
Perl module to encode characters for LaTeX formatting
liblatex-table-perl (1.0.6-3.1)
Perl extension for the automatic generation of LaTeX tables
liblatex-tom-perl (1.03-1)
module for parsing, analyzing and manipulating LaTeX documents
liblavfile-2.1-0 (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (library)
liblavjpeg-2.1-0 (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (library)
liblavplay-2.1-0 (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (library)
liblaxjson-dev (1.0.5-3)
relaxed streaming JSON parser library (development files)
liblaxjson1 (1.0.5-3)
relaxed streaming JSON parser library
liblayout-java (0.2.10-3.1)
Java layouting framework
liblayout-java-doc (0.2.10-3.1)
Java layouting framework -- documentation
liblayout-manager-perl (0.35-1.1)
module for managing layout of graphical components
liblazymap-clojure (3.1.1-2)
transparent wrapper around Clojure's map types
liblbfgs-dev (1.10-6+b11)
L-BFGS solver for unconstrained nonlinear optimization problems
liblbfgs0 (1.10-6+b11)
L-BFGS solver for unconstrained nonlinear optimization problems
liblbfgs0-dbg (1.10-6+b11)
L-BFGS solver for unconstrained nonlinear optimization problems
liblbfgsb-dev (3.0+dfsg.3-9)
Limited-memory quasi-Newton bound-constrained optimization (static library)
liblbfgsb-doc (3.0+dfsg.3-9)
Limited-memory quasi-Newton bound-constrained optimization (documentation)
liblbfgsb-examples (3.0+dfsg.3-9)
Limited-memory quasi-Newton bound-constrained optimization (examples)
liblbfgsb0 (3.0+dfsg.3-9)
Limited-memory quasi-Newton bound-constrained optimization
liblcas-dev (1.3.19-2.1)
Local Centre Authorization Service development files
liblcas0 (1.3.19-2.1)
Local Centre Authorization Service runtime
liblchown-perl (1.01-3+b7)
Perl interface to the lchown() system call
liblcm-bin (1.3.1+repack1-2.3)
Lightweight Communications and Marshalling
liblcm-dev (1.3.1+repack1-2.3)
Lightweight Communications and Marshalling
liblcm-doc (1.3.1+repack1-2.3)
Lightweight Communications and Marshalling
liblcm-java (1.3.1+repack1-2.3)
Lightweight Communications and Marshalling
liblcm-lua (1.3.1+repack1-2.3)
Lightweight Communications and Marshalling
liblcm1 (1.3.1+repack1-2.3)
Lightweight Communications and Marshalling
liblcmaps-dev (1.6.6-2.1)
LCMAPS development libraries
liblcmaps-without-gsi-dev (1.6.6-2.1)
LCMAPS development libraries (Without GSI)
liblcmaps-without-gsi0 (1.6.6-2.1)
Grid mapping service without GSI
liblcmaps0 (1.6.6-2.1)
Grid (X.509) and VOMS credentials to local account mapping service
liblcms-dev
本虛擬套件由這些套件填實: liblcms2-dev
liblcms2-2 (2.12~rc1-2)
Little CMS 2 color management library
liblcms2-dev (2.12~rc1-2)
Little CMS 2 color management library development headers
liblcms2-utils (2.12~rc1-2)
Little CMS 2 color management library (utilities)
libldacbt-abr-dev (2.0.2.3+git20200429+ed310a0-4)
LDAC Bluetooth ABR library (development)
libldacbt-abr2 (2.0.2.3+git20200429+ed310a0-4)
LDAC Bluetooth ABR library (shared library)
libldacbt-enc-dev (2.0.2.3+git20200429+ed310a0-4)
LDAC Bluetooth encoder library (development)
libldacbt-enc2 (2.0.2.3+git20200429+ed310a0-4)
LDAC Bluetooth encoder library (shared library)
libldap-2.4-2 (2.4.57+dfsg-3+deb11u1)
OpenLDAP 函式庫
libldap-common (2.4.57+dfsg-3+deb11u1)
OpenLDAP common files for libraries
libldap-dev
本虛擬套件由這些套件填實: libldap2-dev
libldap-java (4.21.0+dfsg1-1)
Netscape Directory SDK for Java
libldap-ocaml-dev (2.4.1-1+b1)
LDAP bindings for OCaml
libldap-ocaml-dev-1yh29
本虛擬套件由這些套件填實: libldap-ocaml-dev
libldap-ocaml-dev-qbru8
本虛擬套件由這些套件填實: libldap-ocaml-dev
libldap-ocaml-dev-tt9l8
本虛擬套件由這些套件填實: libldap-ocaml-dev
libldap-ocaml-dev-xfmj8
本虛擬套件由這些套件填實: libldap-ocaml-dev
libldap2-dev (2.4.57+dfsg-3+deb11u1)
OpenLDAP development libraries
libldb-dev (2:2.2.3-2~deb11u2)
LDAP-like embedded database - development files
libldb2 (2:2.2.3-2~deb11u2)
LDAP-like embedded database - shared library
libldl2 (1:5.8.1+dfsg-2)
simple LDL' factorization library for sparse matrices
libldm-1.0-0 (0.2.4-3)
library for managing Microsoft Windows dynamic disks
libldm-common-dev (0.1.0-8)
Lomiri Upload/Download Manager - development files
libldm-common0 (0.1.0-8)
Lomiri Upload/Download Manager - shared library
libldm-dev (0.2.4-3)
library for managing Microsoft Windows dynamic disks
libldm-priv-common0 (0.1.0-8)
Lomiri Upload/Download Manager - shared private library
libldns-dev (1.7.1-2+b1)
ldns library for DNS programming
libldns3 (1.7.1-2+b1)
ldns library for DNS programming
libleatherman-dev (1.12.1+dfsg-1.1)
collection of C++ and CMake utility libraries - development files
libleatherman1.12.1 (1.12.1+dfsg-1.1)
collection of C++ and CMake utility libraries - shared libraries
libledit-ocaml-dev (2.04-5)
OCaml line editor library
libledit-ocaml-dev-hi428
本虛擬套件由這些套件填實: libledit-ocaml-dev
libledit-ocaml-dev-j70b6
本虛擬套件由這些套件填實: libledit-ocaml-dev
libledit-ocaml-dev-jbpp1
本虛擬套件由這些套件填實: libledit-ocaml-dev
libledit-ocaml-dev-soar6
本虛擬套件由這些套件填實: libledit-ocaml-dev
liblemon-dev (1.3.1+dfsg-4)
Library for Efficient Modeling and Optimization in Networks (development)
liblemon-doc (1.3.1+dfsg-4)
Library for Efficient Modeling and Optimization in Networks (doc)
liblemon-utils (1.3.1+dfsg-4)
Library for Efficient Modeling and Optimization in Networks (utilities)
liblemon1.3.1 (1.3.1+dfsg-4)
Library for Efficient Modeling and Optimization in Networks
liblemonldap-ng-common-perl (2.0.11+ds-4+deb11u5)
Lemonldap::NG common files
liblemonldap-ng-handler-perl (2.0.11+ds-4+deb11u5)
Lemonldap::NG handler common libraries
liblemonldap-ng-manager-perl (2.0.11+ds-4+deb11u5)
Lemonldap::NG manager part
liblemonldap-ng-portal-perl (2.0.11+ds-4+deb11u5)
Lemonldap::NG authentication portal part
liblensfun-bin (0.3.2-6)
Lens Correction library - Utilities
liblensfun-data-v1 (0.3.2-6)
Lens Correction library - Data
liblensfun-dev (0.3.2-6)
Lens Correction library - Development files
liblensfun-doc (0.3.2-6)
Lens Correction library - Documentation
liblensfun1 (0.3.2-6)
Lens Correction library - Runtime files
liblept5 (1.79.0-1.1+deb11u1)
image processing library
libleptonica-dev (1.79.0-1.1+deb11u1)
image processing library
libleveldb-api-java (0.7-2)
High level Java API for LevelDB
libleveldb-cil-dev (1.9.1-1.2)
portable C# binding for the C API of the LevelDB library - development files
libleveldb-dev (1.22-3)
fast key-value storage library (development files)
libleveldb-java (0.7-2)
Port of LevelDB to Java
libleveldb1.2-cil (1.9.1-1.2)
portable C# binding for the C API of the LevelDB library
libleveldb1d (1.22-3)
fast key-value storage library
liblexical-accessor-perl (0.013-2)
true private attributes for Moose/Moo/Mouse
liblexical-failure-perl (0.000007-2.1)
Perl module for user-selectable lexically-scoped failure signaling
liblexical-persistence-perl (1.023-1)
module for accessing persistent data through lexical variables
liblexical-sealrequirehints-perl (0.011-4+b2)
Perl module to prevent the leakage of lexical hints
liblexical-underscore-perl (0.004-1.1)
access your caller's lexical underscore
liblexical-var-perl (0.009-3+b6)
Perl module for using static variables without namespace pollution
liblfunction-dev (1.23+dfsg-11+b1)
development files for liblfunction
liblfunction0 (1.23+dfsg-11+b1)
library for calculating with L-functions
liblgooddatepicker-java (8.3.0+ds-1.1)
Java Swing Date Picker library.
liblgooddatepicker-java-doc (8.3.0+ds-1.1)
Documentation for the LGoodDatePicker library.
liblhasa-dev (0.3.1-3)
lzh decompression library - development files
liblhasa0 (0.3.1-3)
lzh archive decompression library
liblib-abs-perl (0.95-1)
module to make relative path absolute to caller
libliberator-clojure (0.15.3-1)
library for building RESTful applications
liblibrary-callnumber-lc-perl (0.23-1.1)
utility functions to deal with Library-of-Congress call numbers
liblibreoffice-java (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- Java library
liblibreofficekitgtk (1:7.0.4-4+deb11u8)
GTK3 widget wrapping LibreOffice functionality
liblief-dev (0.9.0-1+b12)
Library to Instrument Executable Formats -- development files
liblief0 (0.9.0-1+b12)
Library to Instrument Executable Formats
libliggghts-dev (3.8.0+repack1-7)
Open Source DEM Particle Simulation Software. Development files
libliggghts3 (3.8.0+repack1-7)
Open Source DEM Particle Simulation Software. Shared library
liblightcouch-java (0.0.6-1.1)
LightCouch - CouchDB Java API
liblightdm-gobject-1-0 (1.26.0-7)
simple display manager (GObject library)
liblightdm-gobject-dev (1.26.0-7)
simple display manager (GObject development files)
liblightdm-qt5-3-0 (1.26.0-7)
LightDM Qt 5 client library
liblightdm-qt5-3-dev (1.26.0-7)
LightDM Qt 5 client library (development files)
liblightvalue-generator-java (0.8.1-1.1)
Generator of lightweight Java value/model classes
liblightvalue-gradle-plugin-java (0.8.1-1.1)
Gradle plugin for LightValue generator
liblilv-0-0 (0.24.12-2)
library for simple use of LV2 plugins
liblilv-dev (0.24.12-2)
library for simple use of LV2 plugins (development files)
liblimesuite-dev (20.10.0+dfsg-2)
library to access LMS7 transceiver based hardware (development)
liblimesuite-doc (20.10.0+dfsg-2)
liblimesuite development documentation
liblimesuite20.10-1 (20.10.0+dfsg-2)
library to access LMS7 transceiver based hardware
liblinbox-1.6.3-0 (1.6.3-3)
Library for exact linear algebra - shared library
liblinbox-dev (1.6.3-3)
Library for exact linear algebra - development files
liblinbox-doc (1.6.3-3)
LinBox Documentation
liblinear-dev (2.3.0+dfsg-5)
Development libraries and header files for LIBLINEAR
liblinear-tools (2.3.0+dfsg-5)
Standalone applications for LIBLINEAR
liblinear4 (2.3.0+dfsg-5)
Library for Large Linear Classification
liblingot-dev (1.1.1-2)
musical instrument tuner -- development headers
liblingot0 (1.1.1-2)
musical instrument tuner -- shared library
liblingua-en-fathom-perl (1.22-1.1)
Perl module for measuring readability of English text
liblingua-en-findnumber-perl (1.32-1)
module for locating (written) numbers in English text
liblingua-en-hyphenate-perl
本虛擬套件由這些套件填實: libcoy-perl
liblingua-en-inflect-number-perl (1.12-1)
Perl module to force number of words to singular or plural
liblingua-en-inflect-perl (1.905-1)
Perl module to pluralize English words
liblingua-en-inflect-phrase-perl (0.20-1)
module for inflecting short English phrases
liblingua-en-namecase-perl (1.21-1)
Perl module to fix the case of people's names
liblingua-en-nameparse-perl (1.38-1)
module for parsing a person's name in free text
liblingua-en-number-isordinal-perl (0.05-1.1)
module for detecting English ordinal numbers
liblingua-en-numbers-ordinate-perl (1.05-1)
Perl module to convert from cardinal numbers to ordinal numbers
liblingua-en-sentence-perl (0.31-1.1)
Perl module to split text into sentences
liblingua-en-syllable-perl (0.300-1)
module to estimate syllable count in English words
liblingua-en-tagger-perl (0.31-1)
part-of-speech tagger for English natural language processing
liblingua-en-words2nums-perl (0.19-2.1)
convert English text to numbers
liblingua-es-numeros-perl (0.09-2.1)
Perl module to convert numbers to Spanish text
liblingua-ga-gramadoir-perl (0.7-4.1)
Irish language grammar checker
liblingua-identify-perl (0.56-1.1)
language identification module for perl
liblingua-ispell-perl (0.07-6.1)
Perl module encapsulating access to the ispell program
liblingua-preferred-perl (0.2.4-4.1)
Perl module which allows language content negotiation
liblingua-pt-stemmer-perl (0.02-1)
Portuguese language stemming
liblingua-sentence-perl (1.100-1.1)
Perl extension for breaking text paragraphs into sentences
liblingua-stem-fr-perl (0.02-2)
Perl French stemmer
liblingua-stem-it-perl (0.02-2)
Perl Italian stemmer
liblingua-stem-perl (2.30-1)
Stemming of words
liblingua-stem-ru-perl (0.04-2)
Porter's stemming algorithm for Russian (KOI8-R only)
liblingua-stem-snowball-da-perl (1.01-5)
Porters stemming algorithm for Denmark
liblingua-stem-snowball-perl (0.952-3+b6)
Perl interface to Snowball stemmers
liblingua-stopwords-perl (0.09-2)
Stop words for several languages
liblingua-translit-perl (0.28-1)
Perl module that transliterates text between writing systems
liblink-grammar-dev (5.8.1-1)
Carnegie Mellon University's link grammar parser (development headers)
liblink-grammar-java (5.8.1-1)
Carnegie Mellon University's link grammar parser (JNI library)
liblink-grammar5 (5.8.1-1)
Carnegie Mellon University's link grammar parser (libraries)
liblinphone++10 (4.4.21-2)
Linphone's shared library part (supporting the SIP protocol)
liblinphone-dev (4.4.21-2)
Linphone web phone's library - development files
liblinphone10 (4.4.21-2)
Linphone's shared library part (supporting the SIP protocol)
liblinux-acl-perl (0.05-1+b3)
Perl extension for reading and setting Access Control Lists for files
liblinux-distribution-packages-perl (0.05-3.1)
list all packages on various Linux distributions
liblinux-distribution-perl (0.23-1.1)
module for detecting the running Linux distribution
liblinux-dvb-perl (1.03-2+b3)
interface to (some parts of) the Linux DVB API
liblinux-epoll-perl (0.018-1)
perl epoll module for O(1) multiplexing
liblinux-fd-perl (0.011-2+b3)
Linux specific special filehandles
liblinux-inotify2-perl (1:2.2-2+b1)
scalable directory and file change notification
liblinux-io-prio-perl (0.03-2.1)
interface to Linux ioprio_set(2) and ioprio_get(2)
liblinux-kernelsort-perl (0.01-4)
Perl module for sorting Linux Kernel version strings
liblinux-lvm-perl (0.17-2.1)
Perl module to access LVM status information
liblinux-pid-perl (0.04-1+b9 [s390x], 0.04-1+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.04-1+b7 [mips64el, ppc64el])
wrapper around the getpid() and getppid() C functions
liblinux-prctl-perl (1.6.0-3+b6)
Perl extension for controlling process characteristics
liblinux-termios2-perl (0.01-2+b2 [amd64], 0.01-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, s390x])
Perl module for accessing the termios2 structure and ioctl
liblinux-usermod-perl (0.69-2.1)
module to modify user and group accounts
liblip-dev (2.0.0-1.2+b2)
reliable interpolation of multivariate scattered data
liblip2 (2.0.0-1.2+b2)
reliable interpolation of multivariate scattered data
libliquid-dev (1.3.2-2)
signal processing library for software defined radio (development files)
libliquid2d (1.3.2-2)
signal processing library for software defined radio
liblirc-client0 (0.10.1-6.3)
infra-red remote control support - client library
liblirc-dev (0.10.1-6.3)
Infra-red remote control support - development files
liblirc0 (0.10.1-6.3)
Infra-red remote control support - Run-time libraries
liblircclient-dev (0.10.1-6.3)
Transitional placeholder for obsoleted liblircclient-dev
liblircclient-dev
本虛擬套件由這些套件填實: liblirc-dev
liblircclient0 (0.10.1-6.3)
Transitional placeholder for obsoleted liblircclient0
liblist-allutils-perl (0.18-1)
Perl wrapper for modules List::Util and List::MoreUtils
liblist-compare-perl (0.55-1)
Perl module for comparing elements of two or more lists
liblist-maker-perl (0.005-2.1)
Perl module to generate more sophisticated lists than just $a..$b
liblist-moreutils-perl (0.430-2)
Perl module with additional list functions not found in List::Util
liblist-moreutils-xs-perl (0.430-2)
Perl module providing compiled List::MoreUtils functions
liblist-objects-withutils-perl (2.028003-2)
list objects, kitchen sink included
liblist-rotation-cycle-perl (1.009-1.1)
module that cycles through a list of values
liblist-someutils-perl (0.58-1)
module that provides the stuff missing in List::Util
liblist-someutils-xs-perl (0.58-2+b1)
module providing XS implementation for List::SomeUtils
liblist-utilsby-perl (0.11-1)
higher-order list utility functions
liblist-utilsby-xs-perl (0.05-1+b3 [amd64], 0.05-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
XS implementation of List::UtilsBy
liblistserialsj-dev (1.4.0-1+b1)
Development files for the listSerials library
liblistserialsj1 (1.4.0-1+b1)
Multiplatform program to list serial ports
liblitehtml-dev (0.5-3)
lightweight HTML rendering engine with CSS2/CSS3 support (development files)
liblitehtml0 (0.5-3)
lightweight HTML rendering engine with CSS2/CSS3 support
liblitl-dev (0.1.9-12)
Lightweight Trace Library - development files
liblitl0 (0.1.9-12)
Lightweight Trace Library - shared library
liblivejournal-perl (1.3-6.1)
Perl implementation of the LiveJournal protocol
liblivetribe-jsr223-java (2.0.6-2)
Implementation of JSR 223: Scripting for Java
liblizzie-java (0.7.4+dfsg1-2)
GUI for analyzing Go games in real time using Leela Zero
liblizzie-java-doc (0.7.4+dfsg1-2)
Documentation for liblizzie-java
liblld-11 (1:11.0.1-2)
LLVM-based linker, library
liblld-11-dev (1:11.0.1-2)
LLVM-based linker, header files
liblld-13 (1:13.0.1-6~deb11u1)
LLVM-based linker, library
liblld-13-dev (1:13.0.1-6~deb11u1)
LLVM-based linker, header files
liblld-16 (1:16.0.6-15~deb11u2)
LLVM-based linker, library
liblld-16-dev (1:16.0.6-15~deb11u2)
LLVM-based linker, header files
liblld-9 (1:9.0.1-16.1)
LLVM-based linker, library
liblld-9-dev (1:9.0.1-16.1)
LLVM-based linker, header files
liblldb-11 (1:11.0.1-2)
Next generation, high-performance debugger, library
liblldb-11-dev (1:11.0.1-2)
Next generation, high-performance debugger, header files
liblldb-13 (1:13.0.1-6~deb11u1)
Next generation, high-performance debugger, library
liblldb-13-dev (1:13.0.1-6~deb11u1)
Next generation, high-performance debugger, header files
liblldb-16 (1:16.0.6-15~deb11u2)
Next generation, high-performance debugger, library
liblldb-16-dev (1:16.0.6-15~deb11u2)
Next generation, high-performance debugger, header files
liblldb-9 (1:9.0.1-16.1)
Next generation, high-performance debugger, library
liblldb-9-dev (1:9.0.1-16.1)
Next generation, high-performance debugger, header files
liblldb-dev (1:11.0-51+nmu5)
Next generation, high-performance debugger, header files
liblldpctl-dev (1.0.11-1+deb11u2)
implementation of IEEE 802.1ab (LLDP) - development files
libllvm-11-ocaml-dev (1:11.0.1-2)
Modular compiler and toolchain technologies, OCaml bindings
libllvm-11-ocaml-dev-jfdm5
本虛擬套件由這些套件填實: libllvm-11-ocaml-dev
libllvm-11-ocaml-dev-jhm71
本虛擬套件由這些套件填實: libllvm-11-ocaml-dev
libllvm-11-ocaml-dev-xhm51
本虛擬套件由這些套件填實: libllvm-11-ocaml-dev
libllvm-13-ocaml-dev (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, OCaml bindings
libllvm-13-ocaml-dev-a8fk6
本虛擬套件由這些套件填實: libllvm-13-ocaml-dev
libllvm-13-ocaml-dev-d3wv2
本虛擬套件由這些套件填實: libllvm-13-ocaml-dev
libllvm-13-ocaml-dev-z1r77
本虛擬套件由這些套件填實: libllvm-13-ocaml-dev
libllvm-16-ocaml-dev (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, OCaml bindings
libllvm-9-ocaml-dev (1:9.0.1-16.1)
Modular compiler and toolchain technologies, OCaml bindings
libllvm-9-ocaml-dev-ay533
本虛擬套件由這些套件填實: libllvm-9-ocaml-dev
libllvm-9-ocaml-dev-cv463
本虛擬套件由這些套件填實: libllvm-9-ocaml-dev
libllvm-9-ocaml-dev-yi656
本虛擬套件由這些套件填實: libllvm-9-ocaml-dev
libllvm-9-ocaml-dev-zf2y4
本虛擬套件由這些套件填實: libllvm-9-ocaml-dev
libllvm-ocaml-dev (1:11.0-51+nmu5)
Low-Level Virtual Machine (LLVM), bindings for OCaml
libllvm-x.y-ocaml-dev
本虛擬套件由這些套件填實: libllvm-13-ocaml-dev, libllvm-16-ocaml-dev, libllvm-11-ocaml-dev, libllvm-9-ocaml-dev
libllvm11 (1:11.0.1-2)
Modular compiler and toolchain technologies, runtime library
libllvm13 (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, runtime library
libllvm16 (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, runtime library
libllvm9 (1:9.0.1-16.1)
Modular compiler and toolchain technologies, runtime library
libllvmspirvlib-dev (11.0.0-1)
bi-directional translator for LLVM/SPIRV -- development files
libllvmspirvlib11 (11.0.0-1)
bi-directional translator for LLVM/SPIRV -- shared library
liblmdb++-dev (0.9.14.1+git20160228.0b43ca8-1+b1 [amd64], 0.9.14.1+git20160228.0b43ca8-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C++ wrapper for LMDB
liblmdb-dev (0.9.24-1)
Lightning Memory-Mapped Database development files
liblmdb-file-perl (0.12-4)
tie to LMDB
liblmdb0 (0.9.24-1)
Lightning Memory-Mapped Database shared library
liblms7compact-dev (0.0.1+git20190125.bfd5418-1+b1)
Compact LMS7002 library suitable for MCU: development
liblms7compact0 (0.0.1+git20190125.bfd5418-1+b1)
Compact LMS7002 library suitable for MCU
liblnk-dev (20181227-1.1+b2)
Windows Shortcut File format access library -- development files
liblnk-utils (20181227-1.1+b2)
Windows Shortcut File format access library -- Utilities
liblnk1 (20181227-1.1+b2)
Windows Shortcut File format access library
liblo-dev (0.31-1)
Lightweight OSC library -- development files
liblo-ocaml (0.1.2-1+b1)
OCaml interface to the lo library -- runtime files
liblo-ocaml-dev (0.1.2-1+b1)
OCaml interface to the lo library -- development files
liblo-ocaml-dev-h23x8
本虛擬套件由這些套件填實: liblo-ocaml-dev
liblo-ocaml-dev-o1l67
本虛擬套件由這些套件填實: liblo-ocaml-dev
liblo-ocaml-dev-x32a9
本虛擬套件由這些套件填實: liblo-ocaml-dev
liblo-ocaml-dev-zc8m5
本虛擬套件由這些套件填實: liblo-ocaml-dev
liblo-ocaml-h23x8
本虛擬套件由這些套件填實: liblo-ocaml
liblo-ocaml-o1l67
本虛擬套件由這些套件填實: liblo-ocaml
liblo-ocaml-x32a9
本虛擬套件由這些套件填實: liblo-ocaml
liblo-ocaml-zc8m5
本虛擬套件由這些套件填實: liblo-ocaml
liblo-tools (0.31-1)
Lightweight OSC library (command-line tools)
liblo0-dev
本虛擬套件由這些套件填實: liblo-dev
liblo10k1-0 (1.2.2-1)
ALSA emu10k1/2 patch-loader library
liblo10k1-dev (1.2.2-1)
ALSA emu10k1/2 patch-loader library development files
liblo7 (0.31-1)
Lightweight OSC library
libload-perl (0.24-1)
pragma for controlling when subroutines will be loaded
libloader-java (1.1.6.dfsg-4.1)
Java general purpose resource loading framework
libloader-java-doc (1.1.6.dfsg-4.1)
Java general purpose resource loading framework -- documentation
libloadpng4-dev (2:4.4.3.1-2)
development files for the PNG loading addon for Allegro 4
libloadpng4.4 (2:4.4.3.1-2)
PNG loading addon for Allegro 4
liblocal-lib-perl (2.000024-1)
module to use a local path for Perl modules
liblocale-codes-perl (3.66-1)
collection of Perl modules for handling of locale codes
liblocale-currency-format-perl (1.35-1)
Perl functions for formatting monetary values
liblocale-gettext-perl (1.07-4+b1)
module using libc functions for internationalization in Perl
liblocale-hebrew-perl (1.05-1+b10 [s390x], 1.05-1+b8 [amd64, arm64, armel, armhf, i386, mipsel], 1.05-1+b7 [mips64el, ppc64el])
module providing bidirectional Hebrew support
liblocale-maketext-fuzzy-perl (0.11-2)
Maketext from already interpolated strings
liblocale-maketext-gettext-perl (1.32-1)
Perl module bridging gettext and Maketext localization frameworks
liblocale-maketext-lexicon-perl (1.00-1.1)
lexicon-handling backends for Locale::Maketext
liblocale-maketext-perl (= 1.29)
本虛擬套件由這些套件填實: perl
liblocale-maketext-simple-perl (= 0.21.01)
本虛擬套件由這些套件填實: perl
liblocale-msgfmt-perl (0.15-2)
pure Perl reimplementation of msgfmt
liblocale-po-perl (0.27-2)
Perl module for manipulating .po entries from GNU gettext
liblocale-subcountry-perl (2.06-1)
module for converting state/province names to/from code
liblocale-us-perl (3.04-1.1)
module for United States state identification
liblocales-perl (0.34+ds-1)
object-oriented access to localized CLDR information
liblocalizer-java (1.13-3)
type-safe access to message resources
liblocalizer-java-doc (1.13-3)
Documentation for type-safe access to message resources
liblockfile-bin (1.17-1+b1)
support binaries for and cli utilities based on liblockfile
liblockfile-dev (1.17-1+b1)
Development library for liblockfile
liblockfile-simple-perl (0.208-1.1)
Perl module for simple advisory file locking
liblockfile1 (1.17-1+b1)
NFS-safe locking library
liblog-agent-logger-perl (0.200-1)
extension for Log::Agent providing an application-level logging API
liblog-agent-perl (1.004-1)
Perl module providing helper logging routines
liblog-agent-rotate-perl (1.200-1)
extension for Log::Agent providing file-rotating features
liblog-any-adapter-callback-perl (0.101-1)
module to send Log::Any logs to a subroutine
liblog-any-adapter-dispatch-perl (0.08-1)
adapter to use Log::Dispatch with Log::Any
liblog-any-adapter-filehandle-perl (0.010-1.1)
basic Log::Any::Adapter to forward messages to a filehandle
liblog-any-adapter-log4perl-perl (0.09-1)
adapter to use Log::Log4perl with Log::Any
liblog-any-adapter-perl
本虛擬套件由這些套件填實: liblog-any-perl
liblog-any-adapter-screen-perl (0.140-1)
send logs to screen, with colors and some other features
liblog-any-adapter-tap-perl (0.3.3-1.1)
logging adapter suitable for use in TAP testcases
liblog-any-perl (1.709-1)
Perl module to log messages safely and efficiently
liblog-contextual-perl (0.008001-1)
module for simple contextual logging
liblog-dispatch-array-perl (1.003-1)
module to log events to an array (reference)
liblog-dispatch-config-perl (1.04-2)
Log4j for Perl
liblog-dispatch-configurator-any-perl (1.122640-1.1)
configurator implementation with Config::Any
liblog-dispatch-dir-perl (0.160-1)
module to log messages to separate files in a directory
liblog-dispatch-filerotate-perl (1.19-1.1)
Log to files that archive/rotate themselves
liblog-dispatch-filewriterotate-perl (0.060-1)
module to log to files that archive/rotate themselves
liblog-dispatch-message-passing-perl (0.009-4)
log events to Message::Passing
liblog-dispatch-perl (2.70-1)
message dispatcher to multiple Log::Dispatch::* objects
liblog-dispatch-perl-perl (0.05-1)
Use core Perl functions for logging with Log::Dispatch
liblog-dispatchouli-perl (2.022-1)
simple wrapper around Log::Dispatch
liblog-fast-perl (2.0.1-1)
fast and flexible logger
liblog-handler-perl (0.90-1)
module to handle output destined for log files
liblog-log4perl-perl (1.54-1)
Perl port of the widely popular log4j logging package
liblog-loglite-perl (0.82-8.1)
Perl module that facilitates lightweight logging
liblog-message-perl (0.8-1.1)
powerful and flexible message logging mechanism
liblog-message-simple-perl (0.10-3)
simplified interface to Log::Message
liblog-report-lexicon-perl (1.11-1)
module for Log::Report translation table management
liblog-report-optional-perl (1.07-1)
wrapper around Log::Report and Log::Report::Minimal
liblog-report-perl (1.32-1)
Perl module to report problems, with pluggable handlers and language support
liblog-trace-perl (1.070-3)
Perl module to provide a unified approach to tracing
liblog-tracemessages-perl (1.4-5)
Perl module to allow for trace messages in Perl code
liblog4ada-doc (1.3.1.b6dafb49-5)
Ada library for flexible logging (documentation)
liblog4ada6 (1.3.1.b6dafb49-5)
Ada library for flexible logging (runtime)
liblog4ada7-dev (1.3.1.b6dafb49-5)
Ada library for flexible logging (development)
liblog4c-dev (1.2.4-2)
C library for flexible logging (development)
liblog4c-doc (1.2.4-2)
C library for flexible logging (documentation)
liblog4c3 (1.2.4-2)
C library for flexible logging (runtime)
liblog4cplus-2.0.5 (2.0.5-3)
C++ logging API modeled after the Java log4j API - shared library
liblog4cplus-dev (2.0.5-3)
C++ logging API modeled after the Java log4j API - development library
liblog4cplus-doc (2.0.5-3)
C++ logging API modeled after the Java log4j API - doxygen documentation
liblog4cpp-doc (1.0-2.1)
C++ library for flexible logging (documentation)
liblog4cpp5-dev (1.1.3-3)
C++ library for flexible logging (development)
liblog4cpp5v5 (1.1.3-3)
C++ library for flexible logging (runtime)
liblog4cxx-dev (0.11.0-2)
Logging library for C++ (development files)
liblog4cxx-doc (0.11.0-2)
Documentation for log4cxx
liblog4cxx11 (0.11.0-2)
Logging library for C++
liblog4j-extras1.2-java (1.2.17-2)
Extras for Apache log4j
liblog4j-extras1.2-java-doc (1.2.17-2)
Documentation for Extras for Apache log4j.
liblog4j1.2-java (1.2.17-10+deb11u1)
Logging library for java
liblog4j1.2-java-doc (1.2.17-10+deb11u1)
Documentation for liblog4j1.2-java
liblog4j2-java (2.17.1-1~deb11u1)
Apache Log4j - Logging Framework for Java
liblog4net-cil-dev (1.2.10+dfsg-8)
highly configurable logging API for the CLI
liblog4net1.2-cil (1.2.10+dfsg-8)
highly configurable logging API for the CLI
liblog4shib-dev (2.0.0-2)
log4j-style configurable logging library for C++ (development)
liblog4shib-doc (2.0.0-2)
log4j-style configurable logging library for C++ (API docs)
liblog4shib2 (2.0.0-2)
log4j-style configurable logging library for C++ (runtime)
liblog4tango-dev (9.3.4+dfsg1-1)
logging for TANGO - development library
liblog4tango-doc (9.3.4+dfsg1-1)
logging for TANGO - documentation
liblog4tango5v5 (9.3.4+dfsg1-1)
logging for TANGO - shared library
liblogback-java (1:1.2.3-6)
flexible logging library for Java
liblogback-java-doc (1:1.2.3-6)
flexible logging library for Java - documentation
liblogfile-rotate-perl (1.04-4.1)
Perl module to rotate logfiles
liblogg4-dev (2:4.4.3.1-2)
development files for the OGG loading addon for Allegro 4
liblogg4.4 (2:4.4.3.1-2)
OGG loading addon for Allegro 4
liblogger-simple-perl (2.0-1.1)
Simran-Log-Log and Simran-Error-Error modules
liblogger-syslog-perl (1.1-3.1)
Logger::Syslog -- an simple wrapper over Sys::Syslog for Perl
liblognorm-dev (2.0.5-1.1)
log normalizing library - development files
liblognorm-utils (2.0.5-1.1)
log normalizing utilities
liblognorm5 (2.0.5-1.1)
log normalizing library
libloki-dev (0.1.7-4)
C++ library of generic design patterns (development files)
libloki-doc (0.1.7-4)
C++ library of generic design patterns (documentation)
libloki0.1.7 (0.1.7-4)
C++ library of generic design patterns
liblombok-ast-java (0.2+ds-5)
Robust parser + AST for the Java language
liblombok-java (1.16.22-7)
Reduce boilerplate code in Java projects
liblombok-patcher-java (0.36-1)
live-rewrite classes as a JVM runs
liblomiri-api-dev (0.1.1-4)
API for Lomiri shell integration (development headers)
liblomiri-api-doc (0.1.1-4)
API for Lomiri shell integration (documentation)
liblomiri-api0 (0.1.1-4)
API for Lomiri shell integration (shared library)
liblomiri-app-launch-dev (0.0.90-7)
library for sending requests to the Lomiri App Launch
liblomiri-app-launch0 (0.0.90-7)
library for sending requests to Lomiri App Launch
liblomiri-download-manager-client-dev (0.1.0-8)
QT library for Lomiri Download Manager - client development files
liblomiri-download-manager-client-doc (0.1.0-8)
QT library for Lomiri Download Manager - client documentation files
liblomiri-download-manager-client0 (0.1.0-8)
QT library for Lomiri Download Manager - shared client library
liblomiri-download-manager-common-dev (0.1.0-8)
QT library for Lomiri Download Manager - common development files
liblomiri-download-manager-common0 (0.1.0-8)
QT library for Lomiri Download Manager - common shared library
liblomiri-upload-manager-common-dev (0.1.0-8)
QT library for Lomiri Upload Manager - common development files
liblomiri-upload-manager-common0 (0.1.0-8)
QT library for Lomiri Upload Manager - shared common library
liblomiri-url-dispatcher-dev (0.1.0-4)
Development files for consumers of the Lomiri URL Dispatcher
liblomiri-url-dispatcher0 (0.1.0-4)
Library for sending requests to the Lomiri URL Dispatcher
liblopsub-dev (1.0.3-2)
Long Option Parser for Subcommand - headers
liblopsub1 (1.0.3-2)
Long Option Parser for Subcommands
liblorene-debian1 (0.0.0~cvs20161116+dfsg-1+b14)
liblorene shared library
liblorene-dev (0.0.0~cvs20161116+dfsg-1+b14)
development files for the LORENE framework for numerical relativity
liblorene-export-debian0 (0.0.0~cvs20161116+dfsg-1+b14)
liblorene_export shared library
liblorenef77-debian1 (0.0.0~cvs20161116+dfsg-1+b14)
liblorenef77 shared library
libloudmouth1-0 (1.5.3-6)
Lightweight C Jabber library
libloudmouth1-dev (1.5.3-6)
Development files for Loudmouth Jabber library
liblouis-bin (3.16.0-1)
Braille translation library - utilities
liblouis-data (3.16.0-1)
Braille translation library - data
liblouis-dev (3.16.0-1)
Braille translation library - static libs and headers
liblouis20 (3.16.0-1)
Braille translation library - shared libs
liblouisutdml-bin (2.9.0-1)
Braille UTDML translation utilities
liblouisutdml-data (2.9.0-1)
Braille UTDML translation library - data
liblouisutdml-dev (2.9.0-1)
Braille UTDML translation library - static libs and headers
liblouisutdml-java (2.9.0-1)
Braille UTDML translation library - java bindings
liblouisutdml-java-doc (2.9.0-1)
Braille UTDML translation library - java bindings documentation
liblouisutdml9 (2.9.0-1)
Braille UTDML translation library - shared libs
liblouisxml-bin (2.4.0-11)
Braille XML translation utilities
liblouisxml-data (2.4.0-11)
Braille XML translation library - data
liblouisxml-dev (2.4.0-11)
Braille XML translation library - static libs and headers
liblouisxml1 (2.4.0-11)
Braille XML translation library - shared libs
liblowdown-dev (0.8.2-1)
Simple markdown translator (development files)
liblpsolve55-dev (5.5.2.5-2)
Solve (mixed integer) linear programming problems - library
liblqr-1-0 (0.4.2-2.1)
converts plain array images into multi-size representation
liblqr-1-0-dev (0.4.2-2.1)
converts plain array images into multi-size representation (developments files)
liblqr-dev
本虛擬套件由這些套件填實: liblqr-1-0-dev
liblrcalc-dev (1.2-2+b1)
library for calculating Littlewood-Richardson coefficients - development files
liblrcalc1 (1.2-2+b1)
library for calculating Littlewood-Richardson coefficients
liblrdf-dev
本虛擬套件由這些套件填實: liblrdf0-dev
liblrdf0 (0.6.1-2)
library to manipulate RDF files describing LADSPA plugins
liblrdf0-dev (0.6.1-2)
liblrdf0 development files
liblrm2 (1.0.12-20)
Reusable cluster libraries -- liblrm2
liblrm2-dev (1.0.12-20)
Reusable cluster development files -- liblrm
liblrmd28 (2.0.5-2)
cluster resource manager LRMD library
liblrs-dev (0.71a-1)
package to enumerate vertices and extreme rays (development file)
liblrs1 (0.71a-1)
package to enumerate vertices and extreme rays (shared libraries)
liblsan0 (10.2.1-6)
LeakSanitizer -- a memory leak detector (runtime)
liblsan0-amd64-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (runtime)
liblsan0-arm64-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (runtime)
liblsan0-ppc64-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (runtime)
liblsan0-ppc64el-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (runtime)
liblscp-dev (0.6.0-1)
Development files for LinuxSampler Control Protocol library
liblscp-doc (0.6.0-1)
Documentation for LinuxSampler Control Protocol library
liblscp6 (0.6.0-1)
LinuxSampler Control Protocol wrapper library
liblsofui9 (4:5.20.5-1)
library for system monitoring - lsofui shared library
libltc-dev (1.3.1-1)
Development files for libltc
libltc-doc (1.3.1-1)
Documentation for libltc
libltc11 (1.3.1-1)
linear timecode library
libltdl-dev (2.4.6-15)
System independent dlopen wrapper for GNU libtool
libltdl3-dev
本虛擬套件由這些套件填實: libltdl-dev
libltdl7 (2.4.6-15)
System independent dlopen wrapper for GNU libtool
libltdl7-dev
本虛擬套件由這些套件填實: libltdl-dev
liblttng-ctl-dev (2.12.3-1)
LTTng control and utility library (development files)
liblttng-ctl0 (2.12.3-1)
LTTng control and utility library
liblttng-ust-agent-java (2.12.1-1)
LTTng 2.0 Userspace Tracer (Java agent library)
liblttng-ust-agent-java-jni (2.12.1-1)
LTTng 2.0 Userspace Tracer (Java agent JNI interface)
liblttng-ust-ctl4 (2.12.1-1)
LTTng 2.0 Userspace Tracer (trace control library)
liblttng-ust-dev (2.12.1-1)
LTTng 2.0 Userspace Tracer (development files)
liblttng-ust-java (2.12.1-1)
LTTng 2.0 Userspace Tracer (Java support library)
liblttng-ust-java-jni (2.12.1-1)
LTTng 2.0 Userspace Tracer (JNI interface)
liblttng-ust-python-agent0 (2.12.1-1)
LTTng 2.0 Userspace Tracer (Python agent native library)
liblttng-ust0 (2.12.1-1)
LTTng 2.0 Userspace Tracer (tracing libraries)
liblttoolbox
本虛擬套件由這些套件填實: liblttoolbox3-3.5-1
liblttoolbox3-3.5-1 (3.5.3-1)
Shared library for lttoolbox
liblua5.1-0 (5.1.5-8.1+b3)
Shared library for the Lua interpreter version 5.1
liblua5.1-0-dbg (5.1.5-8.1+b3)
Debug symbols for the Lua shared library interpreter
liblua5.1-0-dev (5.1.5-8.1+b3)
Development files for the Lua language version 5.1
liblua5.1-bitop-dev (1.0.2-5)
Transitional package for lua-bitop-dev
liblua5.1-bitop-dev
本虛擬套件由這些套件填實: lua-bitop-dev
liblua5.1-bitop0 (1.0.2-5)
Transitional package for lua-bitop
liblua5.1-bitop0
本虛擬套件由這些套件填實: lua-bitop
liblua5.1-dev
本虛擬套件由這些套件填實: liblua5.1-0-dev
liblua5.1-json
本虛擬套件由這些套件填實: lua-json
liblua5.1-leg-dev
本虛擬套件由這些套件填實: lua-leg-dev
liblua5.1-luacsnd (1:6.14.0~dfsg-6+b1)
Lua bindings for the Csound API
liblua5.1-luacsnd-dev
本虛擬套件由這些套件填實: libcsnd-dev
liblua5.1-rrd-dev
本虛擬套件由這些套件填實: lua-rrd-dev
liblua5.1-rrd0
本虛擬套件由這些套件填實: lua-rrd
liblua5.2-0 (5.2.4-1.1+b3)
Shared library for the Lua interpreter version 5.2
liblua5.2-0-dbg (5.2.4-1.1+b3)
Debug symbols for the Lua shared library interpreter
liblua5.2-dev (5.2.4-1.1+b3)
Development files for the Lua language version 5.2
liblua5.3-0 (5.3.3-1.1+deb11u1)
Shared library for the Lua interpreter version 5.3
liblua5.3-0-dbg (5.3.3-1.1+deb11u1)
Debug symbols for the Lua shared library interpreter
liblua5.3-dev (5.3.3-1.1+deb11u1)
Development files for the Lua language version 5.3
liblua5.4-0 (5.4.2-2)
Shared library for the Lua interpreter version 5.4
liblua5.4-0-dbg (5.4.2-2)
Debug symbols for the Lua shared library interpreter
liblua5.4-dev (5.4.2-2)
Development files for the Lua language version 5.4
liblua50 (5.0.3-8.1)
Main interpreter library for the Lua 5.0 programming language
liblua50-dev (5.0.3-8.1)
Main interpreter library for Lua 5.0: static library and headers
libluabind-dev (0.9.1+git20150823+dfsg-3)
luabind c++ binding for Lua: static library and headers
libluabind-doc (0.9.1+git20150823+dfsg-3)
luabind c++ binding for Lua: documentation files
libluabind-examples (0.9.1+git20150823+dfsg-3)
luabind c++ binding for Lua: example files
libluabind0.9.1d1 (0.9.1+git20150823+dfsg-3)
luabind c++ binding for Lua: runtime library
libluajit-5.1-2 (2.1.0~beta3+dfsg-5.3)
Just in time compiler for Lua - library version
libluajit-5.1-common (2.1.0~beta3+dfsg-5.3)
Just in time compiler for Lua - common files
libluajit-5.1-dev (2.1.0~beta3+dfsg-5.3)
Just in time compiler for Lua - development files
liblualib50 (5.0.3-8.1)
Extension library for the Lua 5.0 programming language
liblualib50-dev (5.0.3-8.1)
Extension library for Lua 5.0: static and headers
liblucene++-contrib0v5 (3.0.8-4)
Shared library with Lucene++ contributions
liblucene++-dev (3.0.8-4)
Development files for Lucene++
liblucene++-doc (3.0.8-4)
Reference manual for Lucene++
liblucene++0v5 (3.0.8-4)
Shared library for Lucene++
liblucene-queryparser-perl (1.04-3)
Turn a Lucene query into a Perl data structure
liblucene3-contrib-java (3.6.2+dfsg-24)
Full-text search engine library for Java - additional libraries
liblucene3-java (3.6.2+dfsg-24)
Full-text search engine library for Java - core library
liblucene4.10-java (4.10.4+dfsg-6)
Full-text search engine library for Java(TM)
liblucene8-java (8.8.1-1)
Full-text search engine library for Java(TM)
libluksde-dev (20200205-1+b2)
library to access LUKS Disk Encryption volumes -- development files
libluksde-utils (20200205-1+b2)
library to access LUKS Disk Encryption volumes -- Utilities
libluksde1 (20200205-1+b2)
library to access LUKS Disk Encryption volumes
libluksmeta-dev (9-3)
library to access metadata in the LUKSv1 header - development files
libluksmeta0 (9-3)
library to access metadata in the LUKSv1 header
liblunar-date-2.0-0 (2.4.0-8)
Chinese Lunar library based on GObject
liblunar-date-dev (2.4.0-8)
Chinese Lunar library based on GObject - develop files
liblunar-date-doc (2.4.0-8)
Chinese Lunar library based on GObject - API documents
liblur-dev (0.15-1+b1)
Logitech Unifying Receiver access library - dev files
liblur3 (0.15-1+b1)
Logitech Unifying Receiver access library
liblutok-dev (0.4+dfsg-4)
lightweight C++ API library for Lua -- development files
liblutok-doc (0.4+dfsg-4)
lightweight C++ API library for Lua -- documentation
liblutok3 (0.4+dfsg-4)
lightweight C++ API library for Lua -- shared object
liblv-perl (0.006-3)
lvalue subroutines for Perl
liblv2dynparam1-dev (2-6.1)
lv2dynparam is a LV2 plugin interface extension
liblv2dynparamhost1-1 (2-6.1)
LV2 plugin interface extension - host
liblv2dynparamplugin1-0 (2-6.1)
LV2 plugin interface extension - plugin
liblvm2-dev (2.03.11-2.1)
LVM2 libraries - development files
liblvm2cmd2.03 (2.03.11-2.1)
LVM2 command library
liblwip-dev (2.1.2+dfsg1-8+deb11u1)
small implementation of the TCP/IP protocol suite - development files
liblwip-doc (2.1.2+dfsg1-8+deb11u1)
small implementation of the TCP/IP protocol suite - documentation
liblwip0 (2.1.2+dfsg1-8+deb11u1)
small implementation of the TCP/IP protocol suite - shared library
liblwipv6-2 (1.5a-9)
User level (lightweight) TCP/IP stack with IPv6 support
liblwipv6-dev (1.5a-9)
Development files for the LWIPv6 library
liblwjgl-java (2.9.3+dfsg-5)
Lightweight Java Game Library
liblwjgl-java-doc (2.9.3+dfsg-5)
Lightweight Java Game Library (javadoc)
liblwjgl-java-jni (2.9.3+dfsg-5)
Lightweight Java Game Library (jni)
liblwp-authen-negotiate-perl (0.08-3)
Perl module for GSSAPI based Authentication Plugin for LWP
liblwp-authen-oauth-perl (1.01-1.1)
OAuth authentication plugin for LWP
liblwp-authen-oauth2-perl (0.18-1)
module enabling OAuth 2 requests via LWP
liblwp-authen-wsse-perl (0.05-3)
library for enabling X-WSSE authentication in LWP
liblwp-mediatypes-perl (6.04-1)
module to guess media type for a file or a URL
liblwp-online-perl (1.08-2.1)
module to check if there is Internet access
liblwp-protocol-http-socketunix-perl (0.02-3.1)
Perl module to speak http through unix sockets
liblwp-protocol-https-perl (6.10-1)
HTTPS driver for LWP::UserAgent
liblwp-protocol-psgi-perl (0.11-1)
override LWP's HTTP/HTTPS backend with your own PSGI application
liblwp-protocol-socks-perl (1.7-1.1)
SOCKS proxy support for LWP
liblwp-useragent-chicaching-perl (0.04-1.1)
LWP::UserAgent with caching based on CHI
liblwp-useragent-determined-perl (1.07-1.1)
LWP useragent that retries errors
liblwp-useragent-progressbar-perl (1.100810-2)
LWP user agent that can display a progress bar
liblwpx-paranoidagent-perl (1.12-1)
"paranoid" subclass of LWP::UserAgent
liblwres161 (1:9.11.19+dfsg-2.1)
BIND 使用的輕量化網址解析函式庫
liblwt-log-ocaml (1.1.1-3+b5 [i386], 1.1.1-3+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
optimised functions to read and write int16/32/64 (runtime)
liblwt-log-ocaml-1f1y2
本虛擬套件由這些套件填實: liblwt-log-ocaml
liblwt-log-ocaml-2tpj9
本虛擬套件由這些套件填實: liblwt-log-ocaml
liblwt-log-ocaml-7ddc3
本虛擬套件由這些套件填實: liblwt-log-ocaml
liblwt-log-ocaml-dev (1.1.1-3+b5 [i386], 1.1.1-3+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Lwt-friendly logging library (development)
liblwt-log-ocaml-dev-1f1y2
本虛擬套件由這些套件填實: liblwt-log-ocaml-dev
liblwt-log-ocaml-dev-2tpj9
本虛擬套件由這些套件填實: liblwt-log-ocaml-dev
liblwt-log-ocaml-dev-7ddc3
本虛擬套件由這些套件填實: liblwt-log-ocaml-dev
liblwt-log-ocaml-dev-lebc9
本虛擬套件由這些套件填實: liblwt-log-ocaml-dev
liblwt-log-ocaml-lebc9
本虛擬套件由這些套件填實: liblwt-log-ocaml
liblwt-ocaml (5.3.0-1+b2)
cooperative light-weight thread library for OCaml (runtime)
liblwt-ocaml-15o63
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ocaml-279w5
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ocaml-4fh61
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ocaml-7v920
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ocaml-dev (5.3.0-1+b2)
cooperative light-weight thread library for OCaml
liblwt-ocaml-dev-15o63
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dev-279w5
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dev-4fh61
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dev-7v920
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dev-dt6l9
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dev-jb268
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dev-wioh6
本虛擬套件由這些套件填實: liblwt-ocaml-dev
liblwt-ocaml-dt6l9
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ocaml-jb268
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ocaml-wioh6
本虛擬套件由這些套件填實: liblwt-ocaml
liblwt-ssl-ocaml (1:1.1.3-2+b5 [i386], 1:1.1.3-2+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml OpenSSL binding with concurrent I/O (runtime)
liblwt-ssl-ocaml-9hzj3
本虛擬套件由這些套件填實: liblwt-ssl-ocaml
liblwt-ssl-ocaml-a0gz3
本虛擬套件由這些套件填實: liblwt-ssl-ocaml
liblwt-ssl-ocaml-dev (1:1.1.3-2+b5 [i386], 1:1.1.3-2+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml OpenSSL binding with concurrent I/O (dev)
liblwt-ssl-ocaml-dev-9hzj3
本虛擬套件由這些套件填實: liblwt-ssl-ocaml-dev
liblwt-ssl-ocaml-dev-a0gz3
本虛擬套件由這些套件填實: liblwt-ssl-ocaml-dev
liblwt-ssl-ocaml-dev-um870
本虛擬套件由這些套件填實: liblwt-ssl-ocaml-dev
liblwt-ssl-ocaml-dev-xacc2
本虛擬套件由這些套件填實: liblwt-ssl-ocaml-dev
liblwt-ssl-ocaml-um870
本虛擬套件由這些套件填實: liblwt-ssl-ocaml
liblwt-ssl-ocaml-xacc2
本虛擬套件由這些套件填實: liblwt-ssl-ocaml
liblxc1 (1:4.0.6-2+deb11u2)
Linux Containers userspace tools (library)
liblxi-dev (1.13-1+b1)
LAN eXtensions for Instrumentation (LXI) software interface
liblxi1 (1.13-1+b1)
LAN eXtensions for Instrumentation (LXI) software interface
liblxqt-globalkeys-ui0 (0.16.0-1)
daemon used to register global keyboard shortcuts (ui files)
liblxqt-globalkeys-ui0-dev (0.16.0-1)
daemon used to register global keyboard shortcuts (ui dev files)
liblxqt-globalkeys0 (0.16.0-1)
daemon used to register global keyboard shortcuts (shared libs)
liblxqt-globalkeys0-dev (0.16.0-1)
daemon used to register global keyboard shortcuts (dev files)
liblxqt-l10n (0.16.0-1)
Language package for liblxqt
liblxqt0 (0.16.0-1)
Shared libraries for LXQt desktop environment (libs)
liblxqt0-dev (0.16.0-1)
Shared libraries for LXQt desktop environment (dev)
liblz-dev (1.12-1)
data compressor based on the LZMA algorithm (development)
liblz1 (1.12-1)
data compressor based on the LZMA algorithm (library)
liblz4-1 (1.9.3-2)
Fast LZ compression algorithm library - runtime
liblz4-dev (1.9.3-2)
Fast LZ compression algorithm library - development files
liblz4-java (1.5.1-3)
LZ4 compression for Java
liblz4-jni (1.5.1-3)
LZ4 compression for Java (JNI library)
liblz4-tool (1.9.3-2)
Fast LZ compression algorithm library - transitional package
liblz4-tool
本虛擬套件由這些套件填實: lz4
liblzf-dev (3.6-3)
Very small data compression library - development headers
liblzf1 (3.6-3)
Very small data compression library
liblzma-dev (5.2.5-2.1~deb11u1)
XZ-format compression library - development files
liblzma-doc (5.2.5-2.1~deb11u1)
XZ-format compression library - API documentation
liblzma5 (5.2.5-2.1~deb11u1)
XZ-format compression library
liblzo2-2 (2.10-2)
資料壓縮函式庫
liblzo2-2-udeb (2.10-2)
data compression library
liblzo2-dev (2.10-2)
data compression library (development files)
libm17n-0 (1.8.0-2)
multilingual text processing library - runtime
libm17n-dev (1.8.0-2)
multilingual text processing library - development
libm17n-im-config-dev (0.9.0-5)
input method configuration library for m17n-lib - development
libm17n-im-config0 (0.9.0-5)
input method configuration library for m17n-lib - runtime
libm4api0.3 (0.3~0.9646fd-2)
access Mini-Box M4-ATX power supplies (library)
libm4ri-0.0.20200125 (20200125-1+b1)
Method of the Four Russians Inversion library, shared library
libm4ri-dev (20200125-1+b1)
Method of the Four Russians Inversion library, development files
libm4rie-0.0.20200125 (20200125-1+b2)
extended Method of the Four Russians Inversion library, shared library
libm4rie-dev (20200125-1+b2)
extended Method of the Four Russians Inversion library, development files
libmaa-dev (1.4.7-1)
maa programming library, development files
libmaa4 (1.4.7-1)
maa programming library
libmac-widgets-doc (0.10.0+svn416-dfsg1-3)
documentation for libmac-widgets-java
libmac-widgets-java (0.10.0+svn416-dfsg1-3)
collection of Mac style widgets written in Java
libmacaroons-dev (0.3.0-2)
Development files for libmacaroons
libmacaroons0 (0.3.0-2)
C library supporting generation and use of macaroons
libmad-ocaml (0.4.6-1+b1)
OCaml bindings for the MAD library (Runtime library)
libmad-ocaml-0arh7
本虛擬套件由這些套件填實: libmad-ocaml
libmad-ocaml-6y9k4
本虛擬套件由這些套件填實: libmad-ocaml
libmad-ocaml-bycz0
本虛擬套件由這些套件填實: libmad-ocaml
libmad-ocaml-dev (0.4.6-1+b1)
OCaml bindings for the MAD library (Development package)
libmad-ocaml-dev-0arh7
本虛擬套件由這些套件填實: libmad-ocaml-dev
libmad-ocaml-dev-6y9k4
本虛擬套件由這些套件填實: libmad-ocaml-dev
libmad-ocaml-dev-bycz0
本虛擬套件由這些套件填實: libmad-ocaml-dev
libmad-ocaml-dev-wece3
本虛擬套件由這些套件填實: libmad-ocaml-dev
libmad-ocaml-wece3
本虛擬套件由這些套件填實: libmad-ocaml
libmad0 (0.15.1b-10)
MPEG audio decoder library
libmad0-dev (0.15.1b-10)
MPEG audio decoder development library
libmadlib (1.3.0-2.2)
mesh adaptation library
libmadlib-dbg (1.3.0-2.2)
mesh adaptation library
libmadlib-dev (1.3.0-2.2)
mesh adaptation library
libmadness-dev (0.10.1+git20200818.eee5fd9f-2)
Numerical Environment for Scientific Simulation (development files)
libmaeparser-dev (1.2.4-1+b1)
Development files to parse Schrödinger Maestro files
libmaeparser1 (1.2.4-1+b1)
parser for Schrödinger Maestro files
libmagic-dev (1:5.39-3+deb11u1)
Recognize the type of data in a file using "magic" numbers - development
libmagic-mgc (1:5.39-3+deb11u1)
File type determination library using "magic" numbers (compiled magic file)
libmagic-ocaml (0.7.3-5+b9 [armel], 0.7.3-5+b8 [amd64, i386, mipsel], 0.7.3-5+b6 [armhf], 0.7.3-5+b5 [s390x], 0.7.3-5+b4 [arm64, mips64el, ppc64el])
OCaml bindings for the File type determination library
libmagic-ocaml-dev (0.7.3-5+b9 [armel], 0.7.3-5+b8 [amd64, i386, mipsel], 0.7.3-5+b6 [armhf], 0.7.3-5+b5 [s390x], 0.7.3-5+b4 [arm64, mips64el, ppc64el])
OCaml bindings for the File type determination library
libmagic-ocaml-dev-hik25
本虛擬套件由這些套件填實: libmagic-ocaml-dev
libmagic-ocaml-dev-ijlz7
本虛擬套件由這些套件填實: libmagic-ocaml-dev
libmagic-ocaml-dev-onwf2
本虛擬套件由這些套件填實: libmagic-ocaml-dev
libmagic-ocaml-dev-wqbk2
本虛擬套件由這些套件填實: libmagic-ocaml-dev
libmagic-ocaml-hik25
本虛擬套件由這些套件填實: libmagic-ocaml
libmagic-ocaml-ijlz7
本虛擬套件由這些套件填實: libmagic-ocaml
libmagic-ocaml-onwf2
本虛擬套件由這些套件填實: libmagic-ocaml
libmagic-ocaml-wqbk2
本虛擬套件由這些套件填實: libmagic-ocaml
libmagic1 (1:5.39-3+deb11u1)
Recognize the type of data in a file using "magic" numbers - library
libmagick++-6-headers (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
object-oriented C++ interface to ImageMagick - header files
libmagick++-6.defaultquantum-dev
本虛擬套件由這些套件填實: libmagick++-6.q16-dev
libmagick++-6.q16-8 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
C++ interface to ImageMagick -- quantum depth Q16
libmagick++-6.q16-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
C++ interface to ImageMagick - development files (Q16)
libmagick++-6.q16hdri-8 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
C++ interface to ImageMagick -- quantum depth Q16HDRI
libmagick++-6.q16hdri-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
C++ interface to ImageMagick - development files (Q16HDRI)
libmagick++-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
object-oriented C++ interface to ImageMagick -- dummy package
libmagick++-dev
本虛擬套件由這些套件填實: graphicsmagick-libmagick-dev-compat
libmagick++-dev (= 8:6.9.11.60+dfsg-1.3+deb11u2)
本虛擬套件由這些套件填實: libmagick++-6.q16-dev
libmagick++-dev (= 8:6.9.11.60+dfsg-1.3+deb11u3)
本虛擬套件由這些套件填實: libmagick++-6.q16-dev
libmagick-dev
本虛擬套件由這些套件填實: graphicsmagick-libmagick-dev-compat
libmagickcore-6-arch-config (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library - architecture header files
libmagickcore-6-headers (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library - header files
libmagickcore-6.defaultquantum-dev
本虛擬套件由這些套件填實: libmagickcore-6.q16-dev
libmagickcore-6.q16-1-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16-6-extra
libmagickcore-6.q16-2-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16-6-extra
libmagickcore-6.q16-3-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16-6-extra
libmagickcore-6.q16-4-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16-6-extra
libmagickcore-6.q16-5-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16-6-extra
libmagickcore-6.q16-6 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library -- quantum depth Q16
libmagickcore-6.q16-6-extra (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library - extra codecs (Q16)
libmagickcore-6.q16-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library - development files (Q16)
libmagickcore-6.q16hdri-1-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16hdri-6-extra
libmagickcore-6.q16hdri-2-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16hdri-6-extra
libmagickcore-6.q16hdri-3-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16hdri-6-extra
libmagickcore-6.q16hdri-4-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16hdri-6-extra
libmagickcore-6.q16hdri-5-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16hdri-6-extra
libmagickcore-6.q16hdri-6 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library -- quantum depth Q16HDRI
libmagickcore-6.q16hdri-6-extra (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library - extra codecs (Q16HDRI)
libmagickcore-6.q16hdri-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library - development files (Q16HDRI)
libmagickcore-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
low-level image manipulation library -- dummy package
libmagickcore-dev (= 8:6.9.11.60+dfsg-1.3+deb11u2)
本虛擬套件由這些套件填實: libmagickcore-6.q16-dev
libmagickcore-dev (= 8:6.9.11.60+dfsg-1.3+deb11u3)
本虛擬套件由這些套件填實: libmagickcore-6.q16-dev
libmagickcore-extra
本虛擬套件由這些套件填實: libmagickcore-6.q16hdri-6-extra, libmagickcore-6.q16-6-extra
libmagickwand-6-headers (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation library - headers files
libmagickwand-6.defaultquantum-dev
本虛擬套件由這些套件填實: libmagickwand-6.q16-dev
libmagickwand-6.q16-6 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation library -- quantum depth Q16
libmagickwand-6.q16-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation library - development files (Q16)
libmagickwand-6.q16hdri-6 (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation library -- quantum depth Q16HDRI
libmagickwand-6.q16hdri-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation library - development files (Q16HDRI)
libmagickwand-dev (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
image manipulation library -- dummy package
libmagickwand-dev (= 8:6.9.11.60+dfsg-1.3+deb11u2)
本虛擬套件由這些套件填實: libmagickwand-6.q16-dev
libmagickwand-dev (= 8:6.9.11.60+dfsg-1.3+deb11u3)
本虛擬套件由這些套件填實: libmagickwand-6.q16-dev
libmagics++-data (4.5.3-1)
Data files needed for magics++ library
libmagics++-dev (4.5.3-1)
Development files for ECMWF plotting software MAGICS++
libmagics++-metview-dev (4.5.3-1)
Libraries needed for building MetView
libmagma-dev (2.5.4+ds-3) [contrib]
Matrix Algebra on GPU and Multicore Architectures (dev)
libmagma-doc (2.5.4+ds-3) [contrib]
Matrix Algebra on GPU and Multicore Architectures (doc)
libmagma-sparse2 (2.5.4+ds-3) [contrib]
Matrix Algebra on GPU and Multicore Architectures (solib, sparse)
libmagma-test (2.5.4+ds-3) [contrib]
Matrix Algebra on GPU and Multicore Architectures (tests)
libmagma2 (2.5.4+ds-3) [contrib]
Matrix Algebra on GPU and Multicore Architectures (solib)
libmagplus3v5 (4.5.3-1)
ECMWF meteorological plotting software library
libmail-authenticationresults-perl (2.20210112-1)
object oriented Authentication-Results headers
libmail-box-imap4-perl (3.007-1)
perl module for handling of IMAP4 folders as client
libmail-box-perl (3.009-1)
message-folder management module
libmail-box-pop3-perl (3.005-1)
POP3 handler for Mail::Box
libmail-bulkmail-perl (3.12-5.1)
Platform independent mailing list module
libmail-checkuser-perl (1.24-1)
Perl module for checking email addresses for validity
libmail-chimp3-perl (0.08-1)
interface to mailchimp.com's RESTful Web API v3
libmail-deliverystatus-bounceparser-perl (1.543+repacked-1)
module for analyzing bounce messages
libmail-dkim-perl (1.20200907-1)
module to cryptographically identify the sender of email
libmail-field-received-perl (0.26-1.1)
mostly RFC822-compliant parser of Received headers
libmail-gnupg-perl (0.23-2)
Perl module for processing email with GPG
libmail-imapclient-perl (3.42-1)
Perl library for manipulating IMAP mail stores
libmail-imaptalk-perl (4.04-1.1)
IMAP client interface with lots of features
libmail-java (1.6.5-1)
JavaMail API Reference Implementation
libmail-java-doc (1.6.5-1)
JavaMail API Reference Implementation (documentation)
libmail-listdetector-perl (1.04+dfsg-1)
module for detecting mailing list messages
libmail-mbox-messageparser-perl (1.5111-2)
Perl module for processing mbox folders
libmail-mboxparser-perl (0.55-4.1)
module providing read-only access to UNIX mailboxes
libmail-message-perl (3.010-1)
generic class representing mail messages (perl library)
libmail-milter-perl (0.07-1)
Perl extension modules for mail filtering via milter
libmail-pop3client-perl (2.19-1.1)
POP3 client module for perl
libmail-rbl-perl (1.10-2)
Perl extension to access RBL-style host verification services
libmail-rfc822-address-perl (0.4-1.1)
Perl extension for validating email addresses
libmail-sendeasy-perl (1.2-2.1)
Perl module to send plain/html e-mails through SMTP servers
libmail-sendmail-perl (0.80-1.1)
simple way to send email from a perl script
libmail-spamassassin-perl
本虛擬套件由這些套件填實: spamassassin
libmail-spf-perl (2.9.0-5)
Perl implementation of Sender Policy Framework and Sender ID
libmail-spf-xs-perl (1.2.10-7.1~deb11u1)
library for validating mail senders with SPF - Perl bindings
libmail-srs-perl (0.31-6)
interface to Sender Rewriting Scheme
libmail-thread-perl (2.55-2.1)
library for threading email by In-Reply-To and References
libmail-transport-perl (3.005-1)
perl library for sending email
libmail-verify-perl (0.02-5.1)
Utility to verify an email address
libmail-verp-perl (0.06+dfsg-2.1)
Variable Envelope Return Paths (VERP) address encoder/decoder
libmailtools-perl (2.21-1)
modules to manipulate email in perl programs
libmailutils-dev (1:3.10-3+b1)
development files for GNU mailutils
libmailutils7 (1:3.10-3+b1)
GNU Mail abstraction library
libmakefile-dom-perl (0.008-2)
Perl DOM implementation for Makefiles
libmalaga-dev (7.12-7.1)
Developer's library for automatic language analysis
libmalaga7 (7.12-7.1)
Automatic language analysis library
libmalai-java (2.0+ds1-2)
Malai software architecture pattern in Java
libmalcontent-0-0 (0.10.0-2)
library for parental control of applications
libmalcontent-0-dev (0.10.0-2)
development files for libmalcontent
libmalcontent-ui-0-0 (0.10.0-2)
library for parental control of applications - GTK+ widgets and dialogs
libmalcontent-ui-0-dev (0.10.0-2)
development files for libmalcontent-ui
libmaloc-dev (1.5-1)
Object-oriented Abstraction Layer for C (development files)
libmaloc1 (1.5-1)
Object-oriented Abstraction Layer for C
libmanette-0.2-0 (0.2.5-1)
Simple GObject game controller library
libmanette-0.2-dev (0.2.5-1)
Simple GObject game controller library - development files
libmango-perl (1.30-2)
Pure-Perl non-blocking I/O MongoDB client
libmap-msgs-dev (1.14.1-2)
C/C++ headers for map-related ROS Messages
libmapbox-variant-dev (1.2.0-1)
Alternative to boost::variant for C++11
libmapcache1 (1.10.0-2+b1)
tile caching server - shared library
libmapcache1-dev (1.10.0-2+b1)
tile caching server - shared library development files
libmapnik-dev (3.1.0+ds-1)
C++ toolkit for developing GIS applications (devel)
libmapnik3.1 (3.1.0+ds-1)
C++ toolkit for developing GIS applications (libraries)
libmapscript-java (7.6.2-1)
Java library for MapServer
libmapscript-perl (7.6.2-1)
Perl MapServer module
libmapserver-dev (7.6.2-1)
Shared library development files for MapServer
libmapserver2 (7.6.2-1)
Shared library for MapServer
libmapsforge-java (0.15.0+dfsg.1-1)
vector map library and writer
libmapsforge-java-doc (0.15.0+dfsg.1-1)
vector map library and writer (documentation)
libmarble-dev (4:20.12.3-1)
development files for the Marble globe widget library
libmarblewidget-qt5-28 (4:20.12.3-1)
Marble globe widget library
libmarc-charset-perl (1.35-3)
Perl module for bidirectional MARC-8 <-> Unicode conversion
libmarc-crosswalk-dublincore-perl (0.02-3.1)
Convert data between MARC and Dublin Core
libmarc-file-marcmaker-perl (0.05-1.1)
work with MARCMaker/MARCBreaker records
libmarc-file-mij-perl (0.04-2.1)
read newline-delimited marc-in-json files
libmarc-lint-perl (1.53-1)
Perl extension for checking validity of MARC records
libmarc-parser-raw-perl (0.06-1)
parser for ISO 2709 encoded MARC records
libmarc-perl (1.07-6.1)
Perl extension to manipulate MAchine Readable Cataloging records
libmarc-record-perl (2.0.7-1)
Perl extension for handling MARC records
libmarc-spec-perl (2.0.3-1)
MARCspec parser and builder
libmarc-transform-perl (0.003009-1)
Perl module to transform a MARC record with a yaml configuration file
libmarc-xml-perl (1.0.5-1)
Perl library to access MARC data encoded as XML
libmarco-dev (1.24.1-3)
library for Marco window manager (development files)
libmarco-private2 (1.24.1-3)
library for Marco window manager
libmariadb-client-lgpl-dev-compat
本虛擬套件由這些套件填實: libmariadb-dev-compat
libmariadb-dev (1:10.5.23-0+deb11u1)
MariaDB database development files
libmariadb-dev-compat (1:10.5.23-0+deb11u1)
MariaDB Connector/C, compatibility symlinks
libmariadb-java (2.7.2-1)
Java database driver for MariaDB and MySQL
libmariadb3 (1:10.5.23-0+deb11u1)
MariaDB database client library
libmariadbclient-dev-compat
本虛擬套件由這些套件填實: libmariadb-dev-compat
libmariadbd-dev (1:10.5.23-0+deb11u1)
MariaDB embedded database, development files
libmariadbd19 (1:10.5.23-0+deb11u1)
MariaDB embedded database, shared library
libmarisa-dev (0.2.6-2)
development files for libmarisa
libmarisa-perl (0.2.6-2)
Perl bindings for MARISA
libmarisa0 (0.2.6-2)
C++ library to provide an implementation of MARISA
libmarkdent-perl (0.39-1)
event-based Markdown parser toolkit
libmarkdown-php (1.0.2-3)
PHP library for rendering Markdown data
libmarkdown2 (2.2.6-1)
implementation of the Markdown markup language in C (library)
libmarkdown2-dev (2.2.6-1)
implementation of the Markdown markup language in C (dev files)
libmarpa-r2-perl (2.086000~dfsg-7)
BNF grammar parser
libmash-dev (2.2.2+dfsg-2)
development headers and static library for Mash
libmason-perl (2.24-1.1)
powerful, high-performance templating for the web and beyond
libmason-plugin-cache-perl (0.05-1.1)
component cache object and filter for Mason
libmason-plugin-htmlfilters-perl (0.03-1.1)
HTML generation filter plugin for Mason
libmason-plugin-routersimple-perl (0.07-1.1)
Mason plugin to specify routes for page components with Router::Simple
libmasonx-interp-withcallbacks-perl (1.19-3.1)
Mason callback support via Params::CallbackRequest
libmasonx-processdir-perl (0.02-2)
Perl module to process a directory of Mason 2 templates
libmasonx-request-withapachesession-perl (0.31-1.1)
Session handler in the Mason Request object
libmatch-simple-perl (0.010-1.1)
simplified clone of smartmatch operator
libmatch-simple-xs-perl (0.001-2+b9 [s390x], 0.001-2+b7 [amd64, armel, armhf, i386, mipsel], 0.001-2+b6 [arm64, mips64el, ppc64el])
XS backend for match::simple
libmatchbox-dev (1.12+git20170224-2)
shared library for Matchbox Project applications [development]
libmatchbox-doc (1.12+git20170224-2)
shared library for Matchbox Project applications [documentation]
libmatchbox1 (1.12+git20170224-2)
shared library for Matchbox Project applications [runtime]
libmate-desktop-2-17 (1.24.1-2)
Library with common API for various MATE modules (library)
libmate-desktop-dev (1.24.1-2)
Library with common API for various MATE modules (development files)
libmate-desktop-doc (1.24.1-2)
Library with common API for various MATE modules (documentation files)
libmate-menu-dev (1.24.1-1)
implementation of the freedesktop menu specs for MATE (development files)
libmate-menu2 (1.24.1-1)
implementation of the freedesktop menu specification for MATE (library)
libmate-panel-applet-4-1 (1.24.1-1)
library for MATE Panel applets
libmate-panel-applet-dev (1.24.1-1)
library for MATE Panel applets (development files)
libmate-panel-applet-doc (1.24.1-1)
library for MATE Panel applets (documentation files)
libmate-panel-applet4-1
本虛擬套件由這些套件填實: libmate-panel-applet-4-1
libmate-sensors-applet-plugin-dev (1.24.1-1)
Library for plugins for the mate-sensors-applet package (development files)
libmate-sensors-applet-plugin0 (1.24.1-1)
Library for plugins for the mate-sensors-applet package
libmate-slab-dev (1.24.1-1)
beautification app library (development headers)
libmate-slab0 (1.24.1-1)
beautification app library
libmate-slab0-dev
本虛擬套件由這些套件填實: libmate-slab-dev
libmate-window-settings-dev (1.24.1-1)
utilities to configure the MATE desktop (window settings headers)
libmate-window-settings1 (1.24.1-1)
utilities to configure the MATE desktop (window settings library)
libmatedesktop
本虛擬套件由這些套件填實: libmate-desktop-2-17
libmatedict-dev (1.24.0-1)
MATE desktop utilities (matedict development files)
libmatedict6 (1.24.0-1)
MATE desktop utilities (matedict library)
libmatekbd
本虛擬套件由這些套件填實: libmatekbd4
libmatekbd-common (1.24.1-1)
MATE library to manage keyboard configuration (common files)
libmatekbd-dev (1.24.1-1)
MATE library to manage keyboard configuration (development files)
libmatekbd4 (1.24.1-1)
MATE library to manage keyboard configuration
libmatemixer-common (1.24.1-1)
Mixer library for MATE Desktop (common files)
libmatemixer-dev (1.24.1-1)
Mixer library for MATE Desktop (development files)
libmatemixer-doc (1.24.1-1)
Mixer library for MATE Desktop (documentation files)
libmatemixer0 (1.24.1-1)
Mixer library for MATE Desktop
libmatepanelapplet
本虛擬套件由這些套件填實: libmate-panel-applet-4-1
libmatepanelapplet-dev
本虛擬套件由這些套件填實: libmate-panel-applet-dev
libmatepanelapplet-doc
本虛擬套件由這些套件填實: libmate-panel-applet-doc
libmateweather-common (1.24.1-1+deb11u1)
MateWeather shared library (common files)
libmateweather-dev (1.24.1-1+deb11u1)
MateWeather shared library (development files)
libmateweather1 (1.24.1-1+deb11u1)
MateWeather shared library
libmath-base-convert-perl (0.11-2)
module for very fast base to base conversion
libmath-base36-perl (0.14-1.1)
Perl module for encoding and decoding of base36 strings
libmath-base85-perl (0.5+dfsg-1)
Perl extension for base 85 numbers, as referenced by RFC 1924
libmath-basecalc-perl (1.019-1)
module for numeric base conversion
libmath-basecnv-perl (1.14-1)
set of fast functions to convert between number bases
libmath-bezier-perl (0.01-2.1)
Perl module for the solution of Bezier Curves
libmath-bigint-gmp-perl (1.6007-1+b1)
module for arbitrary precision arithmetic using GMP
libmath-bigint-perl (1.999818-1)
arbitrary size integer/float math package
libmath-bigint-perl (= 1.999818)
本虛擬套件由這些套件填實: perl
libmath-calc-units-perl (1.07-2.1)
Human-readable unit-aware calculator
libmath-calculus-differentiate-perl (0.3-2.1)
Algebraic Differentiation Engine
libmath-calculus-expression-perl (0.2.2.ds-2.1)
Algebraic Calculus Tools Expression Class
libmath-calculus-newtonraphson-perl (0.1-2.1)
Algebraic Newton Raphson Implementation
libmath-cartesian-product-perl (1.009-1.1)
generate the Cartesian product of zero or more lists
libmath-cephes-perl (0.5305-4)
perl interface to the math cephes library
libmath-clipper-perl (1.29-1+b2)
Perl module for Polygon clipping in 2D
libmath-combinatorics-clojure (0.1.4-1.1)
generate lazy sequences for common combinatorial functions in Clojure
libmath-combinatorics-perl (0.09-5.1)
module for performing combinations and permutations on lists
libmath-complex-perl (= 1.5901)
本虛擬套件由這些套件填實: perl
libmath-convexhull-monotonechain-perl (0.1-1+b11 [s390x], 0.1-1+b9 [amd64, armel, armhf, i386, mipsel], 0.1-1+b8 [arm64], 0.1-1+b7 [mips64el, ppc64el])
Perl module to calculate a convex hull using Andrew's monotone chain algorithm
libmath-convexhull-perl (1.4-1.1)
Perl module to calculate convex hulls using Graham's scan (n*log(n))
libmath-derivative-perl (1.01-1.1)
Perl package for numeric 1st and 2nd order differentiation
libmath-fibonacci-perl (1.5-5.1)
Fibonacci numbers calculations Perl module
libmath-geometry-voronoi-perl (1.3-3+b3)
Perl module to compute Voronoi diagrams from sets of points
libmath-gmp-perl (2.20-1+b1)
high speed arbitrary size integer math for perl
libmath-gradient-perl (0.04-2)
module for calculating smooth numerical transitions
libmath-gsl-perl (0.42-1+b1)
interface to the GNU Scientific Library using SWIG
libmath-int128-perl (0.22-2+b3 [amd64], 0.22-2+b2 [arm64, mips64el, ppc64el, s390x])
Perl module to manipulate 128 bits integers
libmath-int64-perl (0.54-1+b6)
module to manipulate 64 bits integers in Perl
libmath-libm-perl (1.0-1+b11 [s390x], 1.0-1+b9 [amd64, armel, armhf, i386, mipsel], 1.0-1+b8 [arm64], 1.0-1+b7 [mips64el, ppc64el])
Perl extension for the C math library, libm
libmath-matrixreal-perl (2.13-2)
module to manipulate NxN matrices of real numbers
libmath-mpfr-perl (4.14-1+b1)
perl interface to the MPFR (floating point) library
libmath-nocarry-perl (1.113-1)
Perl module for no carry arithmetic
libmath-numbercruncher-perl (5.00-10)
Perl5 module with commonly needed Maths and Stats functions
libmath-numeric-tower-clojure (0.0.4-1.1)
math functions for Clojure
libmath-planepath-perl (129-1)
Perl module to calculate mathematical paths through a 2-D plane
libmath-polygon-perl (1.10-1)
Perl module for Polygon calculations
libmath-prime-util-gmp-perl (0.52-1+b1)
utilities related to prime numbers, using GMP
libmath-prime-util-perl (0.73-1+b2)
utilities related to prime numbers, including fast sieves and factoring
libmath-quaternion-perl (0.07-2)
Perl routines to handle operations on quaternions
libmath-random-isaac-perl (1.004-1.1)
Perl interface to the ISAAC PRNG Algorithm
libmath-random-isaac-xs-perl (1.004-2+b6)
Perl implementation of the ISAAC PRNG (C/XS Accelerated)
libmath-random-mt-perl (1.17-1+b7)
Perl implementation of the Mersenne Twister algorithm
libmath-random-oo-perl (0.22-2)
consistent object-oriented interface for generating random numbers
libmath-random-perl (0.72-1+b7) [non-free]
Perl collection of random number generators
libmath-random-secure-perl (0.080001-1.1)
cryptographically-secure, cross-platform replacement for rand()
libmath-random-tt800-perl (1.01-3+b10 [s390x], 1.01-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 1.01-3+b7 [mips64el, ppc64el])
Perl module implementing the TT800 algorithm
libmath-randomorg-perl (0.04-5.1)
Perl module to retrieve random numbers and data from random.org
libmath-round-perl (0.07-1.1)
Perl extension for rounding numbers
libmath-sparsematrix-perl (0.03-2)
Provides a sparse matrix class for perl
libmath-sparsevector-perl (0.04-2)
Provides a sparse vector class for perl
libmath-spline-perl (0.02-2)
module providing cubic spline interpolation of data
libmath-symbolic-perl (0.612-2.1)
module for performing symbolic calculations
libmath-tamuanova-perl (1.0.2-2+b11 [s390x], 1.0.2-2+b9 [amd64, armel, armhf, i386, mipsel], 1.0.2-2+b7 [arm64, mips64el, ppc64el])
Perl extension for the tamuanova library
libmath-utils-perl (1.14-1)
collection of useful mathematical functions not in Perl
libmath-vec-perl (1.01-3.1)
Object-Oriented Vector Math Methods in Perl
libmath-vecstat-perl (0.08-2.1)
module providing some basic numeric stats on vectors
libmath-vector-real-kdtree-perl (0.15-1.1)
kd-Tree implementation for Perl on top of Math::Vector::Real
libmath-vector-real-perl (0.18-1)
Perl module for real number vector arithmetic
libmath-vector-real-xs-perl (0.10-1+b7)
Perl module for real vector arithmetic in fast XS
libmathcomp-coq
本虛擬套件由這些套件填實: libssreflect-coq
libmatheval-dev (1.1.11+dfsg-4)
GNU library for evaluating symbolic mathematical expressions (development)
libmatheval1 (1.1.11+dfsg-4)
GNU library for evaluating symbolic mathematical expressions (runtime)
libmatheval1-dev
本虛擬套件由這些套件填實: libmatheval-dev
libmathic-dev (1.0~git20200526-1)
C++ library for Groebner basis computation (developer tools)
libmathic0v5 (1.0~git20200526-1)
C++ library for Groebner basis computation (runtime library)
libmathicgb-dev (1.0~git20200526-1)
Compute Groebner bases (developer tools)
libmathicgb0 (1.0~git20200526-1)
Compute Groebner bases (runtime library)
libmatio-dev (1.5.19-2)
MATLAB MAT File I/O Library - development files
libmatio-doc (1.5.19-2)
MATLAB MAT File I/O Library - documentation files
libmatio11 (1.5.19-2)
MATLAB MAT File I/O Library - shared library
libmatroska-dev (1.6.2-1)
extensible open standard audio/video container format (development files)
libmatroska7 (1.6.2-1)
extensible open standard audio/video container format (shared library)
libmatthew-debug-java (0.8.1-1.1)
Debugging library for Java
libmatthew-io-java (0.8.1-1.1)
Extra IO library for Java
libmatthew-java-doc (0.8.1-1.1)
API documentation for the libmatthew-java libraries
libmaus2-2 (2.0.768+dfsg-2)
collection of data structures and algorithms for biobambam
libmaus2-dev (2.0.768+dfsg-2)
collection of data structures and algorithms for biobambam (devel)
libmaven-antrun-extended-plugin-java (1.43-1)
Extended integration between Maven and Ant
libmaven-antrun-plugin-java (1.8-3)
Maven AntRun Plugin
libmaven-archiver-java (3.2.0-2.1)
Archiver component for Maven
libmaven-archiver-java-doc (3.2.0-2.1)
Archiver component for Maven - API documentation
libmaven-artifact-transfer-java (0.9.1-4)
Apache Maven Artifact Transfer
libmaven-assembly-plugin-java (3.1.0-1)
Maven Assembly Plugin
libmaven-bundle-plugin-java (3.5.1-2)
Maven plugin to handle artifact OSGi metadata
libmaven-clean-plugin-java (3.1.0-1)
Maven clean plugin
libmaven-common-artifact-filters-java (3.1.1-1)
Maven Common Artifact Filters
libmaven-common-artifact-filters-java-doc (3.1.1-1)
Documentation for Maven Common Artifact Filters
libmaven-compiler-plugin-java (3.8.1-4)
Maven Compiler plugin
libmaven-dependency-analyzer-java (1.11.1-1)
Maven Dependency Analyzer
libmaven-dependency-plugin-java (3.1.1-1)
Maven Dependency Plugin
libmaven-dependency-tree-java (3.0.1-1.1)
Maven Dependency Tree
libmaven-dependency-tree-java-doc (3.0.1-1.1)
Documentation for Maven Dependency Tree
libmaven-deploy-plugin-java (2.8.2-3)
Maven Deploy plugin
libmaven-doxia-tools-java (1.4-4)
utilities for integrating Doxia in Maven
libmaven-doxia-tools-java-doc (1.4-4)
Documentation for Maven Doxia Integration Tools
libmaven-ejb-plugin-java (2.5.1-1)
Maven EJB Plugin
libmaven-enforcer-plugin-java (3.0.0~M2-1)
Maven build rule execution framework
libmaven-exec-plugin-java (1.6.0-4.1)
Exec Maven Plugin (transitional package)
libmaven-exec-plugin-java
本虛擬套件由這些套件填實: libexec-maven-plugin-java
libmaven-file-management-java (3.0.0-1)
Maven File Management API
libmaven-file-management-java-doc (3.0.0-1)
Documentation for Maven File Management API
libmaven-filtering-java (3.1.1-1)
Maven Filtering
libmaven-install-plugin-java (2.5.2-4.1)
Maven install plugin
libmaven-invoker-java (3.0.0-1)
Maven Invoker
libmaven-invoker-plugin-java (3.0.1-2)
Maven Invoker Plugin
libmaven-jar-plugin-java (3.1.2-1)
Maven Jar Plugin
libmaven-javadoc-plugin-java (3.0.1-4)
Maven Javadoc Plugin
libmaven-jaxb2-plugin-java (0.14.0-1)
Maven JAXB 2.x Plugin Project
libmaven-mapping-java (3.0.0-1.1)
Apache Maven Mapping
libmaven-parent-java (31-2)
Maven metadata for Apache Maven itself
libmaven-plugin-testing-java (3.3.0-1)
Maven Plugin Testing
libmaven-plugin-tools-java (3.6.0-1)
Maven Plugin Tools
libmaven-processor-plugin-java (3.3.3-1)
Maven plugin to process annotations for Java 6 at compile time
libmaven-remote-resources-plugin-java (1.6.0-2)
Apache Maven Remote Resources Plugin
libmaven-reporting-api-java (3.0-1.1)
Maven Reporting API
libmaven-reporting-exec-java (1.4-3)
Apache Maven Reporting Executor
libmaven-reporting-impl-java (3.0.0-2)
Maven Reporting API Implementation
libmaven-reporting-impl-java-doc (3.0.0-2)
Documentation for Maven Reporting API Implementation
libmaven-repository-builder-java (1.0-3)
Maven Repository Builder
libmaven-repository-builder-java-doc (1.0-3)
Documentation for Maven Repository Builder
libmaven-resolver-java (1.4.2-3)
Library to handle Java artifact repositories
libmaven-resolver-transport-http-java (1.4.2-3)
Library to handle Java artifact repositories (HTTP transport)
libmaven-resources-plugin-java (3.1.0-1)
Maven resources plugin
libmaven-scm-java (1.11.2-1)
Maven SCM - Common API for SCM operations (Core API)
libmaven-scm-java-doc (1.11.2-1)
Maven SCM - Common API for SCM operations (Documentation)
libmaven-scm-providers-java (1.11.2-1)
Maven SCM - Common API for SCM operations (Providers)
libmaven-script-interpreter-java (1.2-1)
Maven Script Interpreter
libmaven-shade-plugin-java (3.1.1-1)
Maven shade plugin
libmaven-shared-incremental-java (1.1-3)
Maven incremental build utilities
libmaven-shared-incremental-java-doc (1.1-3)
Maven incremental build utilities (documentation)
libmaven-shared-io-java (3.0.0-3)
Maven API for I/O support
libmaven-shared-io-java-doc (3.0.0-3)
Documentation for Maven API for I/O support
libmaven-shared-jar-java (1.2-3)
Maven JAR Utilities
libmaven-shared-jar-java-doc (1.2-3)
Documentation for Maven JAR Utilities
libmaven-shared-utils-java (3.3.0-1+deb11u1)
Replacement for plexus-utils in Maven
libmaven-shared-utils-java-doc (3.3.0-1+deb11u1)
Replacement for plexus-utils in Maven (documentation)
libmaven-site-plugin-java (3.6-4)
Maven Site Plugin for generating a site
libmaven-source-plugin-java (3.0.1-2)
Maven Source Plugin
libmaven-verifier-java (1.6-1)
Maven Verifier Component
libmaven-verifier-java-doc (1.6-1)
Documentation for Maven Verifier Component
libmaven-war-plugin-java (3.2.0-1)
Maven WAR Plugin
libmaven3-core-java (3.6.3-5)
Core libraries for Maven 3
libmavibot-java (1.0.0~M8-1)
Apache Mavibot - MVCC BTree Java Implementation
libmawk-dev (1.0.2-3)
embeddable mawk lib
libmawk1 (1.0.2-3)
embeddable mawk lib
libmaxflow-dev (3.0.5-3)
Development files for the maxflow-mincut algorithm
libmaxflow0 (3.0.5-3)
This library provides the maxflow-mincut algorithm
libmaxmind-db-common-perl (0.040001-1.1)
collection of common code for the MaxMind DB Perl modules
libmaxmind-db-reader-perl (1.000014-1)
Perl module to read MaxMind DB files and look up IP addresses
libmaxmind-db-reader-xs-perl (1.000008-1+b2)
fast XS implementation of the MaxMind DB reader
libmaxmind-db-writer-perl (0.300003-4)
module to create MaxMind DB database files
libmaxminddb-dev (1.5.2-1)
IP geolocation database library (development headers)
libmaxminddb0 (1.5.2-1)
IP geolocation database library
libmbassador-java (1.3.1-2)
feature-rich Java event bus optimized for high-throughput
libmbedcrypto3 (2.16.9-0.1)
lightweight crypto and SSL/TLS library - crypto library
libmbedtls-dev (2.16.9-0.1)
lightweight crypto and SSL/TLS library - development files
libmbedtls-doc (2.16.9-0.1)
lightweight crypto and SSL/TLS library - documentation
libmbedtls12 (2.16.9-0.1)
lightweight crypto and SSL/TLS library - tls library
libmbedx509-0 (2.16.9-0.1)
lightweight crypto and SSL/TLS library - x509 certificate library
libmbim-glib-dev (1.24.6-0.1)
Header files for adding MBIM support to applications that use glib
libmbim-glib-doc (1.24.6-0.1)
API documentation for the MBIM protocol library
libmbim-glib4 (1.24.6-0.1)
Support library to use the MBIM protocol
libmbim-proxy (1.24.6-0.1)
Proxy to communicate with MBIM ports
libmbim-utils (1.24.6-0.1)
Utilities to use the MBIM protocol from the command line
libmbt-dev (3.6-3)
memory-based tagger-generator and tagger - development
libmbt1 (3.6-3)
memory-based tagger-generator and tagger - runtime
libmbtserver-dev (0.14-2)
Server extensions for Timbl - development
libmbtserver1 (0.14-2)
Server extensions for Timbl - runtime
libmccs-ocaml (1.1+11-1+b3)
stripped-down MCCS CUDF solver for OCaml
libmccs-ocaml-dev (1.1+11-1+b3)
stripped-down MCCS CUDF solver for OCaml -- development files
libmccs-ocaml-dev-g19l0
本虛擬套件由這些套件填實: libmccs-ocaml-dev
libmccs-ocaml-dev-izm43
本虛擬套件由這些套件填實: libmccs-ocaml-dev
libmccs-ocaml-dev-teok5
本虛擬套件由這些套件填實: libmccs-ocaml-dev
libmccs-ocaml-dev-v0uv4
本虛擬套件由這些套件填實: libmccs-ocaml-dev
libmccs-ocaml-g19l0
本虛擬套件由這些套件填實: libmccs-ocaml
libmccs-ocaml-izm43
本虛擬套件由這些套件填實: libmccs-ocaml
libmccs-ocaml-teok5
本虛擬套件由這些套件填實: libmccs-ocaml
libmccs-ocaml-v0uv4
本虛擬套件由這些套件填實: libmccs-ocaml
libmce-perl (1.874-1)
Many-Core Engine for Perl providing parallel processing capabilities
libmckoisqldb-java (1.0.6-3)
Mckoi SQL Database (MckoiSQLDB)
libmckoisqldb-java-doc (1.0.6-3)
Documentation for Mckoi SQL Database (MckoiSQLDB)
libmcl-ocaml-dev (1:14-137+ds-9+b1)
library providing bindings between mcl and OCaml
libmcl-ocaml-dev-6gx55
本虛擬套件由這些套件填實: libmcl-ocaml-dev
libmcl-ocaml-dev-ojqd0
本虛擬套件由這些套件填實: libmcl-ocaml-dev
libmcpp-dev (2.7.2-5)
Alternative C/C++ preprocessor (development files)
libmcpp0 (2.7.2-5)
Alternative C/C++ preprocessor (shared library)
libmcrypt-dev (2.5.8-3.4+b1 [amd64], 2.5.8-3.4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
De-/Encryption Library development files
libmcrypt4 (2.5.8-3.4+b1 [amd64], 2.5.8-3.4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
De-/Encryption Library
libmd-dev (1.0.3-3)
message digest functions from BSD systems - development files
libmd0 (1.0.3-3)
message digest functions from BSD systems - shared library
libmd0-udeb (1.0.3-3)
message digest functions from BSD systems - shared library
libmd4c-dev (0.4.7-2)
Markdown for C - development files
libmd4c-html0 (0.4.7-2)
Markdown for C HTML-renderer
libmd4c-html0-dev (0.4.7-2)
Markdown for C HTML-renderer - development files
libmd4c0 (0.4.7-2)
Markdown for C
libmdb3 (0.9.1-1)
Core library for accessing JET / MS Access (MDB) files
libmdbsql3 (0.9.1-1)
mdbtools SQL library
libmdc-dev (0.16.3+dfsg-1)
Medical Image (DICOM, ECAT, ...) conversion tool (development)
libmdc2-dev
本虛擬套件由這些套件填實: libmdc-dev
libmdc3 (0.16.3+dfsg-1)
Medical Image (DICOM, ECAT, ...) conversion tool (library)
libmdds-dev (1.7.0-1)
Multi Dimension Data structure library -- headers
libmdds-doc (1.7.0-1)
Multi Dimension Data structure library -- documentation
libmdsp-dev (0.11-10+b1)
METAR Decoder Software Package Library development files
libmeanwhile-dev (1.1.1-2)
development package for libmeanwhile
libmeanwhile1 (1.1.1-2)
open implementation of the Lotus Sametime Community Client protocol
libmecab-dev (0.996-14+b4)
Header files of Mecab
libmecab-java (0.996-14)
mecab binding for Java - java classes
libmecab-jni (0.996-14+b4)
mecab binding for Java - native interface
libmecab-perl (0.996-14+b4)
Mecab binding for Perl
libmecab2 (0.996-14+b4)
Libraries of Mecab
libmed-dev (4.1.0+repack-2+b2)
Development files for libmed
libmed-doc (4.1.0+repack-2)
Documentation for the MED-fichier library
libmed-tools (4.1.0+repack-2+b2)
Runtime tools to handle MED files
libmed11 (4.1.0+repack-2+b2)
Library to exchange meshed data (Fortran version)
libmedc-dev (4.1.0+repack-2+b2)
Development files for libmedc
libmedc11 (4.1.0+repack-2+b2)
Library to exchange meshed data (C version)
libmediaart-2.0-0 (1.9.4-3)
media art extraction and cache management library
libmediaart-2.0-dev (1.9.4-3)
media art extraction and cache management library - development files
libmediaart-doc (1.9.4-3)
media art extraction and cache management library - documentation
libmediainfo-dev (20.09+dfsg-2)
library reading metadata from media files -- headers
libmediainfo-doc (20.09+dfsg-2)
library for reading metadata from media files -- documentation
libmediainfo0v5 (20.09+dfsg-2)
library for reading metadata from media files -- shared library
libmediastreamer-dev (1:4.4.21-3)
Development files for the mediastreamer2 library
libmediastreamer11 (1:4.4.21-3)
Voice and video streaming engine for telephony (base)
libmediawiki-api-perl (0.52-1)
Perl interface to the MediaWiki API
libmediawiki-bot-perl (5.006003-1)
high-level bot framework for interacting with MediaWiki wikis
libmediawiki-dumpfile-perl (0.2.2-1.1)
Perl module to parse MediaWiki dump files
libmedimport-dev (4.1.0+repack-2+b2)
Development files for libmedimport0
libmedimport0v5 (4.1.0+repack-2+b2)
Library to import old version files
libmedimportcxx-dev
本虛擬套件由這些套件填實: libmedimport-dev
libmedley-clojure (1.0.0-1.1)
Clojure/ClojureScript utility library
libmeep-dev (1.17.1-1)
development library for using meep
libmeep-mpi-default-dev (1.17.1-2)
development library for using parallel (OpenMPI) version of meep
libmeep-mpi-default23 (1.17.1-2)
library for using parallel (OpenMPI) version of meep
libmeep-openmpi-dev (1.17.1-2)
development library for using parallel (OpenMPI) version of meep
libmeep-openmpi23 (1.17.1-2)
library for using parallel (OpenMPI) version of meep
libmeep23 (1.17.1-1)
library for using meep
libmemcached-dbg (1.0.18-4.2)
Debug Symbols for libmemcached
libmemcached-dev (1.0.18-4.2)
C and C++ client library to the memcached server (development files)
libmemcached-libmemcached-perl (1.001801+dfsg-2+b6)
thin, fast, full interface to the libmemcached client API
libmemcached-tools (1.0.18-4.2)
Commandline tools for talking to memcached via libmemcached
libmemcached11 (1.0.18-4.2)
C and C++ client library to the memcached server
libmemcachedutil2 (1.0.18-4.2)
library implementing connection pooling for libmemcached
libmemchan-tcl
本虛擬套件由這些套件填實: tcl-memchan
libmemchan-tcl-dev
本虛擬套件由這些套件填實: tcl-memchan-dev
libmemkind-dev (1.11.0-1)
user-extensible heap manager for heterogeneous memory platforms
libmemkind-progs (1.11.0-1)
user-extensible heap manager for heterogeneous memory platforms
libmemkind0 (1.11.0-1)
user-extensible heap manager for heterogeneous memory platforms
libmemoize-expirelru-perl (0.56-1.1)
Expiry plug-in for Memoize that adds LRU cache expiration
libmemoize-memcached-perl (0.04-1)
implementation of Memoize using memcached for storage
libmemory-usage-perl (0.201-3)
Determine actual memory usage of Perl programs
libmems-1.6-dev
本虛擬套件由這些套件填實: libmems-dev
libmems-dev (1.6.0+4725-9+b1)
development library to support DNA string matching and comparative genomics
libmems1 (1.6.0+4725-9+b1)
library to support DNA string matching and comparative genomics
libmemtailor-dev (1.0~git20200526-1)
C++ library of special purpose memory allocators (developer tools)
libmemtailor0 (1.0~git20200526-1)
C++ library of special purpose memory allocators (shared library)
libmenhir-ocaml-dev (20201216-1)
Menhir library for OCaml
libmenhir-ocaml-dev-ab2z0
本虛擬套件由這些套件填實: libmenhir-ocaml-dev
libmenhir-ocaml-dev-dqkt5
本虛擬套件由這些套件填實: libmenhir-ocaml-dev
libmenhir-ocaml-dev-gl1q4
本虛擬套件由這些套件填實: libmenhir-ocaml-dev
libmenhir-ocaml-dev-kbw37
本虛擬套件由這些套件填實: libmenhir-ocaml-dev
libmenlo-legacy-perl (1.9022-1.1)
legacy internal and client support for Menlo
libmenlo-perl (1.9019-1.1)
CPAN client backend
libmenu-cache-bin (1.1.0-1.1)
LXDE implementation of the freedesktop Menu's cache (libexec)
libmenu-cache-dev (1.1.0-1.1)
LXDE implementation of the freedesktop Menu's cache (devel)
libmenu-cache-doc (1.1.0-1.1)
LXDE implementation of the freedesktop Menu's cache (docs)
libmenu-cache3 (1.1.0-1.1)
LXDE implementation of the freedesktop Menu's cache
libmeryl-dev (0~20150903+r2013-8+b1)
in- and out-of-core kmer counting and utilities (development lib)
libmeschach-dev (1.2b-17)
development files for meschach
libmeschach1.2 (1.2b-17)
library for performing operations on matrices and vectors
libmeshoptimizer-dev (0.15+dfsg-3)
Mesh optimizing library for 3D object rendering - development headers
libmeshoptimizer0d (0.15+dfsg-3)
Mesh optimizing library for 3D object rendering
libmessage-filters-dev (1.15.9+ds1-7+deb11u1)
Development files for Robot OS message-filters
libmessage-filters1d (1.15.9+ds1-7+deb11u1)
Library for Robot OS message-filters
libmessage-passing-amqp-perl (0.007-1)
input and output message-pass messages via AMQP
libmessage-passing-filter-regexp-perl (0.05-2)
regexp capture filter For Message::Passing
libmessage-passing-perl (0.116-4)
simple way of doing messaging
libmessage-passing-zeromq-perl (0.010-2)
input and output messages to ZeroMQ
libmessaging-menu-dev (0.8.2-1)
Ayatana Messaging Menu - library development files
libmessaging-menu0 (0.8.2-1)
Ayatana Messaging Menu - shared library
libmessagingmenu-cil-dev (1.0.1-1.1)
CLI binding for the MessagingMenu library - development files
libmessagingmenu12.10-cil (1.0.1-1.1)
CLI binding for the MessagingMenu library
libmeta-builder-perl (0.004-1)
tool for creating Meta objects to track custom metrics
libmetabase-fact-perl (0.025-2)
base class for Metabase Facts
libmetacity-dev (1:3.38.0-2)
development files for the Metacity window manager
libmetacity3 (1:3.38.0-2)
library for the Metacity window manager
libmetacpan-client-perl (2.029000-1)
MetaCPAN API client
libmetadata-extractor-java (2.11.0-1)
JPEG metadata extraction framework
libmetainf-services-java (1.8-1)
META-INF/services generator
libmetainf-services-java-doc (1.8-1)
Documentation for META-INF/services generator
libmethod-alias-perl (1.03-2)
module to create method aliases
libmethod-autoload-perl (0.02-2.1)
autoloads methods from a list of packages into the current package
libmethod-signatures-perl (20170211-2)
method and function declarations with signatures and no source filter
libmethod-signatures-simple-perl (1.07-1.1)
module for basic method declarations with signatures
libmetis-dev (5.1.0.dfsg-7)
Serial Graph Partitioning and Fill-reducing Matrix Ordering. Header
libmetis-doc (5.1.0.dfsg-7)
Serial Graph Partitioning and Fill-reducing Matrix Ordering. Documentation
libmetis-edf-dev (4.1-2-4+b1) [non-free]
Family of Multilevel Partitioning Algorithms
libmetis-edf4.1 (4.1-2-4+b1) [non-free]
Family of Multilevel Partitioning Algorithms
libmetis5 (5.1.0.dfsg-7)
Serial Graph Partitioning and Fill-reducing Matrix Ordering
libmetkit-data (1.6.4-2)
Data used by the ECMWF Metkit Library
libmetkit-dev (1.6.4-2)
Development files for the Metkit toolkit
libmetkit-utils (1.6.4-2)
Utilities for the MetKit MARS access library
libmetkit0d (1.6.4-2)
Library for Meteorology tookit, implementing MARS language
libmetrics-any-perl (0.06-1)
abstract collection of monitoring metrics
libmetrics-clojure (2.9.0-2.1)
Clojure wrapper for Coda Hale's metrics library
libmetro-policy-java (2.7.2-3)
WS-Policy implementation in Java
libmetrohash-dev (1.1.3-4)
hash functions for non-cryptographic use cases (headers)
libmetrohash1 (1.1.3-4)
hash functions for non-cryptographic use cases
libmetview-dev (5.10.2-1)
Development files for MetView
libmetview0d (5.10.2-1)
Shared libraries for MetView
libmew-ocaml (0.1.0-1+b2 [amd64], 0.1.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
modal editing witch (runtime)
libmew-ocaml-7ort3
本虛擬套件由這些套件填實: libmew-ocaml
libmew-ocaml-c2qe1
本虛擬套件由這些套件填實: libmew-ocaml
libmew-ocaml-dev (0.1.0-1+b2 [amd64], 0.1.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
modal editing witch (dev)
libmew-ocaml-dev-7ort3
本虛擬套件由這些套件填實: libmew-ocaml-dev
libmew-ocaml-dev-c2qe1
本虛擬套件由這些套件填實: libmew-ocaml-dev
libmew-ocaml-dev-dnlc8
本虛擬套件由這些套件填實: libmew-ocaml-dev
libmew-ocaml-dev-pcj90
本虛擬套件由這些套件填實: libmew-ocaml-dev
libmew-ocaml-dnlc8
本虛擬套件由這些套件填實: libmew-ocaml
libmew-ocaml-pcj90
本虛擬套件由這些套件填實: libmew-ocaml
libmew-vi-ocaml (0.5.0-1+b2 [amd64], 0.5.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
modal editing witch, VI interpreter (runtime)
libmew-vi-ocaml-2wbh9
本虛擬套件由這些套件填實: libmew-vi-ocaml
libmew-vi-ocaml-dev (0.5.0-1+b2 [amd64], 0.5.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
modal editing witch, VI interpreter (dev)
libmew-vi-ocaml-dev-2wbh9
本虛擬套件由這些套件填實: libmew-vi-ocaml-dev
libmew-vi-ocaml-dev-eta68
本虛擬套件由這些套件填實: libmew-vi-ocaml-dev
libmew-vi-ocaml-dev-qf211
本虛擬套件由這些套件填實: libmew-vi-ocaml-dev
libmew-vi-ocaml-dev-ul7a7
本虛擬套件由這些套件填實: libmew-vi-ocaml-dev
libmew-vi-ocaml-eta68
本虛擬套件由這些套件填實: libmew-vi-ocaml
libmew-vi-ocaml-qf211
本虛擬套件由這些套件填實: libmew-vi-ocaml
libmew-vi-ocaml-ul7a7
本虛擬套件由這些套件填實: libmew-vi-ocaml
libmfsio-dev (3.0.115-1)
MooseFS IO library - development files
libmfsio1 (3.0.115-1)
MooseFS IO library
libmfx-dev (21.1.0-1)
Intel Media SDK -- development files
libmfx-tools (21.1.0-1)
Intel Media SDK -- tools
libmfx1 (21.1.0-1)
Intel Media SDK -- shared library
libmgba (0.8.4+dfsg-2)
Game Boy Advance emulator (common library for mGBA)
libmgl-data (2.4.4-7)
library for scientific graphs (data files)
libmgl-dev (2.4.4-7)
library for scientific graphs (development files)
libmgl-fltk7.5.0 (2.4.4-7)
library for scientific graphs (fltk interface for windows)
libmgl-glut7.5.0 (2.4.4-7)
library for scientific graphs (glut interface for windows)
libmgl-mpi7.5.0 (2.4.4-7)
library for scientific graphs (mpi enhanced runtime library)
libmgl-qt5-7.5.0 (2.4.4-7)
library for scientific graphs (Qt interface for windows)
libmgl-wnd7.5.0 (2.4.4-7)
library for scientific graphs (windows runtime library)
libmgl-wx7.5.0 (2.4.4-7)
library for scientific graphs (wx interface for windows)
libmgl7.5.0 (2.4.4-7)
library for scientific graphs (main runtime library)
libmhash-dev (0.9.9.9-9)
Library for cryptographic hashing and message authentication
libmhash2 (0.9.9.9-9)
Library for cryptographic hashing and message authentication
libmia-2.4-4 (2.4.7-7)
library for 2D and 3D gray scale image processing
libmia-2.4-dev (2.4.7-7)
library for 2D and 3D gray scale image processing, development files
libmia-2.4-doc (2.4.7-7)
library for 2D and 3D gray scale image processing, documentation
libmialm-dev (1.0.9-3)
Development files for the MIA landmark library
libmialm-doc (1.0.9-3)
Documentation for the MIA landmark library
libmialm3 (1.0.9-3)
Landmark handling for the MIA tool chain
libmiaviewit-dev (1.0.5-3)
development files for the 3D visualization library
libmiaviewit0 (1.0.5-3)
MIA addon library for 3D visualization
libmicroba-java (1:0.4.4.3-5.1)
set of JFC (Swing) components
libmicroba-java-doc (1:0.4.4.3-5.1)
Documentation for libmicroba-java
libmicrohttpd-dev (0.9.72-2)
library embedding HTTP server functionality (development)
libmicrohttpd12 (0.9.72-2)
library embedding HTTP server functionality
libmidi-perl (0.83-1)
module to read, compose, modify, and write MIDI files in Perl
libmigemo-dev (1:1.2+gh0.20150404-7.1)
Japanese incremental search tool written in C - development binaries
libmigemo1 (1:1.2+gh0.20150404-7.1)
Japanese incremental search tool written in C - library
libmiglayout-java (5.1-3)
Java Layout Manager
libmigrate-parsetree-ocaml (1.8.0-2)
Convert OCaml parsetrees between different major versions (Runtime library)
libmigrate-parsetree-ocaml-1abp1
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmigrate-parsetree-ocaml-9pt34
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmigrate-parsetree-ocaml-btpv9
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmigrate-parsetree-ocaml-dev (1.8.0-2)
Convert OCaml parsetrees between different major versions (Development package)
libmigrate-parsetree-ocaml-dev-1abp1
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-dev-9pt34
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-dev-btpv9
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-dev-n2039
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-dev-rl9d2
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-dev-vtsf2
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-dev-y9ik5
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml-dev
libmigrate-parsetree-ocaml-n2039
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmigrate-parsetree-ocaml-rl9d2
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmigrate-parsetree-ocaml-vtsf2
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmigrate-parsetree-ocaml-y9ik5
本虛擬套件由這些套件填實: libmigrate-parsetree-ocaml
libmikmatch-ocaml (1.0.9-1+b1)
camlp4 extension for pattern matching with regexps - runtime
libmikmatch-ocaml-6t120
本虛擬套件由這些套件填實: libmikmatch-ocaml
libmikmatch-ocaml-9o5x2
本虛擬套件由這些套件填實: libmikmatch-ocaml
libmikmatch-ocaml-dev (1.0.9-1+b1)
camlp4 extension for pattern matching with regexps - development
libmikmatch-ocaml-dev-6t120
本虛擬套件由這些套件填實: libmikmatch-ocaml-dev
libmikmatch-ocaml-dev-9o5x2
本虛擬套件由這些套件填實: libmikmatch-ocaml-dev
libmikmatch-ocaml-dev-mzqr2
本虛擬套件由這些套件填實: libmikmatch-ocaml-dev
libmikmatch-ocaml-dev-oocs2
本虛擬套件由這些套件填實: libmikmatch-ocaml-dev
libmikmatch-ocaml-mzqr2
本虛擬套件由這些套件填實: libmikmatch-ocaml
libmikmatch-ocaml-oocs2
本虛擬套件由這些套件填實: libmikmatch-ocaml
libmikmod-config (3.3.11.1-6)
Portable sound library - transitional package
libmikmod-dev (3.3.11.1-6)
Portable sound library - development files
libmikmod3 (3.3.11.1-6)
Portable sound library
libmilib-java (1.13-1)
library for Next Generation Sequencing (NGS) data processing
libmilter-dev (8.15.2-22)
Sendmail Mail Filter API (Milter) (development files)
libmilter1.0.1 (8.15.2-22)
Sendmail Mail Filter API (Milter)
libmime-base32-perl (1.303-1.1)
Base32 encoder/decoder
libmime-base64-perl (= 3.15)
本虛擬套件由這些套件填實: perl
libmime-base64-urlsafe-perl (0.01-2.1)
Perl version of Python's URL-safe base64 codec
libmime-charset-perl (1.012.2-1)
module for MIME character set information
libmime-encwords-perl (1.014.3-2)
Perl interface to deal with RFC 2047 encoded words
libmime-explode-perl (0.39-3+b10 [s390x], 0.39-3+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.39-3+b7 [mips64el, ppc64el])
Perl extension to explode MIME messages
libmime-lite-html-perl (1.24-3.1)
Transform HTML page into MIME email
libmime-lite-perl (3.031-1)
module for convenient MIME message creation
libmime-lite-tt-perl (0.02-1.1)
module to generate MIME messages from Template Toolkit templates
libmime-tools-perl (5.509-1)
Perl5 modules for MIME-compliant messages
libmime-types-perl (2.18-1)
Perl extension for determining MIME types and Transfer Encoding
libmime-util-java (2.1.3-3)
MIME types detector library
libmimelib1-dev (5:1.1.4-3+b1)
mime library - development
libmimelib1c2a (5:1.1.4-3+b1)
mime library - runtime
libmimepull-java (1.9.7-1.1)
Pull API for parsing MIME messages
libmimetic-dev (0.9.8-9)
C++ MIME library (development)
libmimetic-doc (0.9.8-9)
C++ MIME library (documentation)
libmimetic0v5 (0.9.8-9)
C++ MIME library (runtime)
libmina-java (1.1.7.dfsg-13)
Java network application framework
libmina-java-doc (1.1.7.dfsg-13)
Java network application framework - documentation
libmina2-java (2.1.4-2)
Java network application framework
libmina2-java-doc (2.1.4-2)
Java network application framework - documentation
libminc-dev (2.4.03-3)
MNI medical image format development environment
libminc2-5.2.0 (2.4.03-3)
MNI medical image format library
libmini18n-dev (0.2.1-1+b2)
minimal internationalization library - devel headers
libmini18n1 (0.2.1-1+b2)
minimal internationalization library
libmini18n1-dbg (0.2.1-1+b2)
minimal internationalization library - debug symbols
libminicoredumper-dev (2.0.1-1+b2)
minicoredumper library development files
libminicoredumper2 (2.0.1-1+b2)
minicoredumper library
libminidjvu-dev (0.8.svn.2010.05.06+dfsg-6+b1 [amd64], 0.8.svn.2010.05.06+dfsg-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Small DjVu encoder/decoder, development files
libminidjvu0 (0.8.svn.2010.05.06+dfsg-6+b1 [amd64], 0.8.svn.2010.05.06+dfsg-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Small DjVu encoder/decoder, shared library
libminimap-dev (0.2-5)
development headers for libminimap
libminimap0 (0.2-5)
library for approximate mapping of long biosequences
libminimap2-dev (2.17+dfsg-12+b3)
development headers for libminimap
libminini-dev (1.3+ds-1)
minimal INI file parser - development headers
libminini1 (1.3+ds-1)
minimal INI file parser
libminion-backend-sqlite-perl (5.0.4-1)
SQLite backend for Minion job queue
libminion-perl (10.19+dfsg-2)
job queue for Mojolicious
libminiupnpc-dev (2.2.1-1)
UPnP IGD client lightweight library development files
libminiupnpc17 (2.2.1-1)
UPnP IGD client lightweight library
libminizip-dev (1.1-8+deb11u1)
compression library - minizip development files
libminizip1 (1.1-8+deb11u1)
compression library - minizip library
libminlog-java (1.3.0-1.1)
minimal Java logging library
libminlog-java-doc (1.3.0-1.1)
minimal Java logging library - doc
libminpack1 (19961126+dfsg1-5)
nonlinear equations and nonlinear least squares shared library
libmir-core-dev (1.0.2-1+b2 [amd64, arm64, i386], 1.0.2-1+b1 [armel, armhf, s390x])
D software building blocks and conventions -- development files
libmir-core0 (1.0.2-1+b2 [amd64, arm64, i386], 1.0.2-1+b1 [armel, armhf, s390x])
Base D software building blocks and conventions
libmiral-dev (2.9.0.1.8.0+dfsg1-18)
Mir Display Server - ABI preserving abstraction layer - development headers
libmiral3 (2.9.0.1.8.0+dfsg1-18)
Mir Display Server - ABI preserving abstraction layer
libmirclient-dev (1.8.0+dfsg1-18)
Mir Display Server - client library - development headers
libmirclient9 (1.8.0+dfsg1-18)
Mir Display Server - client library
libmirclientcpp-dev (= 1.5)
本虛擬套件由這些套件填實: libmirclient-dev
libmircommon-dev (1.8.0+dfsg1-18)
Mir Display Server - common library - development headers
libmircommon7 (1.8.0+dfsg1-18)
Mir Display Server - common library
libmircookie-dev (1.8.0+dfsg1-18)
Mir Display Server - spoof-resistant timestamps - cookie development headers
libmircookie2 (1.8.0+dfsg1-18)
Mir Display Server - spoof-resistant timestamps - runtime library
libmircore-dev (1.8.0+dfsg1-18)
Mir Display Server - core library - development headers
libmircore1 (1.8.0+dfsg1-18)
Mir Display Server - core library
libmirisdr-dev (0.0.4.59ba37-5+b1)
Software defined radio support for Mirics hardware (development files)
libmirisdr0 (0.0.4.59ba37-5+b1)
Software defined radio support for Mirics hardware (library)
libmirplatform-dev (1.8.0+dfsg1-18)
Mir Display Server - platform library - development headers
libmirplatform18 (1.8.0+dfsg1-18)
Mir Display Server - platform library
libmirprotobuf3 (1.8.0+dfsg1-18)
Mir Display Server - RPC definitions
libmirrenderer-dev (1.8.0+dfsg1-18)
Mir Display Server - renderer - development headers
libmirserver-dev (1.8.0+dfsg1-18)
Mir Display Server - server library - development headers
libmirserver53 (1.8.0+dfsg1-18)
Mir Display Server - server library
libmirwayland-bin (1.8.0+dfsg1-18)
Mir Display Server - generator for Wayland protocol extension wrappers
libmirwayland-dev (1.8.0+dfsg1-18)
Mir Display Server - generated wrappers for Wayland - development headers protocol extensions
libmirwayland0 (1.8.0+dfsg1-18)
Mir Display Server - generated wrappers for Wayland protocol extensions
libmission-control-plugins-dev (1:5.16.5-2)
management daemon for Telepathy (headers for plugins)
libmission-control-plugins-doc (1:5.16.5-2)
management daemon for Telepathy (documentation for plugins)
libmission-control-plugins0 (1:5.16.5-2)
management daemon for Telepathy (library for plugins)
libmixin-extrafields-param-perl (0.020-1.1)
module to make classes provide a familiar "param" method
libmixin-extrafields-perl (0.140001-1.1)
module to add extra stashes of data to objects
libmixin-linewise-perl (0.108-1.1)
module to handle general file input/output operations
libmjpegtools-dev (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (development)
libmjpegutils-2.1-0 (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (library)
libmkdoc-xml-perl (0.75-4)
MKDoc XML Toolkit
libmkl-avx (2020.4.304-2) [non-free]
Intel® MKL: Kernel library for Intel® AVX enabled processors
libmkl-avx2 (2020.4.304-2) [non-free]
Intel® MKL: Kernel library for Intel® AVX2 enabled processors
libmkl-avx512 (2020.4.304-2) [non-free]
Intel® MKL: Kernel library for Intel® AVX-512 enabled processors
libmkl-avx512-mic (2020.4.304-2) [non-free]
Intel® MKL : Kernel library for Intel® AVX-512 Xeon Phi processors
libmkl-blacs-intelmpi-ilp64 (2020.4.304-2) [non-free]
Intel® MKL : ILP64 BLACS routines for Intel® MPI and MPICH2+
libmkl-blacs-intelmpi-lp64 (2020.4.304-2) [non-free]
Intel® MKL : LP64 BLACS routines for Intel® MPI and MPICH2+
libmkl-blacs-openmpi-ilp64 (2020.4.304-2) [non-free]
Intel® MKL : ILP64 version of BLACS routines for Open MPI
libmkl-blacs-openmpi-lp64 (2020.4.304-2) [non-free]
Intel® MKL : LP64 version of BLACS routines for Open MPI
libmkl-blacs-sgimpt-ilp64 (2020.4.304-2) [non-free]
Intel® MKL : ILP64 version of BLACS routines for SGI MPT
libmkl-blacs-sgimpt-lp64 (2020.4.304-2) [non-free]
Intel® MKL : LP64 version of BLACS routines for SGI MPI Toolkit
libmkl-cdft-core (2020.4.304-2) [non-free]
Intel® MKL : Cluster version of FFT functions
libmkl-cluster-dev (2020.4.304-2) [non-free]
Static libs of intel-MKL: Cluster
libmkl-computational-dev (2020.4.304-2) [non-free]
Static libs of intel-MKL: Computational Layer
libmkl-core (2020.4.304-2) [non-free]
Intel® MKL: Library dispatcher of Computational Layer
libmkl-def (2020.4.304-2) [non-free]
Intel® MKL: Default kernel library
libmkl-dev (2020.4.304-2) [non-free]
Intel® Math Kernel Library (Intel® MKL) (Dev)
libmkl-full-dev (2020.4.304-2) [non-free]
Intel® Math Kernel Library (Intel® MKL) (Full Version Dev)
libmkl-gf (2020.4.304-2) [non-free]
Intel® MKL: (ia32) Interface library for the GNU Fortran compiler
libmkl-gf-ilp64 (2020.4.304-2) [non-free]
Intel® MKL: ILP64 interface library for the GNU Fortran compilers
libmkl-gf-lp64 (2020.4.304-2) [non-free]
Intel® MKL: LP64 interface library for the GNU Fortran compilers
libmkl-gnu-thread (2020.4.304-2) [non-free]
Intel® MKL: OpenMP threading library for GNU Fortran/C compilers
libmkl-intel (2020.4.304-2) [non-free]
Intel® MKL: (ia32) Interface library for the Intel compilers
libmkl-intel-ilp64 (2020.4.304-2) [non-free]
Intel® MKL: ILP64 interface library for the Intel compilers
libmkl-intel-lp64 (2020.4.304-2) [non-free]
Intel® MKL: LP64 interface library for the Intel compilers
libmkl-intel-thread (2020.4.304-2) [non-free]
Intel® MKL: OpenMP threading library for the Intel compilers
libmkl-interface-dev (2020.4.304-2) [non-free]
Static libs of intel-MKL: Interface Layer
libmkl-locale (2020.4.304-2) [non-free]
Intel MKL: Locale Files Used by All Shared Objects
libmkl-mc (2020.4.304-2) [non-free]
Intel® MKL: Kernel library for Intel® SSSE3 enabled processors
libmkl-mc3 (2020.4.304-2) [non-free]
Intel® MKL: Kernel library for Intel® SSE4.2 enabled processors
libmkl-meta-cluster (2020.4.304-2) [non-free]
Metapackage for Intel-MKL: Shared Object for Cluster
libmkl-meta-computational (2020.4.304-2) [non-free]
Metapackage of intel-MKL: Shared Objects for Computaional Layer
libmkl-meta-interface (2020.4.304-2) [non-free]
Metapackage of intel-MKL: Shared Objects of Interface Layer
libmkl-meta-threading (2020.4.304-2) [non-free]
Metapackage of intel-MKL: Shared Objects of Threading Layer
libmkl-p4 (2020.4.304-2) [non-free]
Intel® MKL : Pentium 4 processor kernel library
libmkl-p4m (2020.4.304-2) [non-free]
Intel® MKL : Kernel library for Intel SSSE3 enabled processors
libmkl-p4m3 (2020.4.304-2) [non-free]
Intel® MKL : Kernel library for Intel SSE4.2 enabled processors
libmkl-pgi-thread (2020.4.304-2) [non-free]
Intel® MKL: OpenMP threading library for the PGI compiler
libmkl-rt (2020.4.304-2) [non-free]
Intel® MKL: Single Dynamic Library (SDL)
libmkl-scalapack-ilp64 (2020.4.304-2) [non-free]
Intel® MKL : ScaLAPACK routine library supporting ILP64 interface
libmkl-scalapack-lp64 (2020.4.304-2) [non-free]
Intel® MKL : ScaLAPACK routine library supporting LP64 interface
libmkl-sequential (2020.4.304-2) [non-free]
Intel® MKL: Sequential library
libmkl-tbb-thread (2020.4.304-2) [non-free]
Intel® MKL: Intel TBB threading library for the Intel compilers
libmkl-threading-dev (2020.4.304-2) [non-free]
Static libs of intel-MKL: Threading Layer
libmkl-vml-avx (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF optimized for Intel® AVX enabled processors
libmkl-vml-avx2 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF optimized for Intel® AVX2 enabled processors
libmkl-vml-avx512 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF optimized for AVX-512 on Xeon® processors
libmkl-vml-avx512-mic (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF optimized for AVX-512 on Xeon Phi™ processors
libmkl-vml-cmpt (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for conditional numerical reproducibility
libmkl-vml-def (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF part of default kernels
libmkl-vml-ia (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF default kernel for newer Intel architecture
libmkl-vml-mc (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for Intel® SSSE3 enabled processors
libmkl-vml-mc2 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for 45nm Hi-k Core2 and Xeon processor
libmkl-vml-mc3 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for Intel® SSE4.2 enabled processors
libmkl-vml-p4 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF part of Pentium 4 processor kernel
libmkl-vml-p4m (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for Intel® SSSE3 enabled processors
libmkl-vml-p4m2 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for 45nm Hi-k Core2 and Intel Xeon® processor
libmkl-vml-p4m3 (2020.4.304-2) [non-free]
Intel® MKL : VM/VS/DF for Intel® SSE4.2 enabled processors
libmldbm-perl (2.05-2.1)
module for storing multidimensional hash structures in perl tied hashes
libmldbm-sync-perl (0.30-4.1)
Perl module for safe concurrent access to MLDBM databases
libmlir-13 (1:13.0.1-6~deb11u1)
Multi-Level Intermediate Representation library
libmlir-13-dev (1:13.0.1-6~deb11u1)
Multi-Level Intermediate Representation library
libmlir-16 (1:16.0.6-15~deb11u2)
Multi-Level Intermediate Representation library
libmlir-16-dev (1:16.0.6-15~deb11u2)
Multi-Level Intermediate Representation library
libmlir-x.y
本虛擬套件由這些套件填實: libmlir-13, libmlir-16
libmlir-x.y-dev
本虛擬套件由這些套件填實: libmlir-13-dev, libmlir-16-dev
libmlnlffi-smlnj (110.79-6)
No Longer Foreign Function Interface
libmlpack-dev (3.4.2-1+b2)
intuitive, fast, scalable C++ machine learning library (development libs)
libmlpack3 (3.4.2-1+b2)
intuitive, fast, scalable C++ machine learning library (runtime library)
libmlpcap-ocaml (0.9-19)
binding of libpcap for OCaml (runtime package)
libmlpcap-ocaml-dev (0.9-19)
binding of libpcap for OCaml
libmlpcap-ocaml-dev-gv5g5
本虛擬套件由這些套件填實: libmlpcap-ocaml-dev
libmlpcap-ocaml-dev-wk4d7
本虛擬套件由這些套件填實: libmlpcap-ocaml-dev
libmlpcap-ocaml-gv5g5
本虛擬套件由這些套件填實: libmlpcap-ocaml
libmlpcap-ocaml-wk4d7
本虛擬套件由這些套件填實: libmlpcap-ocaml
libmlpost-ocaml-dev (0.8.2-4+b3 [i386], 0.8.2-4+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml interface to Metapost (library)
libmlpost-ocaml-dev-2nix5
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-dev-38o49
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-dev-4u4m7
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-dev-6wpj3
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-dev-jg8l6
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-dev-q3mw6
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-dev-toa05
本虛擬套件由這些套件填實: libmlpost-ocaml-dev
libmlpost-ocaml-doc (0.8.2-4)
Objective Caml interface to Metapost (doc)
libmlrisctools-smlnj (110.79-6)
Library for generating MLRISC modules
libmlt++-dev (6.24.0-1)
MLT multimedia framework C++ wrapper (development)
libmlt++3 (6.24.0-1)
MLT multimedia framework C++ wrapper (runtime)
libmlt-data (6.24.0-1)
multimedia framework (data)
libmlt-dev (6.24.0-1)
multimedia framework (development)
libmlt6 (6.24.0-1)
multimedia framework (runtime)
libmlv3 (3.1.0-5+b2)
simplified multimedia library in C for beginners.
libmlv3-dev (3.1.0-5+b2)
simplified multimedia library in C for beginners.
libmlx4-1
本虛擬套件由這些套件填實: ibverbs-providers
libmlx5-1
本虛擬套件由這些套件填實: ibverbs-providers
libmm-dev (1.4.2-6)
Shared memory library - development files
libmm-glib-dev (1.14.12-0.2)
D-Bus service for managing modems - library development files
libmm-glib-doc (1.14.12-0.2)
D-Bus service for managing modems - library documentation
libmm-glib0 (1.14.12-0.2)
D-Bus service for managing modems - shared libraries
libmm-ocaml (0.6.0.1~really0.5.0-1)
OCaml multimedia library -- runtime files
libmm-ocaml-bjgc2
本虛擬套件由這些套件填實: libmm-ocaml
libmm-ocaml-d5z49
本虛擬套件由這些套件填實: libmm-ocaml
libmm-ocaml-dev (0.6.0.1~really0.5.0-1)
OCaml multimedia library -- development files
libmm-ocaml-dev-bjgc2
本虛擬套件由這些套件填實: libmm-ocaml-dev
libmm-ocaml-dev-d5z49
本虛擬套件由這些套件填實: libmm-ocaml-dev
libmm-ocaml-dev-kfe37
本虛擬套件由這些套件填實: libmm-ocaml-dev
libmm-ocaml-dev-r1eh2
本虛擬套件由這些套件填實: libmm-ocaml-dev
libmm-ocaml-kfe37
本虛擬套件由這些套件填實: libmm-ocaml
libmm-ocaml-r1eh2
本虛擬套件由這些套件填實: libmm-ocaml
libmm14 (1.4.2-6)
Shared memory library - runtime
libmmap-allocator-dev (0.4.0+git20200122.adbfbe1-1)
STL allocator that mmaps files
libmmap-ocaml (1.1.0-1+b3 [amd64], 1.1.0-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
file mapping functionality in OCaml (runtime)
libmmap-ocaml-dev (1.1.0-1+b3 [amd64], 1.1.0-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
file mapping functionality in OCaml (development)
libmmap-ocaml-dev-on6m5
本虛擬套件由這些套件填實: libmmap-ocaml-dev
libmmap-ocaml-dev-t2mz3
本虛擬套件由這些套件填實: libmmap-ocaml-dev
libmmap-ocaml-dev-uqd41
本虛擬套件由這些套件填實: libmmap-ocaml-dev
libmmap-ocaml-dev-yfr13
本虛擬套件由這些套件填實: libmmap-ocaml-dev
libmmap-ocaml-on6m5
本虛擬套件由這些套件填實: libmmap-ocaml
libmmap-ocaml-t2mz3
本虛擬套件由這些套件填實: libmmap-ocaml
libmmap-ocaml-uqd41
本虛擬套件由這些套件填實: libmmap-ocaml
libmmap-ocaml-yfr13
本虛擬套件由這些套件填實: libmmap-ocaml
libmmblib-dev (3.2+dfsg-2+deb11u1)
development files of MacroMoleculeBuilder
libmmblib3.2 (3.2+dfsg-2+deb11u1)
shared library of MacroMoleculeBuilder
libmmdb2-0 (2.0.5-1)
macromolecular coordinate library - runtime
libmmdb2-dev (2.0.5-1)
macromolecular coordinate library - development files
libmmmulti-dev (0.1-2)
header only library for mmmulti
libmms-dev (0.6.4-3)
MMS stream protocol library - development files
libmms0 (0.6.4-3)
MMS stream protocol library - shared library
libmmtf-java (1.0.9-4)
Java API for macromolecular transmission format encoder/decoder
libmnemonicsetter-java (0.5-1.1)
Java library for automatically setting Swing mnemonics
libmng-dev (1.0.10+dfsg-3.1+b5)
M-N-G library (Development headers)
libmng1 (1.0.10+dfsg-3.1+b5)
Multiple-image Network Graphics library
libmnl-dev (1.0.4-3)
minimalistic Netlink communication library (devel)
libmnl0 (1.0.4-3)
minimalistic Netlink communication library
libmock-quick-perl (1.111-1)
Perl module for quick side-effect free mocking of objects and classes
libmocked-perl (0.09-5.1)
module to use real libraries from within mocked libraries
libmockito-java (2.23.0-1)
mocking framework for Java
libmockobjects-java (0.09-6)
Framework for developing and using mock objects
libmockobjects-java-doc (0.09-6)
Framework for developing and using mock objects -- documentation
libmodbus-dev (3.1.6-2)
development files for the Modbus protocol library
libmodbus5 (3.1.6-2)
library for the Modbus protocol
libmodello-java (1.11-1)
Data Model toolkit in use by the Maven 2 Project
libmodello-maven-plugin-java (1.11-1)
Modello Maven Plugin enables the use of Modello in Maven builds
libmodem-vgetty-perl (0.03-2.1)
Perl module for interfacing with vgetty (Modem::Vgetty)
libmodern-perl-perl (1.20200211-1)
module for enabling all of the features of Modern Perl
libmodglue1-dev (1.17-4)
development files for a C++ library for handling of multiple co-processes
libmodglue1v5 (1.17-4)
C++ library for handling of multiple co-processes
libmodhmm-dev (1.0+dfsg-4)
library for constructing, training and scoring hidden Markov models (dev)
libmodhmm0 (1.0+dfsg-4)
library for constructing, training and scoring hidden Markov models
libmodpbase64-0 (3.10.3+git20180306-1.1)
collection of high performance c-string transformations
libmodpbase64-dev (3.10.3+git20180306-1.1)
collection of high performance c-string transformations (development files)
libmodplug-dev (1:0.8.9.0-3)
development files for mod music based on ModPlug
libmodplug1 (1:0.8.9.0-3)
shared libraries for mod music based on ModPlug
libmods-record-perl (0.13-1)
module for handling MODS records
libmodsecurity-dev (3.0.4-2)
ModSecurity v3 library component (development files)
libmodsecurity3 (3.0.4-2)
ModSecurity v3 library component
libmodulator-java (1.0-3)
Java small shim library that wraps Java 9 APIs and exposes them to recent JDKs
libmodule-build-cleaninstall-perl (0.5-3.1)
module for removing the old module before installing the new one
libmodule-build-parse-yapp-perl (0.1.2-2)
module to build Parse::Yapp parsers from source
libmodule-build-perl (0.423100-1)
framework for building and installing Perl modules
libmodule-build-pluggable-perl (0.10-1.1)
plugins for the perl module Module::Build
libmodule-build-pluggable-ppport-perl (0.04-1.1)
module to generate a ppport.h file automatically
libmodule-build-tiny-perl (0.039-1.1)
tiny replacement for Module::Build
libmodule-build-using-pkgconfig-perl (0.03-1)
Module::Build extension for using platform libraries provided by pkg-config
libmodule-build-withxspp-perl (0.14-3)
XS++ enhanced flavour of Module::Build
libmodule-build-xsutil-perl (0.19-1)
Module::Build class for building XS modules
libmodule-bundled-files-perl (0.03-1.1)
perl module to access files bundled with your module
libmodule-compile-perl (0.38-1)
Perl module providing a system to compile Perl modules
libmodule-corelist-perl (5.20210220-1)
module to determine modules shipped with perl
libmodule-corelist-perl (= 5.20210123)
本虛擬套件由這些套件填實: perl
libmodule-cpanfile-perl (1.1004-1)
format for describing CPAN dependencies of Perl applications
libmodule-cpants-analyse-perl (1.01-1)
Perl module to generate Kwalitee ratings for a distribution
libmodule-depends-perl (0.16-3)
Perl module to identify the dependencies of a distribution
libmodule-extract-perl (0.01-2.1)
base class for working with Perl distributions
libmodule-extract-use-perl (1.047-1)
Perl module to extract which modules some code uses
libmodule-extractuse-perl (0.343-1)
Perl module to find out modules used by the specified Perl source
libmodule-faker-perl (0.022-1)
module to build fake dists for testing CPAN tools
libmodule-find-perl (0.15-1)
module to find and use installed Perl modules
libmodule-implementation-perl (0.09-1.1)
module for loading one of several alternate implementations of a module
libmodule-info-perl (0.37-1)
Perl module providing information about Perl modules
libmodule-inspector-perl (1.05-2.1)
integrated API for inspecting Perl distributions
libmodule-install-authorrequires-perl (0.02-1.1)
declare author-only dependencies
libmodule-install-authortests-perl (0.002-2.1)
designate tests only run by module authors
libmodule-install-autolicense-perl (0.10-1)
automagically generate LICENSE files
libmodule-install-automanifest-perl (0.003-4)
generate MANIFEST automatically
libmodule-install-contributors-perl (0.001-1.1)
add an "x_contributors" section to your META.yml
libmodule-install-copyright-perl (0.009-1.1)
package a COPYRIGHT file with a distribution
libmodule-install-doap-perl (0.006-1.1)
generate META.yml data from DOAP
libmodule-install-doapchangesets-perl (0.206-1.1)
write your distribution change log in RDF
libmodule-install-extratests-perl (0.008-2)
contextual tests that the harness can ignore
libmodule-install-manifestskip-perl (0.24-1.1)
generate a MANIFEST.SKIP file
libmodule-install-perl (1.19-1)
framework for installing Perl modules
libmodule-install-rdf-perl (0.009-1.1)
advanced metadata for your Perl packaging
libmodule-install-readmefrompod-perl (0.30-3)
automatically convert POD to a README
libmodule-install-rtx-perl (0.38-1)
RT extension installer
libmodule-install-substitute-perl (0.03-2)
substitute values into files before install
libmodule-install-trustmetayml-perl (0.003-3)
trust META.yml list of dependencies
libmodule-install-xsutil-perl (0.45-1.1)
Module::Install extension for handling XS modules
libmodule-load-conditional-perl (0.74-1)
module for looking up information about modules
libmodule-load-conditional-perl (= 0.70)
本虛擬套件由這些套件填實: perl
libmodule-load-perl (= 0.34)
本虛擬套件由這些套件填實: perl
libmodule-manifest-perl (1.09-1)
module for parsing and examining a Perl distribution MANIFEST file
libmodule-manifest-skip-perl (0.23-2)
MANIFEST.SKIP management for Perl modules
libmodule-math-depends-perl (0.02-3)
convenience object for manipulating Perl module dependencies
libmodule-metadata-perl (1.000037-1)
Perl module to gather package and POD information from perl module files
libmodule-metadata-perl (= 1.000037)
本虛擬套件由這些套件填實: perl
libmodule-optional-perl (0.03-1.1)
Module::Optional - Breaking module dependency chains
libmodule-package-perl (0.30-2.1)
postmodern Perl module packaging
libmodule-package-rdf-perl (0.014-1.1)
drive your distribution with RDF
libmodule-path-perl (0.19-1.1)
module to get the full path to a locally installed Perl module
libmodule-pluggable-fast-perl (0.19-2.1)
module for fast plugins with instantiation
libmodule-pluggable-ordered-perl (1.5-2.1)
Perl module to load plugins in a specified order
libmodule-pluggable-perl (5.2-1)
module for giving modules the ability to have plugins
libmodule-reader-perl (0.003003-1)
module to find and read perl modules like perl does
libmodule-refresh-perl (0.17-1.1)
tool to refresh %INC files when updated on disk
libmodule-runtime-conflicts-perl (0.003-1.1)
module to provide information on conflicts for Module::Runtime
libmodule-runtime-perl (0.016-1)
Perl module for runtime module handling
libmodule-scandeps-perl (1.30-1)
module to recursively scan Perl code for dependencies
libmodule-signature-perl (0.87-1)
module to manipulate CPAN SIGNATURE files
libmodule-starter-pbp-perl (0.0.3-2.1)
Perl module to create new perl modules following best practices
libmodule-starter-perl (1.770+dfsg-1)
simple starter kit for Perl modules
libmodule-starter-plugin-simplestore-perl (0.144-1.1)
template storage methods for Module::Starter
libmodule-starter-plugin-tt2-perl (0.125-2.1)
module providing Template toolkit support for Module::Starter
libmodule-starter-smart-perl (0.0.9-1)
Module::Starter plugin to add new modules into existing distributions
libmodule-used-perl (1.3.0-2.1)
library to detect modules loaded by Perl code without running it
libmodule-util-perl (1.09-3)
Perl module to manipulate Perl module names
libmodule-versions-report-perl (1.06-2)
Report versions of all modules in memory
libmodule-want-perl (0.6-2.1)
module to check @INC only once for wanted modules
libmodulemd-dev (2.12.0-1)
C Library for handling module metadata files - development files
libmodulemd-tools (2.12.0-1)
C Library for handling module metadata files - utilities
libmodulemd2 (2.12.0-1)
C Library for handling module metadata files
libmoe-dev (1.5.8-5+b1 [amd64], 1.5.8-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to handle multiple octets character encoding scheme (devel files)
libmoe1.5 (1.5.8-5+b1 [amd64], 1.5.8-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to handle multiple octets character encoding scheme
libmojo-executor-java (2.3.1-1)
Maven Mojo Executor
libmojo-ioloop-readwriteprocess-perl (0.28-1)
module to execute external programs or internal code blocks as separate process
libmojo-jwt-perl (0.09-1)
JSON Web Token the Mojo way
libmojo-pg-perl (4.24-1)
module to make PostgreSQL fun to use with Mojolicious
libmojo-rabbitmq-client-perl (0.3.1-2)
Mojo::IOLoop based RabbitMQ client
libmojo-server-fastcgi-perl (0.50-1.1)
Mojolicious FastCGI Server
libmojo-sqlite-perl (3.005-1)
tiny Mojolicious wrapper for SQLite
libmojolicious-perl (8.71+dfsg-1)
simple, yet powerful, Web Application Framework
libmojolicious-plugin-assetpack-perl (2.11-1)
Mojolicious plugin for compressing and converting assets
libmojolicious-plugin-authentication-perl (1.33-1)
module to make authentication a bit easier for Mojolicious
libmojolicious-plugin-authorization-perl (1.0302-2.1)
module to make authorization a bit easier for Mojolicious
libmojolicious-plugin-basicauth-perl (0.08-1.1)
basic HTTP auth helper for Mojolicious
libmojolicious-plugin-bcrypt-perl (0.14-2)
module to bcrypt passwords for Mojolicious
libmojolicious-plugin-cgi-perl (0.40-1)
Mojolicious plugin to run CGI scripts
libmojolicious-plugin-i18n-perl (1.60-1)
internationalization plugin for Mojolicious 3.x and higher
libmojolicious-plugin-mailexception-perl (0.20-1.1)
Mojolicious plugin to send crash information by email
libmojolicious-plugin-oauth2-perl (1.59-2)
Auth against OAuth2 APIs
libmojolicious-plugin-openapi-perl (3.41-1)
OpenAPI/Swagger plugin for Mojolicious
libmojolicious-plugin-renderfile-perl (0.12-4)
"render_file" helper for Mojolicious
libmojoshader-dev (0.0~hg1314+dfsg-1.1)
library to move calls to Direct3D shaders to OpenGL (development files)
libmojoshader0 (0.0~hg1314+dfsg-1.1)
library to move calls to Direct3D shaders to OpenGL
libmondrian-java (1:3.11.0.1-4.1)
OLAP server written in Java
libmondrian-java-doc (1:3.11.0.1-4.1)
OLAP server written in Java - documentation
libmongo-client-dev (0.1.8-4)
Development files for the alternate C driver for MongoDB
libmongo-client-doc (0.1.8-4)
Documentation for the alternate C driver for MongoDB
libmongo-client0 (0.1.8-4)
Alternate C driver for the MongoDB document-oriented datastore
libmongoc-1.0-0 (1.17.6-1)
MongoDB C client library - runtime files
libmongoc-dev (1.17.6-1)
MongoDB C client library - dev files
libmongoc-doc (1.17.6-1)
MongoDB C client library - documentation
libmongoclient-dev (1.1.3-3.1)
MongoDB C++ Driver (development)
libmongoclient0 (1.1.3-3.1)
MongoDB C++ Driver (runtime)
libmongocrypt-dev (1.1.0-1)
client-side field level encryption library - dev files
libmongocrypt0 (1.1.0-1)
client-side field level encryption library - runtime files
libmongodb-java (3.6.3-2)
MongoDB Java Driver
libmongodb-perl (2.2.2-1)
Mongo Driver for Perl
libmongoose2 (1:5.8.1+dfsg-2)
graph partitioning tool that can quickly compute edge cuts (shared library)
libmonitoring-icinga2-client-rest-perl (2.0.4-1)
Perl module providing REST integration with icinga2
libmonitoring-livestatus-class-perl (0.06-1.1)
Object-Oriented interface for Monitoring::Livestatus
libmonitoring-livestatus-perl (0.84-1)
Perl API for check_mk livestatus to access runtime
libmonitoring-plugin-perl (0.40-1)
family of perl modules to streamline writing Monitoring plugins
libmonkey-patch-action-perl (0.061-1)
module to monkey-patching subs from other packages, with restore
libmonkey-patch-perl (0.03-2.1)
scoped monkey-patching Perl module
libmono-2.0-1 (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library (Default version)
libmono-2.0-dev (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library - Development files (Default version)
libmono-accessibility4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Accessibility library (for CLI 4.0)
libmono-addins-cil-dev (1.0+git20130406.adcd75b-4)
addin framework for extensible CLI applications/libraries
libmono-addins-gui-cil-dev (1.0+git20130406.adcd75b-4)
GTK# frontend library for Mono.Addins
libmono-addins-gui0.2-cil (1.0+git20130406.adcd75b-4)
GTK# frontend library for Mono.Addins
libmono-addins-msbuild-cil-dev (1.0+git20130406.adcd75b-4)
MSBuild task library for Mono.Addins
libmono-addins-msbuild0.2-cil (1.0+git20130406.adcd75b-4)
MSBuild task library for Mono.Addins
libmono-addins0.2-cil (1.0+git20130406.adcd75b-4)
addin framework for extensible CLI applications/libraries
libmono-btls-interface4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Mono.Btls.Interface library (for CLI 4.0)
libmono-cairo4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Cairo library (for CLI 4.0)
libmono-cecil-cil (0.9.5+dfsg-5.1)
library to generate and inspect CIL assemblies
libmono-cecil-cil-dev (0.9.5+dfsg-5.1)
library to generate and inspect CIL assemblies
libmono-cecil-flowanalysis-cil (0.1~vcs20110809.r1.b34edf6-3.1)
FlowAnalysis extension for Mono.Cecil
libmono-cecil-flowanalysis-cil-dev (0.1~vcs20110809.r1.b34edf6-3.1)
FlowAnalysis extension for Mono.Cecil
libmono-cecil-private-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.Cecil library
libmono-cil-dev (6.8.0.105+dfsg-3.3~deb11u1)
Mono Base Class Libraries (BCL) - Development files
libmono-codecontracts4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.CodeContracts library (for CLI 4.0)
libmono-compilerservices-symbolwriter4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.CompilerServices.SymbolWriter library (for CLI 4.0)
libmono-corlib4.5-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono core library (for CLI 4.5)
libmono-corlib4.5-dll (6.8.0.105+dfsg-3.3~deb11u1)
Mono core library (for CLI 4.5)
libmono-cscompmgd0.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono cscompmgd library (for CLI 4.0)
libmono-csharp4.0c-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.CSharp library (for CLI 4.0)
libmono-custommarshalers4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono CustomMarshalers library (for CLI 4.0)
libmono-data-tds4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Data Library (for CLI 4.0)
libmono-db2-1.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono DB2 library
libmono-debugger-libs-cil-dev (0+20131201.3459502-1.1)
Mono soft debugger interface libraries - development headers
libmono-debugger-soft-cil (0+20131201.3459502-1.1)
Mono soft debugger interface libraries - low level API
libmono-debugger-soft4.0a-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Soft Debugger library (for CLI 4.0)
libmono-debugging-cil (0+20131201.3459502-1.1)
Mono soft debugger interface libraries - API abstraction
libmono-debugging-soft-cil (0+20131201.3459502-1.1)
Mono soft debugger interface libraries - Mono.Debugging backend
libmono-fuse-cil (0.4.2+dfsg-4.1)
CLI binding for FUSE
libmono-http4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.Http library (for CLI 4.0)
libmono-i18n-cjk4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N.CJK library (for CLI 4.0)
libmono-i18n-mideast4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N.MidEast library (for CLI 4.0)
libmono-i18n-other4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N.Other library (for CLI 4.0)
libmono-i18n-rare4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N.Rare library (for CLI 4.0)
libmono-i18n-west4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N.West library (for CLI 4.0)
libmono-i18n4.0-all (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N libraries metapackage (for CLI 4.0)
libmono-i18n4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono I18N base library (for CLI 4.0)
libmono-ldap4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono LDAP library (for CLI 4.0)
libmono-management4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Management library (for CLI 4.0)
libmono-messaging-rabbitmq4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Messaging RabbitMQ library (for CLI 4.0)
libmono-messaging4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Messaging library (for CLI 4.0)
libmono-microsoft-build-engine4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.Build.Engine library (for CLI 4.0)
libmono-microsoft-build-framework4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.Build.Framework library (for CLI 4.0)
libmono-microsoft-build-tasks-v4.0-4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.Build.Tasks.v4.0 library (for CLI 4.0)
libmono-microsoft-build-utilities-v4.0-4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.Build.Utilities.v4.0 library (for CLI 4.0)
libmono-microsoft-build4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.Build library (for CLI 4.0)
libmono-microsoft-csharp4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.CSharp library (for CLI 4.0)
libmono-microsoft-visualc10.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.VisualC library (for CLI 4.0)
libmono-microsoft-web-infrastructure1.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Microsoft.Web.Infrastructure library (for CLI 4.0)
libmono-oracle4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Oracle library (for CLI 4.0)
libmono-parallel4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.Parallel library (for CLI 4.0)
libmono-peapi4.0a-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono PEAPI library (for CLI 4.0)
libmono-posix4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono.Posix library (for CLI 4.0)
libmono-profiler (6.8.0.105+dfsg-3.3~deb11u1)
Mono profiler libraries
libmono-profiler-gui-thread-check (2.99.3-4)
Mono profiler library to debug GUI threading issues
libmono-rabbitmq4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono RabbitMQ.Client library (for CLI 4.0)
libmono-reflection-cil (1.0+git20110407+d2343843-3.1)
CLI reflection helper library
libmono-reflection-cil-dev (1.0+git20110407+d2343843-3.1)
CLI reflection helper library
libmono-relaxng4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Relaxng library (for CLI 4.0)
libmono-security4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Security library (for CLI 4.0)
libmono-sharpzip4.84-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono SharpZipLib library (for CLI 4.0)
libmono-simd4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono SIMD (for CLI 4.0)
libmono-smdiagnostics0.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono SMDiagnostics Library (for CLI 4.0)
libmono-sqlite4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Sqlite library (for CLI 4.0)
libmono-system-componentmodel-composition4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ComponentModel.Composition library (for CLI 4.0)
libmono-system-componentmodel-dataannotations4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ComponentModel.DataAnnotations library (for CLI 4.0)
libmono-system-configuration-install4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Configuration.Install library (for CLI 4.0)
libmono-system-configuration4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Configuration library (for CLI 4.0)
libmono-system-core4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Core library (for CLI 4.0)
libmono-system-data-datasetextensions4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Data.DataSetExtensions library (for CLI 4.0)
libmono-system-data-entity4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Data.Entity library (for CLI 4.0)
libmono-system-data-linq4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Data.Linq Library (for CLI 4.0)
libmono-system-data-services-client4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Data.Services.Client library (for CLI 4.0)
libmono-system-data-services4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Data.Services library (for CLI 4.0)
libmono-system-data4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Data library (for CLI 4.0)
libmono-system-deployment4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Deployment library (for CLI 4.0)
libmono-system-design4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Design Library (for CLI 4.0)
libmono-system-drawing-design4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Drawing.Design (for CLI 4.0)
libmono-system-drawing4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Drawing library (for CLI 4.0)
libmono-system-dynamic4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Dynamic library (for CLI 4.0)
libmono-system-enterpriseservices4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.EnterpriseServices library (for CLI 4.0)
libmono-system-identitymodel-selectors4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.IdentityModel.Selectors Library (for CLI 4.0)
libmono-system-identitymodel4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.IdentityModel Library (for CLI 4.0)
libmono-system-io-compression-filesystem4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.IO.Compresion.FileSystem library (for CLI 4.0)
libmono-system-io-compression4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.IO.Compression library (for CLI 4.0)
libmono-system-json-microsoft4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Json.Microsoft library (for CLI 4.0)
libmono-system-json4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Json library (for CLI 4.0)
libmono-system-ldap-protocols4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.DirectoryServices.Protocols library (for CLI 4.0)
libmono-system-ldap4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.DirectoryServices library (for CLI 4.0)
libmono-system-management4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Management library (for CLI 4.0)
libmono-system-messaging4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Messaging library (for CLI 4.0)
libmono-system-net-http-formatting4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Net.Http.Formatting library (for CLI 4.0)
libmono-system-net-http-webrequest4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Net.Http.WebRequest library (for CLI 4.0)
libmono-system-net-http4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Net.Http library (for CLI 4.0)
libmono-system-net4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Net library (for CLI 4.0)
libmono-system-numerics-vectors4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Numerics.Vectors library (for CLI 4.0)
libmono-system-numerics4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Numerics library (for CLI 4.0)
libmono-system-reactive-core2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Core Library (for CLI 4.5)
libmono-system-reactive-debugger2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Debugger Library (for CLI 4.5)
libmono-system-reactive-experimental2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Experimental Library (for CLI 4.5)
libmono-system-reactive-interfaces2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Interfaces Library (for CLI 4.5)
libmono-system-reactive-linq2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Linq Library (for CLI 4.5)
libmono-system-reactive-observable-aliases0.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Linq Library (for CLI 4.5)
libmono-system-reactive-platformservices2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.PlatformServices Library (for CLI 4.5)
libmono-system-reactive-providers2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Providers Library (for CLI 4.5)
libmono-system-reactive-runtime-remoting2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Runtime.Remoting Library (for CLI 4.5)
libmono-system-reactive-windows-forms2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Windows.Forms Library (for CLI 4.5)
libmono-system-reactive-windows-threading2.2-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reactive.Windows.Threading Library (for CLI 4.5)
libmono-system-reflection-context4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Reflection.Context library (for CLI 4.0)
libmono-system-runtime-caching4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Runtime.Caching Library (for CLI 4.0)
libmono-system-runtime-durableinstancing4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Runtime.DurableInstancing Library (for CLI 4.0)
libmono-system-runtime-serialization-formatters-soap4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Runtime.Serialization.Formatters.Soap Library (for CLI 4.0)
libmono-system-runtime-serialization4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Runtime.Serialization Library (for CLI 4.0)
libmono-system-runtime4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Runtime library (for CLI 4.0)
libmono-system-security4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Security library (for CLI 4.0)
libmono-system-servicemodel-activation4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceModel.Activation Library (for CLI 4.0)
libmono-system-servicemodel-discovery4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceModel.Discovery Library (for CLI 4.0)
libmono-system-servicemodel-internals0.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceModel.Internals Library (for CLI 4.0)
libmono-system-servicemodel-routing4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceModel.Routing Library (for CLI 4.0)
libmono-system-servicemodel-web4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceModel.Web Library (for CLI 4.0)
libmono-system-servicemodel4.0a-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceModel Library (for CLI 4.0)
libmono-system-serviceprocess4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.ServiceProcess library (for CLI 4.0)
libmono-system-threading-tasks-dataflow4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Threading.Tasks.Dataflow library (for CLI 4.0)
libmono-system-transactions4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Transactions library (for CLI 4.0)
libmono-system-web-abstractions4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Abstractions library (for CLI 4.0)
libmono-system-web-applicationservices4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.ApplicationServices library (for CLI 4.0)
libmono-system-web-dynamicdata4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.DynamicData library (for CLI 4.0)
libmono-system-web-extensions-design4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Extensions.Design library (for CLI 4.0)
libmono-system-web-extensions4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Extensions library (for CLI 4.0)
libmono-system-web-http-selfhost4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Http.SelfHost library (for CLI 4.0)
libmono-system-web-http-webhost4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Http.WebHost library (for CLI 4.0)
libmono-system-web-http4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Http library (for CLI 4.0)
libmono-system-web-mobile4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Mobile library (for CLI 4.0)
libmono-system-web-mvc3.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono ASP.NET MVC 3.0 Library (for CLI 4.0)
libmono-system-web-razor2.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Razor (for CLI 4.0)
libmono-system-web-regularexpressions4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.RegularExpressions library (for CLI 4.0)
libmono-system-web-routing4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Routing (for CLI 4.0)
libmono-system-web-services4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.Services (for CLI 4.0)
libmono-system-web-webpages-deployment2.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.WebPages.Deployment (for CLI 4.0)
libmono-system-web-webpages-razor2.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.WebPages.Razor (for CLI 4.0)
libmono-system-web-webpages2.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web.WebPages (for CLI 4.0)
libmono-system-web4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Web library (for CLI 4.0)
libmono-system-windows-forms-datavisualization4.0a-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Windows.Forms.DataVisualization Library (for CLI 4.0)
libmono-system-windows-forms4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Windows.Forms Library (for CLI 4.0)
libmono-system-windows4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Windows library (for CLI 4.0)
libmono-system-workflow-activities4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Workflow.Activities library (for CLI 4.0)
libmono-system-workflow-componentmodel4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Workflow.ComponentModel library (for CLI 4.0)
libmono-system-workflow-runtime4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Workflow.Runtime library (for CLI 4.0)
libmono-system-xaml4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Xaml Library (for CLI 4.0)
libmono-system-xml-linq4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Xml.Linq library (for CLI 4.0)
libmono-system-xml-serialization4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Xml.Serialization library (for CLI 4.0)
libmono-system-xml4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System.Xml library (for CLI 4.0)
libmono-system4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono System libraries (for CLI 4.0)
libmono-tasklets4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Tasklets library (for CLI 4.0)
libmono-upnp-cil (0.1.2-2.1)
client/server libraries for UPnP -- CIL assemblies
libmono-upnp-cil-dev (0.1.2-2.1)
client/server libraries for the UPnP -- development files
libmono-webbrowser4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Web Browser library (for CLI 4.0)
libmono-webmatrix-data4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono WebMatrix.Data Library (for CLI 4.0)
libmono-windowsbase4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono WindowsBase library (for CLI 4.0)
libmono-xbuild-tasks4.0-cil (6.8.0.105+dfsg-3.3~deb11u1)
Mono Mono.XBuild.Tasks library (for CLI 4.0)
libmono-zeroconf-cil-dev (0.9.0-6.1)
CLI library for multicast DNS service discovery
libmono-zeroconf1.0-cil (0.9.0-6.1)
CLI library for multicast DNS service discovery
libmonoboehm-2.0-1 (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library (Boehm GC)
libmonoboehm-2.0-1-dbg (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library, debugging symbols (Boehm GC)
libmonoboehm-2.0-dev (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library - Development files (Boehm GC)
libmonosgen-2.0-1 (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library (SGen GC)
libmonosgen-2.0-1-dbg (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library, debugging symbols (SGen GC)
libmonosgen-2.0-dev (6.8.0.105+dfsg-3.3~deb11u1)
Mono JIT library - Development files (SGen GC)
libmonospaceif-common (0.7.15-2.1)
Provides localization data for libmonospaceif
libmonospaceif-dev (0.7.15-2.1)
Interface translating libfizmo output into monospaced text
libmoo-perl (2.004004-1)
Minimalist Object Orientation library (with Moose compatibility)
libmoonshot1 (1.1.0+libsecret~2)
Moonshot Identity Shared Library
libmoose-autobox-perl (0.16-1)
Perl autobox wrapper that understands Moose roles
libmoose-perl (2.2014-2)
modern Perl object system framework
libmoosex-aliases-perl (0.11-1.1)
Moose extension for easy aliasing of methods and attributes
libmoosex-app-cmd-perl (0.34-1)
Perl module combining App::Cmd and MooseX::Getopt
libmoosex-app-perl (1.41-2)
helper for user-friendly Perl/Moose command line apps
libmoosex-arrayref-perl (0.005-1.1)
blessed arrayrefs with Moose
libmoosex-async-perl (0.07-2)
set of Moose metaclasses to support asynchronous operations
libmoosex-attribute-chained-perl (1.0.3-1)
Moose attribute that returns the instance to allow for chaining
libmoosex-attributehelpers-perl (0.25-1)
Perl library to extend your attribute interfaces
libmoosex-attributeshortcuts-perl (0.037-1)
Moose extension providing shorthands for common attribute options
libmoosex-attributetags-perl (0.004-1.1)
tag your Moose attributes
libmoosex-blessed-reconstruct-perl (1.01-1)
Data::Visitor for creating Moose objects
libmoosex-chainedaccessors-perl
本虛擬套件由這些套件填實: libmoosex-attribute-chained-perl
libmoosex-classattribute-perl (0.29-1)
module to declare class attributes Moose-style
libmoosex-clone-perl (0.06-2)
Moose extension providing fine-grained cloning support
libmoosex-compiletime-traits-perl (1.102570-2.1)
Moose extension to allow role application at compile-time
libmoosex-configfromfile-perl (0.14-1)
Moose role for setting attributes from a config file
libmoosex-configuration-perl (0.2-1.1)
module for defining attributes which come from configuration files
libmoosex-daemonize-perl (0.22-1)
role for daemonizing your Moose based application
libmoosex-declare-perl (0.43-1)
Moose extension providing a declarative syntax
libmoosex-emulate-class-accessor-fast-perl (0.009032-1.1)
module to emulate Class::Accessor::Fast using Moose attributes
libmoosex-followpbp-perl (0.05-2.1)
Moose extension to name your accessors get_foo() and set_foo()
libmoosex-getopt-perl (0.74-1)
Moose extension for processing command line options
libmoosex-has-options-perl (0.003-2)
module for succinctly declaring options for Moose attributes
libmoosex-has-sugar-perl (1.000006-1)
Moose extension for syntactic sugar in 'has' fields
libmoosex-hasdefaults-perl (0.03-2)
Moose module to default "is" to "ro" or "rw" for all attributes
libmoosex-insideout-perl (0.106-3.1)
Moose extension for non-intrusive subclassing
libmoosex-lazyrequire-perl (0.11-1.1)
Moose extension to delay errors for attribute declarations
libmoosex-log-log4perl-perl (0.47-1)
logging role for Moose based on Log::Log4perl
libmoosex-markasmethods-perl (0.15-2)
moose extension to ark overload code symbols as methods
libmoosex-meta-typeconstraint-forcecoercion-perl (0.01-2.1)
Perl modeule for forcing coercion when validating type constraints
libmoosex-meta-typeconstraint-mooish-perl (0.001-1.1)
module to translate Moo-style constraints to Moose-style
libmoosex-method-signatures-perl (0.49-1)
Moose extension for method declarations with type constraints
libmoosex-methodattributes-perl (0.32-1)
Moose extension for code attribute introspection
libmoosex-multiinitarg-perl (0.02-2)
Perl library providing attributes with aliases for constructors
libmoosex-multimethods-perl (0.10-2)
Moose extension enabling multi method dispatch
libmoosex-mungehas-perl (0.011-1)
munge your "has" (works with Moo, Moose and Mouse)
libmoosex-nonmoose-perl (0.26-1.1)
Moose extension to allow easy subclassing of non-Moose classes
libmoosex-object-pluggable-perl (0.0014-1)
Perl module for adding plugin support to your Moose classes
libmoosex-oneargnew-perl (0.005-1)
Moose role that extends class' constructor to accept single arguments
libmoosex-param-perl (0.02-3)
simple Moose role providing a standard param method
libmoosex-params-validate-perl (0.21-1.1)
Moose extension for validating method parameters
libmoosex-poe-perl (0.215-2)
Moose wrapper around a POE::Session
libmoosex-relatedclassroles-perl (0.004-1.1)
module for applying Moose roles to a related class
libmoosex-role-parameterized-perl (1.11-1)
Moose extension providing parameterized roles
libmoosex-role-strict-perl (0.5-2.1)
module for using strict 'roles' with Moose
libmoosex-role-timer-perl (0.05-2.1)
Moose role for measuring elapsed time with Time::HiRes
libmoosex-role-withoverloading-perl (0.17-2+b3)
Moose extension for roles that support overloading
libmoosex-runnable-perl (0.10-1)
tag a class as a runnable application
libmoosex-semiaffordanceaccessor-perl (0.10-1.1)
Perl module to generate accessors automatically
libmoosex-setonce-perl (0.200002-1.1)
module providing write-once, read-many attributes for Moose
libmoosex-simpleconfig-perl (0.11-1)
Moose role for setting attributes from a simple configfile
libmoosex-singlearg-perl (0.09-1)
module to instantiate Moose objects using a single argument
libmoosex-singleton-perl (0.30-1)
Moose extension to turn a class into a singleton
libmoosex-storage-perl (0.53-1)
serialization framework for Moose classes
libmoosex-strictconstructor-perl (0.21-1)
Make your object constructors blow up on unknown attributes
libmoosex-traitfor-meta-class-betteranonclassnames-perl (0.002003-1.1)
helper for MooseX::Util to generate anonymous class names
libmoosex-traits-perl (0.13-2)
Moose extension to apply roles at object creation time
libmoosex-traits-pluggable-perl (0.12-1.1)
Moose extension for class precedence search of traits
libmoosex-types-common-perl (0.001014-1)
module with commonly used type constraints for Moose
libmoosex-types-datetime-morecoercions-perl (0.15-2)
extensions to MooseX::Types::DateTime
libmoosex-types-datetime-perl (0.13-2)
Moose extension for DateTime-related constraints and coercions
libmoosex-types-email-perl (0.008-1)
email address validation type constraints for Moose
libmoosex-types-iso8601-perl (0.20-1)
ISO8601 date and duration string type constraints for Moose
libmoosex-types-json-perl (1.00-1.1)
module providing JSON-constrained strings
libmoosex-types-laxnum-perl (0.04-1.1)
Moose type providing the loose behavior of Moose's old Num type
libmoosex-types-loadableclass-perl (0.015-1)
ClassName type constraint with coercion to load the class
libmoosex-types-netaddr-ip-perl (0.07-2)
Moose extension for NetAddr::IP type constraints
libmoosex-types-path-class-perl (0.09-1)
Path::Class type library for Moose
libmoosex-types-path-tiny-perl (0.012-1)
Path::Tiny types and coercions for Moose
libmoosex-types-perl (0.50-1)
Moose extension to organise types in libraries
libmoosex-types-perl-perl (0.101343-1.1)
Moose types that check against Perl syntax
libmoosex-types-portnumber-perl (0.03-1)
Moose extension for IANA Port Number types
libmoosex-types-set-object-perl (0.05-2)
Perl module providing a Set::Object type
libmoosex-types-stringlike-perl (0.003-2)
Moose type constraints for strings or string-like objects
libmoosex-types-structured-perl (0.36-1.1)
Moose extension for type constraints on structured types
libmoosex-types-uri-perl (0.08-2)
package provides URI related types and coercions for Moose
libmoosex-types-varianttable-perl (0.04-3)
Moose extension for a type-constraint based dispatch table
libmoosex-undeftolerant-perl (0.21-1)
makes Moose attribute(s) tolerant to undef initialization
libmoosex-util-perl (0.006-1.1)
Moose::Util extensions
libmoosex-xsaccessor-perl (0.009-1)
use Class::XSAccessor to speed up Moose accessors
libmoosex-yaml-perl (0.05-1)
module to load Moose objects from YAML
libmoox-aliases-perl (0.001006-1.1)
easy aliasing of methods and attributes in Moo
libmoox-buildargs-perl (0.08-2)
Perl role for saving the instantiation arguments
libmoox-cmd-perl (0.017-1)
easy Moo style way to make command organized CLI apps
libmoox-configfromfile-perl (0.009-2)
Moo extension for initializing objects from config file
libmoox-file-configdir-perl (0.007-2)
Moo extension for File::ConfigDir
libmoox-handlesvia-perl (0.001009-1)
Moose Native Traits-like behavior for Moo
libmoox-late-perl (0.100-1)
easily translate Moose code to Moo
libmoox-locale-passthrough-perl (0.001-1.1)
Perl module to provide a translation API and passthrough
libmoox-log-any-perl (0.004004-1.1)
role to add Log::Any
libmoox-options-perl (4.103-3)
explicit options extension for object class
libmoox-role-cloneset-perl (0.1.0-5)
Perl Moo role for creating updated copies of immutable objects
libmoox-role-logger-perl (0.005-1.1)
provide logging via Log::Any
libmoox-shorthas-perl (1.202040-1)
Shortcuts for common Moo has attribute configurations
libmoox-singleton-perl (1.20-2.1)
module for turning a Moo class into singleton
libmoox-strictconstructor-perl (0.011-1)
make Moo-based object constructors blow up on unknown attributes
libmoox-struct-perl (0.020-1)
simple lightweight record-like structures making sounds like cows
libmoox-traits-perl (0.005-2)
module to automatically apply roles at object creation time
libmoox-types-mooselike-numeric-perl (1.03-1)
Moo types for numbers
libmoox-types-mooselike-perl (0.29-1.1)
module providing some Moosish types and a type builder
libmoox-types-setobject-perl (1.01-1.1)
Set::Object type for Moo
libmoox-typetiny-perl (0.002003-3)
Optimized type checks for Moo + Type::Tiny
libmopac7-1gf (1.15-6+b4 [mips64el], 1.15-6+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Semi-empirical Quantum Chemistry Library (library)
libmopac7-dev (1.15-6+b4 [mips64el], 1.15-6+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Semi-empirical Quantum Chemistry Library (development files)
libmorbig-ocaml-dev (0.10.4-4+b7 [i386], 0.10.4-4+b6 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml libraries of the morbig shell parser (development files)
libmorbig-ocaml-dev-0xj37
本虛擬套件由這些套件填實: libmorbig-ocaml-dev
libmorbig-ocaml-dev-1ozc3
本虛擬套件由這些套件填實: libmorbig-ocaml-dev
libmorbig-ocaml-dev-d0g78
本虛擬套件由這些套件填實: libmorbig-ocaml-dev
libmorbig-ocaml-dev-r2rw8
本虛擬套件由這些套件填實: libmorbig-ocaml-dev
libmorfologik-stemming-java (1.9.0+dfsg-1)
Finite state automaton and stemming engine library
libmorfologik-stemming2-java (2.1.6-2)
Finite state automaton and stemming engine library
libmorph (1:20140707+nmu2.1)
digital image warping library
libmorph-dev (1:20140707+nmu2.1)
digital image warping library (development files)
libmorsmall-ocaml-dev (0.3.0-3+b7 [i386], 0.3.0-3+b6 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml libraries for abstract syntax of shell scripts
libmorsmall-ocaml-dev-ay1y9
本虛擬套件由這些套件填實: libmorsmall-ocaml-dev
libmorsmall-ocaml-dev-cccb7
本虛擬套件由這些套件填實: libmorsmall-ocaml-dev
libmorsmall-ocaml-dev-s2m65
本虛擬套件由這些套件填實: libmorsmall-ocaml-dev
libmorsmall-ocaml-dev-u8016
本虛擬套件由這些套件填實: libmorsmall-ocaml-dev
libmosquitto-dev (2.0.11-1+deb11u1)
MQTT version 5.0/3.1.1/3.1 client library, development files
libmosquitto1 (2.0.11-1+deb11u1)
MQTT version 5.0/3.1.1/3.1 client library
libmosquittopp-dev (2.0.11-1+deb11u1)
MQTT version 3.1 client C++ library, development files
libmosquittopp1 (2.0.11-1+deb11u1)
MQTT version 5.0/3.1.1/3.1 client C++ library
libmotif-common (2.3.8-3)
Motif - common files
libmotif-dev (2.3.8-3)
Motif - development files
libmount-dev (2.36.1-8+deb11u2) [security]
device mounting library - headers
libmount1 (2.36.1-8+deb11u2) [security]
device mounting library
libmount1-udeb (2.36.1-8+deb11u2) [security]
stripped down device mounting library, for debian-installer
libmouse-perl (2.5.10-1+b1)
lightweight object framework for Perl
libmousex-configfromfile-perl (0.05-1.1)
abstract Mouse role for setting attributes from a configfile
libmousex-getopt-perl (0.38-1)
Mouse role for processing command line options
libmousex-nativetraits-perl (1.09-2.1)
extension for attribute interfaces for Mouse
libmousex-strictconstructor-perl (0.02-2.1)
Mouse extension for making object constructors die on unknown attributes
libmousex-types-path-class-perl (0.07-1.1)
Path::Class type library for Mouse
libmousex-types-perl (0.06-2)
Mouse extension to organise types in libraries
libmove-base-msgs-dev (1.14.1-2)
C/C++ headers for move-base-related ROS Messages
libmovit-dev (1.6.3-5)
GPU video filter library - development files
libmovit8 (1.6.3-5)
GPU video filter library
libmozilla-ldap-perl (1.5.3-3+b2)
LDAP Perl module for the OpenLDAP C SDK
libmozjs-78-0 (78.4.0-2)
SpiderMonkey JavaScript library
libmozjs-78-dev (78.4.0-2)
SpiderMonkey JavaScript library - development headers
libmp3-info-perl (1.24-1.3)
Perl MP3::Info - Manipulate / fetch info from MP3 audio files
libmp3-tag-perl (1.13-1.2)
Module for reading tags of MP3 audio files
libmp3lame-dev (3.100-3)
MP3 encoding library (development)
libmp3lame-ocaml (0.3.4-1+b1)
OCaml interface to the lame library -- runtime files
libmp3lame-ocaml-8qrf2
本虛擬套件由這些套件填實: libmp3lame-ocaml
libmp3lame-ocaml-d32j9
本虛擬套件由這些套件填實: libmp3lame-ocaml
libmp3lame-ocaml-dev (0.3.4-1+b1)
OCaml interface to the lame library -- development files
libmp3lame-ocaml-dev-8qrf2
本虛擬套件由這些套件填實: libmp3lame-ocaml-dev
libmp3lame-ocaml-dev-d32j9
本虛擬套件由這些套件填實: libmp3lame-ocaml-dev
libmp3lame-ocaml-dev-knmx1
本虛擬套件由這些套件填實: libmp3lame-ocaml-dev
libmp3lame-ocaml-dev-z4q26
本虛擬套件由這些套件填實: libmp3lame-ocaml-dev
libmp3lame-ocaml-knmx1
本虛擬套件由這些套件填實: libmp3lame-ocaml
libmp3lame-ocaml-z4q26
本虛擬套件由這些套件填實: libmp3lame-ocaml
libmp3lame0 (3.100-3)
MP3 encoding library
libmp3spi-java (1.9.5-2)
interface to support MP3 (MPEG 1/2/2.5 Layer 1/2/3) audio format
libmp3splt (0.9.2-3.1)
support library for mp3splt and mp3splt-gtk
libmp4-info-perl (1.13-1.1)
Fetch info from MPEG-4 files
libmpack-dev (1.0.5-3)
small binary serialization/RPC library (development files)
libmpack0 (1.0.5-3)
small binary serialization/RPC library
libmpc-dev (1.2.0-1)
multiple precision complex floating-point library development package
libmpc3 (1.2.0-1)
multiple precision complex floating-point library
libmpcdec-dev (2:0.1~r495-2)
MusePack decoder - development files
libmpcdec6 (2:0.1~r495-2)
MusePack decoder - library
libmpd-dev (0.20.0-3)
High-level client library for accessing Music Player Daemon
libmpd1 (0.20.0-3)
High-level client library for accessing Music Player Daemon
libmpdclient-dev (2.19-1)
client library for the Music Player Daemon (development files)
libmpdclient-doc (2.19-1)
client library for the Music Player Daemon (API documentation)
libmpdclient2 (2.19-1)
client library for the Music Player Daemon
libmpdec-dev (2.5.1-1)
library for decimal floating point arithmetic (development files)
libmpdec-doc (2.5.1-1)
library for decimal floating point arithmetic (documentation)
libmpdec3 (2.5.1-1)
library for decimal floating point arithmetic (runtime library)
libmpeg-mp3info-perl
本虛擬套件由這些套件填實: libmp3-info-perl
libmpeg2-4 (0.5.1-9)
MPEG1 and MPEG2 video decoder library
libmpeg2-4-dev (0.5.1-9)
libmpeg2 development libraries and headers
libmpeg2encpp-2.1-0 (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (library)
libmpeg3-2 (1.8.dfsg-2.1+b1 [amd64], 1.8.dfsg-2.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
MPEG streams decoding library
libmpeg3-dev (1.8.dfsg-2.1+b1 [amd64], 1.8.dfsg-2.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Headers and static libraries for libMPEG3
libmpfi
本虛擬套件由這些套件填實: libmpfi0
libmpfi-dev (1.5.3+ds-5)
multiple precision floating-point interval computation library -- libdev
libmpfi-dev-common (1.5.3+ds-5)
multiple precision floating-point interval computation library -- headers
libmpfi-doc (1.5.3+ds-5)
multiple precision floating-point interval computation library -- doc
libmpfi0 (1.5.3+ds-5)
multiple precision floating-point interval computation library -- lib
libmpfr-dev (4.1.0-3)
multiple precision floating-point computation developers tools
libmpfr-doc (4.1.0-3)
multiple precision floating-point computation documentation
libmpfr6 (4.1.0-3)
multiple precision floating-point computation
libmpfrc++-dev (3.6.8+ds-2)
multi-precision floating point number class for C++
libmpg123-0 (1.26.4-1)
MPEG layer 1/2/3 audio decoder (shared library)
libmpg123-dev (1.26.4-1)
MPEG layer 1/2/3 audio decoder (development files)
libmpich-dev (3.4.1-5~deb11u1)
Development files for MPICH
libmpich12 (3.4.1-5~deb11u1)
Shared libraries for MPICH
libmpj-java (0.44+dfsg-4)
Java library for parallel applications for multicore processors and clusters
libmplex2-2.1-0 (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (library)
libmpris-qt5-1 (1.0.3-1)
Qt MPRIS interface and adaptor
libmpris-qt5-dev (1.0.3-1)
Qt MPRIS interface and adaptor (development files)
libmps-dev (3.2.1-2+b1)
Multiprecision polynomial solver (development)
libmps-fortran-dev (3.2.1-2+b1)
Multiprecision polynomial solver (FORTRAN module development)
libmps-fortran0 (3.2.1-2+b1)
Multiprecision polynomial solver (FORTRAN module)
libmps3 (3.2.1-2+b1)
Multiprecision polynomial solver (shared library)
libmpv-dev (0.32.0-3)
video player based on MPlayer/mplayer2 (client library dev files)
libmpv1 (0.32.0-3)
video player based on MPlayer/mplayer2 (client library)
libmqdb-perl (0.954-2)
MappedQueryDB toolkit for federated databases
libmqtt-client-java (1.16-1)
Java MQTT Client API
libmr-tarantool-perl (0.0.24-2)
perl driver for tarantool
libmrm4 (2.3.8-3)
Motif - MRM (Motif Resource Manager) shared library
libmrmpi-dev (1.0~20140404-3)
Implements MapReduce operation on top of standard MPI message - development
libmrmpi1 (1.0~20140404-3)
Implements MapReduce operation on top of standard MPI message - runtime
libmro-compat-perl (0.13-1)
mro::* interface compatibility for Perls < 5.9.5
libmrpt-apps-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - apps development package
libmrpt-apps2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - apps library
libmrpt-bayes-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - bayes development package
libmrpt-bayes2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - bayes library
libmrpt-common-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - common development files
libmrpt-comms-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - comms development package
libmrpt-comms2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - comms library
libmrpt-config-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - config development package
libmrpt-config2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - config library
libmrpt-containers-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - containers development package
libmrpt-containers2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - containers library
libmrpt-core-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - core development package
libmrpt-core2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - core library
libmrpt-detectors-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - detectors development package
libmrpt-detectors2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - detectors library
libmrpt-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - Metapackage: all dev packages
libmrpt-expr-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - expr development package
libmrpt-expr2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - expr library
libmrpt-graphs-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - graphs development package
libmrpt-graphs2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - graphs library
libmrpt-graphslam-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - graphslam development package
libmrpt-graphslam2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - graphslam library
libmrpt-gui-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - gui development package
libmrpt-gui2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - gui library
libmrpt-hmtslam-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - hmtslam development package
libmrpt-hmtslam2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - hmtslam library
libmrpt-hwdrivers-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - hwdrivers development package
libmrpt-hwdrivers2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - hwdrivers library
libmrpt-img-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - img development package
libmrpt-img2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - img library
libmrpt-io-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - io development package
libmrpt-io2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - io library
libmrpt-kinematics-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - kinematics development package
libmrpt-kinematics2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - kinematics library
libmrpt-maps-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - maps development package
libmrpt-maps2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - maps library
libmrpt-math-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - math development package
libmrpt-math2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - math library
libmrpt-nanoflann-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - nanoflann development package
libmrpt-nanogui-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - nanogui development package
libmrpt-nanogui2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - nanogui library
libmrpt-nav-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - nav development package
libmrpt-nav2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - nav library
libmrpt-obs-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - obs development package
libmrpt-obs2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - obs library
libmrpt-opengl-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - opengl development package
libmrpt-opengl2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - opengl library
libmrpt-poses-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - poses development package
libmrpt-poses2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - poses library
libmrpt-random-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - random development package
libmrpt-random2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - random library
libmrpt-ros1bridge-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - ros1bridge development package
libmrpt-ros1bridge2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - ros1bridge library
libmrpt-rtti-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - rtti development package
libmrpt-rtti2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - rtti library
libmrpt-serialization-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - serialization development package
libmrpt-serialization2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - serialization library
libmrpt-slam-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - slam development package
libmrpt-slam2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - slam library
libmrpt-system-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - system development package
libmrpt-system2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - system library
libmrpt-tclap-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - tclap development package
libmrpt-tfest-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - tfest development package
libmrpt-tfest2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - tfest library
libmrpt-topography-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - topography development package
libmrpt-topography2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - topography library
libmrpt-typemeta-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - typemeta development package
libmrpt-vision-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - vision development package
libmrpt-vision-lgpl-dev (1:2.1.7-2)
Mobile Robot Programming Toolkit - vision-lgpl development package
libmrpt-vision-lgpl2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - vision-lgpl library
libmrpt-vision2.1 (1:2.1.7-2)
Mobile Robot Programming Toolkit - vision library
libmrss-abi-0.19.2
本虛擬套件由這些套件填實: libmrss0
libmrss0 (0.19.2-7)
C library for parsing, writing and creating RSS files or streams
libmrss0-dev (0.19.2-7)
libmrss development files
libmrtd-dev (0.1.6-3+b1)
Development files for libmrtd0
libmrtd0 (0.1.6-3+b1)
MRTD - Machine-readable travel document library
libmruby-dev (2.1.2-3)
lightweight implementation of the Ruby language (development files)
libmseed-dev (2.19.6-1)
seed data records manipulation library (development files)
libmseed-doc (2.19.6-1)
seed data records manipulation library (documentation and example files)
libmseed2 (2.19.6-1)
seed data records manipulation library
libmsgcat-perl (1.03-7+b3)
perl module to access XPG4 message catalog functions
libmsgpack-dev (3.3.0-4)
binary-based efficient object serialization library (development files)
libmsgpack-doc (3.3.0-4)
binary-based efficient object serialization library (docs)
libmsgpack-java (0.8.22-2)
MessagePack for Java
libmsgpackc2 (3.3.0-4)
binary-based efficient object serialization library
libmsgpuck-dev (1.0.3-1.1+b1 [amd64], 1.0.3-1.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
MsgPack serialization library in a self-contained header file
libmsgsl-dev (3.1.0-4)
Microsoft Guidelines Support Library
libmshr-dev (2019.2.0~git20200924.c27eb18+dfsg1-5)
Shared links and header files for mshr
libmshr-dev-common (2019.2.0~git20200924.c27eb18+dfsg1-5)
Shared links and header files for mshr
libmshr2019.2 (2019.2.0~git20200924.c27eb18+dfsg1-5)
Shared libraries for mshr
libmshr64-2019.2 (2019.2.0~git20200924.c27eb18+dfsg1-5)
Shared libraries for mshr with 64-bit indexing
libmshr64-dev (2019.2.0~git20200924.c27eb18+dfsg1-5)
Shared links and header files for mshr with 64-bit indexing
libmsi-dev (0.101+repack-1)
Windows Installer file manipulation library - development files
libmsi0 (0.101+repack-1)
Windows Installer file manipulation library
libmsiecf-dev (20181227-2)
Microsoft Internet Explorer Cache File access library -- development files
libmsiecf-utils (20181227-2)
Microsoft Internet Explorer Cache File access library -- Utilities
libmsiecf1 (20181227-2)
Microsoft Internet Explorer Cache File access library
libmsnumpress-dev (1.0.0-7)
Numeric data compression for mass spectrometry (devel files)
libmsnumpress1 (1.0.0-7)
Numeric data compression for mass spectrometry (runtime)
libmsoffice-word-html-writer-perl (1.05-1)
module for writing MsWord documents in HTML format
libmspack-dev (0.10.1-2)
library for Microsoft compression formats (development files)
libmspack-doc (0.10.1-2)
library for Microsoft compression formats (documentation)
libmspack0 (0.10.1-2)
library for Microsoft compression formats (shared library)
libmspub-0.1-1 (0.1.4-3+b1)
library for parsing the mspub file structure
libmspub-dev (0.1.4-3+b1)
library for parsing the mspub file structure -- development
libmspub-doc (0.1.4-3)
library for parsing the mspub file structure -- documentation
libmspub-tools (0.1.4-3+b1)
library for parsing the mspub file structure -- tools
libmstch-dev (1.0.2-3)
Mustache implementation in C++11
libmstoolkit-dev (82-7)
libraries for manipulating mass spectrometry data - dev files
libmstoolkit-tools (82-7)
libraries for manipulating mass spectrometry data - tools
libmstoolkit82 (82-7)
libraries for manipulating mass spectrometry data - runtime
libmsv-dev (1.1.1-3)
Monkeysphere validation library (development files)
libmsv-java (2009.1+dfsg1-6)
Sun multi-schema XML validator
libmsv1 (1.1.1-3)
Monkeysphere validation library
libmtbl-dev (1.3.0-1)
immutable sorted string table library (development files)
libmtbl1 (1.3.0-1)
immutable sorted string table library
libmtd-dev (1:2.1.2-2)
Memory Technology Device Development Libraries
libmtdev-dev (1.1.6-1)
Multitouch Protocol Translation Library - dev files
libmtdev1 (1.1.6-1)
Multitouch Protocol Translation Library - shared library
libmtdev1-udeb (1.1.6-1)
Multitouch Protocol Translation Library - shared library
libmthca1
本虛擬套件由這些套件填實: ibverbs-providers
libmtj-java (0.9.14+dfsg-7)
Java library for developing numerical applications
libmtj-java-doc (0.9.14+dfsg-7) [contrib]
Java library for developing numerical applications (documentation)
libmtp-common (1.1.17-3)
Media Transfer Protocol (MTP) common files
libmtp-dev (1.1.17-3)
Media Transfer Protocol (MTP) development files
libmtp-doc (1.1.17-3)
Media Transfer Protocol (MTP) library documentation
libmtp-runtime (1.1.17-3)
Media Transfer Protocol (MTP) runtime tools
libmtp9 (1.1.17-3)
Media Transfer Protocol (MTP) library
libmu-dbm7 (1:3.10-3+b1)
GNU Mail abstraction library -- dbm support
libmu-perl (1.191300-2)
Moo but with less typing
libmu-tiny-perl (0.000002-2)
minimal variant of Mu
libmuffin-dev (4.8.1-1)
lightweight window and compositing manager (development files)
libmuffin0 (4.8.1-1)
lightweight window and compositing manager (shared library)
libmujs-dev (1.1.0-1+deb11u3)
Lightweight JavaScript interpreter (development package)
libmujs1 (1.1.0-1+deb11u3)
Lightweight JavaScript interpreter library
libmulticobex-dev
本虛擬套件由這些套件填實: libmulticobex1-dev
libmulticobex1 (0.24-6+b4)
multi-protocol cable OBEX library
libmulticobex1-dev (0.24-6+b4)
multi-protocol cable OBEX library - development files
libmultidimensional-perl (0.014-1+b3)
Perl pragma to disable multidimensional array emulation
libmultiverse-core-java (0.7.0-4.1)
Java library implementing Software Transactional Memory (STM)
libmultiverse-core-java-doc (0.7.0-4.1)
Documentation for libmultiverse-core-java
libmumps-5.3 (5.3.5-2)
Direct linear systems solver - parallel shared libraries
libmumps-64pord-5.3 (5.3.5-2)
Direct linear systems solver (64 bit) - parallel shared libraries
libmumps-64pord-ptscotch-5.3 (5.3.5-2)
Direct linear systems solver (64 bit) - PTScotch-version shared libraries
libmumps-64pord-scotch-5.3 (5.3.5-2)
Direct linear systems solver (64 bit) - Scotch-version shared libraries
libmumps-64pord-seq-5.3 (5.3.5-2)
Direct linear systems solver (64 bit) - non-parallel shared libraries
libmumps-dev (5.3.5-2)
Direct linear systems solver - parallel development files
libmumps-headers-dev (5.3.5-2)
Direct linear systems solver - development header files
libmumps-ptscotch-5.3 (5.3.5-2)
Direct linear systems solver - PTScotch-version shared libraries
libmumps-ptscotch-dev (5.3.5-2)
Direct linear systems solver - PTScotch-version development files
libmumps-scotch-5.3 (5.3.5-2)
Direct linear systems solver - Scotch-version shared libraries
libmumps-scotch-dev (5.3.5-2)
Direct linear systems solver - Scotch-version development files
libmumps-seq-5.3 (5.3.5-2)
Direct linear systems solver - non-parallel shared libraries
libmumps-seq-dev (5.3.5-2)
Direct linear systems solver - non-parallel development files
libmumps64-dev (5.3.5-2)
Direct linear systems solver (64 bit) - parallel development files
libmumps64-ptscotch-dev (5.3.5-2)
Direct linear systems solver (64 bit) - PTScotch-version development files
libmumps64-scotch-dev (5.3.5-2)
Direct linear systems solver (64 bit) - Scotch-version development files
libmumps64-seq-dev (5.3.5-2)
Direct linear systems solver (64 bit) - non-parallel development files
libmunge-dev (0.5.14-4)
authentication service for credential -- development package
libmunge-maven-plugin-java (1.0-2)
Maven plugin to pre-process Java code
libmunge2 (0.5.14-4)
authentication service for credential -- library package
libmuparser-dev (2.2.6.1+dfsg-1)
fast mathematical expressions parse library (development)
libmuparser2v5 (2.2.6.1+dfsg-1)
fast mathematical expressions parser library (runtime)
libmuparserx-dev (4.0.8+dfsg-2)
mathematical expression parser library (development files)
libmuparserx4.0.8 (4.0.8+dfsg-2)
mathematical expression parser library
libmupdf-dev (1.17.0+ds1-2)
development files for the MuPDF viewer
libmupen64plus-dev (2.5-7)
mupen64plus development package
libmupen64plus2 (2.5-7)
plugin-based Nintendo 64 emulator, core library
libmurmurhash-dev (1.5-2)
libmurmurhash header files
libmurmurhash2 (1.5-2)
Portable MurmurHash Implementation
libmuroar-dev (0.1.13-7)
minimalist RoarAudio client library (development headers)
libmuroar0 (0.1.13-7)
minimalist RoarAudio client library
libmuroard3 (0.1.14-8)
minimalist RoarAudio sound daemon (library package)
libmuscle-3.7-1
本虛擬套件由這些套件填實: libmuscle1
libmuscle-3.7-dev
本虛擬套件由這些套件填實: libmuscle-dev
libmuscle-dev (3.7+4565-7)
multiple alignment development library for protein sequences
libmuscle1 (3.7+4565-7)
multiple alignment library for protein sequences
libmusic-dev (1.1.16-1.1+b2)
Multi-Simulation Coordinator for MPI -- Development files
libmusic1v5 (1.1.16-1.1+b2)
Multi-Simulation Coordinator for MPI -- Runtime library
libmusicbrainz-discid-perl (0.06-1+b1)
Perl interface to the MusicBrainz libdiscid library
libmusicbrainz5-2 (5.1.0+git20150707-10)
Library to access the MusicBrainz.org database (C wrapper functions)
libmusicbrainz5-dev (5.1.0+git20150707-10)
Library to access the MusicBrainz.org database (development files)
libmusicbrainz5-doc (5.1.0+git20150707-10)
Library to access the MusicBrainz.org database (developer documentation)
libmusicbrainz5cc2v5 (5.1.0+git20150707-10)
Library to access the MusicBrainz.org database
libmustache-d-dev (0.1.4-1+b2 [amd64, arm64, i386], 0.1.4-1+b1 [armel, armhf, s390x])
Mustache rendering engine for D -- development files
libmustache-d0 (0.1.4-1+b2 [amd64, arm64, i386], 0.1.4-1+b1 [armel, armhf, s390x])
Mustache rendering engine for D
libmustache-java (0.9.1-1)
Mustache implementation in Java
libmutter-7-0 (3.38.6-2~deb11u2)
window manager library from the Mutter window manager
libmutter-7-dev (3.38.6-2~deb11u2)
Development files for the Mutter window manager
libmvel-java (2.4.4-1)
expression language for Java-based applications - Library
libmwaw-0.3-3 (0.3.17-1)
import library for some old Mac text documents
libmwaw-dev (0.3.17-1)
import library for some old Mac text documents -- development
libmwaw-doc (0.3.17-1)
import library for some old Mac text documents -- documentation
libmwaw-tools (0.3.17-1)
import library for some old Mac text documents -- tools
libmxml-dev (3.2-1)
small XML parsing library (development)
libmxml1 (3.2-1)
small XML parsing library (runtime)
libmygpo-qt-dev (1.1.0-4)
Development headers for the mygpo-qt library
libmygpo-qt5-1 (1.1.0-4)
mygpo-qt library package
libmygui-dev (3.2.2+dfsg-2.1)
Fast, simple and flexible GUI for OpenMW - development files
libmygui.ogreplatform0debian1v5 (3.2.2+dfsg-2.1)
Fast, simple and flexible GUI - Ogre interface
libmygui.openglplatform0debian1v5 (3.2.2+dfsg-2.1)
Fast, simple and flexible GUI - OpenGL interface
libmyguiengine3debian1v5 (3.2.2+dfsg-2.1)
Fast, simple and flexible GUI - shared library
libmypaint-1.5-1 (1.6.0-2)
brush library for mypaint
libmypaint-common (1.6.0-2)
brush library for mypaint - common files
libmypaint-dev (1.6.0-2)
brush library for mypaint - development files
libmypaint-doc (1.6.0-2)
brush library for mypaint - documentation
libmyproxy-dev (6.2.6-2)
Credential Management Service Development
libmyproxy-voms (6.2.6-2)
Credential Management Service VOMS Library
libmyproxy6 (6.2.6-2)
Credential Management Service Library
libmysofa-dev (1.2~dfsg0-1)
library to read HRTFs stored in the AES69-2015 SOFA format - development files
libmysofa-utils (1.2~dfsg0-1)
library to read HRTFs stored in the AES69-2015 SOFA format - utilities
libmysofa1 (1.2~dfsg0-1)
library to read HRTFs stored in the AES69-2015 SOFA format
libmysql++-dev (3.2.5-2)
MySQL C++ library bindings (development)
libmysql++-doc (3.2.5-2)
MySQL C++ library bindings (documentation and examples)
libmysql++3v5 (3.2.5-2)
MySQL C++ library bindings (runtime)
libmysql-diff-perl (0.60-1)
module for comparing the table structure of two MySQL databases
libmysql-ocaml (1.2.4-1+b1)
OCaml bindings for MySql (runtime package)
libmysql-ocaml-8gd75
本虛擬套件由這些套件填實: libmysql-ocaml
libmysql-ocaml-dev (1.2.4-1+b1)
OCaml bindings for MySql (development package)
libmysql-ocaml-dev-8gd75
本虛擬套件由這些套件填實: libmysql-ocaml-dev
libmysql-ocaml-dev-fj7e4
本虛擬套件由這些套件填實: libmysql-ocaml-dev
libmysql-ocaml-dev-o3pv5
本虛擬套件由這些套件填實: libmysql-ocaml-dev
libmysql-ocaml-dev-rv8f2
本虛擬套件由這些套件填實: libmysql-ocaml-dev
libmysql-ocaml-fj7e4
本虛擬套件由這些套件填實: libmysql-ocaml
libmysql-ocaml-o3pv5
本虛擬套件由這些套件填實: libmysql-ocaml
libmysql-ocaml-rv8f2
本虛擬套件由這些套件填實: libmysql-ocaml
libmysqlcppconn-dev (1.1.12-4)
MySQL Connector for C++ (development files)
libmysqlcppconn7v5 (1.1.12-4)
MySQL Connector for C++ (library)
libmysqld-dev
本虛擬套件由這些套件填實: libmariadbd-dev
libmythes-1.2-0 (2:1.2.4-3+b1)
simple thesaurus library
libmythes-dev (2:1.2.4-3+b1)
simple thesaurus library (development files)
libn32atomic1 (10.2.1-6)
support library providing __atomic built-in functions (n32)
libn32atomic1-mips-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mips64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mips64el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mips64r6-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mips64r6el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mipsel-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mipsr6-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32atomic1-mipsr6el-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (n32)
libn32c-dev
本虛擬套件由這些套件填實: libc6-dev-mipsn32
libn32c-dev-mips-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips-cross
libn32c-dev-mips64-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64-cross
libn32c-dev-mips64el-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64el-cross
libn32c-dev-mips64r6-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64r6-cross
libn32c-dev-mips64r6el-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mips64r6el-cross
libn32c-dev-mipsel-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mipsel-cross
libn32c-dev-mipsr6-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mipsr6-cross
libn32c-dev-mipsr6el-cross
本虛擬套件由這些套件填實: libc6-dev-mipsn32-mipsr6el-cross
libn32gcc-10-dev (10.2.1-6)
GCC support library (n32 development files)
libn32gcc-10-dev-mips-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mips64-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mips64el-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mips64r6-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mips64r6el-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mipsel-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mipsr6-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-10-dev-mipsr6el-cross (10.2.1-6cross1)
GCC support library (n32 development files)
libn32gcc-9-dev (9.3.0-22)
GCC support library (n32 development files)
libn32gcc-s1 (10.2.1-6)
GCC support library (n32)
libn32gcc-s1-mips-cross (10.2.1-6cross1)
GCC support library (mips) (n32)
libn32gcc-s1-mips-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mips-cross
libn32gcc-s1-mips64-cross (10.2.1-6cross1)
GCC support library (mips64) (n32)
libn32gcc-s1-mips64-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mips64-cross
libn32gcc-s1-mips64el-cross (10.2.1-6cross1)
GCC support library (mips64el) (n32)
libn32gcc-s1-mips64el-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mips64el-cross
libn32gcc-s1-mips64r6-cross (10.2.1-6cross1)
GCC support library (mips64r6) (n32)
libn32gcc-s1-mips64r6-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mips64r6-cross
libn32gcc-s1-mips64r6el-cross (10.2.1-6cross1)
GCC support library (mips64r6el) (n32)
libn32gcc-s1-mips64r6el-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mips64r6el-cross
libn32gcc-s1-mipsel-cross (10.2.1-6cross1)
GCC support library (mipsel) (n32)
libn32gcc-s1-mipsel-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mipsel-cross
libn32gcc-s1-mipsr6-cross (10.2.1-6cross1)
GCC support library (mipsr6) (n32)
libn32gcc-s1-mipsr6-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mipsr6-cross
libn32gcc-s1-mipsr6el-cross (10.2.1-6cross1)
GCC support library (mipsr6el) (n32)
libn32gcc-s1-mipsr6el-dcv1
本虛擬套件由這些套件填實: libn32gcc-s1-mipsr6el-cross
libn32gcc1-mips-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mips-cross
libn32gcc1-mips64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mips64-cross
libn32gcc1-mips64el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mips64el-cross
libn32gcc1-mips64r6-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mips64r6-cross
libn32gcc1-mips64r6el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mips64r6el-cross
libn32gcc1-mipsel-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mipsel-cross
libn32gcc1-mipsr6-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mipsr6-cross
libn32gcc1-mipsr6el-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libn32gcc-s1-mipsr6el-cross
libn32gfortran-10-dev (10.2.1-6)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran-9-dev (9.3.0-22)
Runtime library for GNU Fortran applications (n32 development files)
libn32gfortran5 (10.2.1-6)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mips-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32gfortran5-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (n32)
libn32go-10-dev (10.2.1-6)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32 development files)
libn32go-9-dev (9.3.0-22)
Runtime library for GNU Go applications (n32 development files)
libn32go14 (9.3.0-22)
Runtime library for GNU Go applications (n32)
libn32go16 (10.2.1-6)
Runtime library for GNU Go applications (n32)
libn32go16-mips-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32go16-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (n32)
libn32gomp1 (10.2.1-6)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mips-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mips64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mips64el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mips64r6-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mips64r6el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mipsel-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mipsr6-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gomp1-mipsr6el-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (n32)
libn32gphobos-10-dev (10.2.1-6)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mips-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mips64-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mips64el-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mips64r6-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mips64r6el-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mipsel-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mipsr6-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-10-dev-mipsr6el-cross (10.2.1-6cross1)
Phobos D standard library (n32 development files)
libn32gphobos-9-dev (9.3.0-22)
Phobos D standard library (n32 development files)
libn32gphobos1 (10.2.1-6)
Phobos D standard library (runtime library)
libn32gphobos1-mips-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mips64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mips64el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mips64r6-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mips64r6el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mipsel-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mipsr6-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos1-mipsr6el-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libn32gphobos76 (9.3.0-22)
Phobos D standard library (runtime library)
libn32objc-10-dev (10.2.1-6)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc-9-dev (9.3.0-22)
Runtime library for GNU Objective-C applications (n32 development files)
libn32objc4 (10.2.1-6)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mips-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32objc4-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (n32)
libn32stdc++-10-dev (10.2.1-6)
GNU Standard C++ Library v3 (development files)
libn32stdc++-10-dev-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips
libn32stdc++-10-dev-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64
libn32stdc++-10-dev-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64el
libn32stdc++-10-dev-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64r6
libn32stdc++-10-dev-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64r6el
libn32stdc++-10-dev-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsel
libn32stdc++-10-dev-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsr6
libn32stdc++-10-dev-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsr6el
libn32stdc++-9-dev (9.3.0-22)
GNU Standard C++ Library v3 (development files)
libn32stdc++6 (10.2.1-6)
GNU Standard C++ Library v3 (n32)
libn32stdc++6-10-dbg (10.2.1-6)
GNU Standard C++ Library v3 (debug build)
libn32stdc++6-9-dbg (9.3.0-22)
GNU Standard C++ Library v3 (debug build)
libn32stdc++6-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips) (n32)
libn32stdc++6-mips-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mips-cross
libn32stdc++6-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64) (n32)
libn32stdc++6-mips64-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mips64-cross
libn32stdc++6-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64el) (n32)
libn32stdc++6-mips64el-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mips64el-cross
libn32stdc++6-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64r6) (n32)
libn32stdc++6-mips64r6-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mips64r6-cross
libn32stdc++6-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64r6el) (n32)
libn32stdc++6-mips64r6el-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mips64r6el-cross
libn32stdc++6-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsel) (n32)
libn32stdc++6-mipsel-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mipsel-cross
libn32stdc++6-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsr6) (n32)
libn32stdc++6-mipsr6-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mipsr6-cross
libn32stdc++6-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsr6el) (n32)
libn32stdc++6-mipsr6el-dcv1
本虛擬套件由這些套件填實: libn32stdc++6-mipsr6el-cross
libn32z-dev
本虛擬套件由這些套件填實: libn32z1-dev
libn32z1 (1:1.2.11.dfsg-2+deb11u2)
compression library - n32 runtime
libn32z1-dev (1:1.2.11.dfsg-2+deb11u2)
compression library - n32 - DO NOT USE EXCEPT FOR PACKAGING
libnabrit-dbg (0.5.1-2+b1)
LV2 bridging helper library (debugging symbols)
libnabrit-dev (0.5.1-2+b1)
LV2 bridging helper library (development files)
libnabrit3 (0.5.1-2+b1)
LV2 bridging helper library
libnacl-dev (20110221-6.1+b1)
High-speed software library for network communication
libnacore-dev (0.5.1-3)
NASPRO core library (development files)
libnacore-doc (0.5.1-3)
NASPRO core library (documentation)
libnacore5 (0.5.1-3)
NASPRO core library
libnaga-java (3.0+svn80-4)
Simplified Java NIO asynchronous sockets
libnagios-object-perl (0.21.20-2.1)
module to parse and represent Nagios configuration as objects
libnamespace-autoclean-perl (0.29-1)
module to remove imported symbols after compilation
libnamespace-clean-perl (0.27-1)
module for keeping imports and functions out of the current namespace
libnamespace-sweep-perl (0.006-2.1)
sweeps up imported subs in your classes
libnanoflann-dev (1.3.2+ds-1)
C++11 header-only library for Nearest Neighbor Search with KD-Trees
libnanomsg-dev (1.1.5+dfsg-1+b2)
nanomsg development files
libnanomsg-raw-perl (0.10-1+b6)
low-level interface to nanomsg for Perl
libnanomsg5 (1.1.5+dfsg-1+b2)
high-performance implementation of scalability libraries
libnanopb-dev (0.4.4-2)
Protocol Buffers with small code size, development files
libnanoxml2-java (2.2.3.dfsg-9)
Small XML parser for Java
libnanoxml2-java-doc (2.2.3.dfsg-9)
documentation for libnanoxml2-java
libnative-platform-java (0.14-5)
Java bindings for various native APIs
libnative-platform-java-doc (0.14-5)
testing and specification framework for Java and Groovy - Docs
libnative-platform-jni (0.14-5)
Java bindings for various native APIs - JNI library
libnativecall-perl (0.006-2)
Perl 5 interface to foreign functions in Perl code without XS
libnatpmp-dev (20150609-7.1)
portable and fully compliant implementation of NAT-PMP (dev files)
libnatpmp1 (20150609-7.1)
portable and fully compliant implementation of NAT-PMP
libnautilus-extension-dev (3.38.2-1+deb11u1)
libraries for nautilus components - development version
libnautilus-extension1a (3.38.2-1+deb11u1)
libraries for nautilus components - runtime version
libnauty
本虛擬套件由這些套件填實: libnauty2
libnauty-dev
本虛擬套件由這些套件填實: libnauty2-dev
libnauty2 (2.7r1+ds-2)
library for graph automorphisms -- library package
libnauty2-dev (2.7r1+ds-2)
library for graph automorphisms -- development package
libnav-msgs-dev (1.13.0-2)
Messages relating to Robot OS nav, C/C++ interface
libnb-absolutelayout-java (12.1-3)
Java LayoutManager to allow placement in absolute positions
libnb-javaparser-java (9+2018-1)
Parser for the Java language which is good for use in tools
libnb-org-openide-modules-java (12.1-2)
Utility classes for modules from the NetBeans Platform
libnb-org-openide-util-java (12.1-2)
Utility classes from the NetBeans Platform
libnb-org-openide-util-lookup-java (12.1-2)
Utility lookup classes from the NetBeans Platform
libnb-platform-devel-java (12.1-2)
Build harness for NetBeans Platform
libnb-platform18-java (12.1-2)
NetBeans Platform for building rich desktop applications in Java
libnb-svnclientadapter-java
本虛擬套件由這些套件填實: libsvnclientadapter-java
libnb-svnclientadapter-java-doc
本虛擬套件由這些套件填實: libsvnclientadapter-java-doc
libnbcompat-dev (20180822-5+b1 [amd64], 20180822-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
NetBSD pkgsrc compatibility library
libnbd-bin (1.6.1-1)
Utilities using the Network Block Device client library
libnbd-dev (1.6.1-1)
Network Block Device client library -- development files
libnbd-ocaml (1.6.1-1)
Network Block Device client library -- OCaml bindings
libnbd-ocaml-5ko19
本虛擬套件由這些套件填實: libnbd-ocaml
libnbd-ocaml-bnk61
本虛擬套件由這些套件填實: libnbd-ocaml
libnbd-ocaml-dev (1.6.1-1)
Network Block Device client library -- OCaml development files
libnbd-ocaml-dev-5ko19
本虛擬套件由這些套件填實: libnbd-ocaml-dev
libnbd-ocaml-dev-bnk61
本虛擬套件由這些套件填實: libnbd-ocaml-dev
libnbd-ocaml-dev-jkpe9
本虛擬套件由這些套件填實: libnbd-ocaml-dev
libnbd-ocaml-dev-uvs39
本虛擬套件由這些套件填實: libnbd-ocaml-dev
libnbd-ocaml-jkpe9
本虛擬套件由這些套件填實: libnbd-ocaml
libnbd-ocaml-uvs39
本虛擬套件由這些套件填實: libnbd-ocaml
libnbd0 (1.6.1-1)
Network Block Device client library -- shared library
libncap-dev (1.9.2-7)
static library and header files for libncap
libncap44 (1.9.2-7)
network capture library
libncarg-bin (6.6.2-7)
NCAR command-language library - development tools
libncarg-data (6.6.2-7)
NCAR command-language library - Data
libncarg-dev (6.6.2-7)
Development files for the NCAR command language library
libncarg0 (6.6.2-7)
NCAR command-language library
libncbi-vdb-dev (2.10.9+dfsg-2)
libraries for using data in the INSDC Sequence Read Archives (devel)
libncbi-vdb2 (2.10.9+dfsg-2)
libraries for using data in the INSDC Sequence Read Archives
libncbi-wvdb-dev (2.10.9+dfsg-2)
virtual layer update modul of ncbi-vdb library (devel)
libncbi-wvdb2 (2.10.9+dfsg-2)
virtual layer update modul of ncbi-vdb library
libncbi6 (6.1.20170106+dfsg1-9)
NCBI libraries for biology applications
libncbi6-dev (6.1.20170106+dfsg1-9)
NCBI libraries for biology applications (development files)
libncl-dev (2.1.21+git20190531.feceb81-3)
NEXUS Class Library (static lib and header files)
libncl2 (2.1.21+git20190531.feceb81-3)
NEXUS Class Library
libncurses-dev (6.2+20201114-2+deb11u2)
developer's libraries for ncurses
libncurses-gst (3.2.5-1.3)
Ncurses bindings for GNU Smalltalk
libncurses5 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (legacy version)
libncurses5-dev (6.2+20201114-2+deb11u2)
transitional package for libncurses-dev
libncurses5-dev (= 6.2+20201114-2+deb11u2)
本虛擬套件由這些套件填實: libncurses-dev
libncurses6 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling
libncursesada-doc (6.2.20200212-4)
Ada binding to the ncurses text interface library: documentation
libncursesada6.2.3 (6.2.20200212-4)
Ada binding to the ncurses text interface library: shared library
libncursesada9-dev (6.2.20200212-4)
Ada binding to the ncurses text interface library: development
libncursesw5 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (wide character legacy version)
libncursesw5-dev (6.2+20201114-2+deb11u2)
transitional package for libncurses-dev
libncursesw5-dev (= 6.2+20201114-2+deb11u2)
本虛擬套件由這些套件填實: libncurses-dev
libncursesw6 (6.2+20201114-2+deb11u2)
shared libraries for terminal handling (wide character support)
libndctl-dev (71.1-1)
Development files for libndctl
libndctl6 (71.1-1)
Utility library for managing the libnvdimm subsystem
libndp-dbg (1.6-1+b1)
Library for Neighbor Discovery Protocol (debug symbols)
libndp-dev (1.6-1+b1)
Library for Neighbor Discovery Protocol (development files)
libndp-tools (1.6-1+b1)
Library for Neighbor Discovery Protocol (tools)
libndp0 (1.6-1+b1)
Library for Neighbor Discovery Protocol
libne10-10 (1.2.1-5)
ARM neon (SIMD) library
libne10-dev (1.2.1-5)
ARM neon (SIMD) library - development files
libneko2 (2.3.0-1+b1)
Lightweight virtual machine - shared library
libnekohtml-java (1.9.22-1.1)
NekoHTML - HTML parser for Java
libnekohtml-java-doc (1.9.22-1.1)
NekoHTML - HTML parser for Java (Documentation)
libnemesis3 (6.02.dfsg.1-8+b3)
Parallel extension to the EXODUSII datamodel
libnemo-extension-dev (4.8.6-2)
Nemo component system facilities (development files)
libnemo-extension1 (4.8.6-2)
Nemo component system facilities
libneo4j-client
本虛擬套件由這些套件填實: libneo4j-client11
libneo4j-client-dev (2.2.0-1.1)
Development files for libneo4j-client, a client library for Neo4j
libneo4j-client-doc (2.2.0-1.1)
Documentation for libneo4j-client, a client library for Neo4j
libneo4j-client11 (2.2.0-1.1)
Client library for the Neo4j graph database
libneon-dev
本虛擬套件由這些套件填實: libneon27-gnutls-dev, libneon27-dev
libneon27 (0.31.2-1)
HTTP and WebDAV client library
libneon27-dbg (0.31.2-1)
Detached symbols for libneon27
libneon27-dev (0.31.2-1)
Header and static library files for libneon27
libneon27-gnutls (0.31.2-1)
HTTP and WebDAV client library (GnuTLS enabled)
libneon27-gnutls-dbg (0.31.2-1)
Detached symbols for libneon27 (GnuTLS enabled)
libneon27-gnutls-dev (0.31.2-1)
Header and static library files for libneon27 (GnuTLS enabled)
libnest2d-dev (0.4-26-g4d6fb4d-2)
2D irregular bin packaging and nesting C++ header-only library
libnet-abuse-utils-perl (0.27-1.1)
Routines useful for processing network abuse
libnet-address-ip-local-perl (0.1.2-3.1)
Perl module for discovering the local system's IP address
libnet-akamai-perl (0.15-1.1)
Perl module to interact with Akamai
libnet-akismet-perl (0.05-3)
Perl interface to Akismet - comment and trackback spam fighter
libnet-amazon-ec2-perl (0.36-1)
Perl interface to the Amazon Elastic Compute Cloud (EC2)
libnet-amazon-s3-perl (0.97-1)
Amazon S3 Perl API - Simple Storage Service
libnet-amazon-s3-tools-perl (0.08-2.1)
Command line tools for Amazon AWS S3
libnet-amqp-perl (0.06~dfsg-2.1)
AMQP (de)serialization and representation
libnet-appliance-session-perl (4.300005-1)
module for running command-line sessions to network appliances
libnet-arp-perl (1.0.11-1+b1)
module to create ARP packets and lookup for ARP information
libnet-async-fastcgi-perl (0.25-1.1)
FastCGI engine using IO::Async
libnet-async-http-perl (0.48-1)
module to use HTTP with IO::Async
libnet-async-irc-perl (0.11-1.1)
IRC client for use with IO::Async
libnet-async-matrix-perl (0.19-2)
use Matrix with IO::Async
libnet-async-tangence-perl (0.15-1)
module to use Tangence with IO::Async
libnet-bluetooth-perl (0.41-2+b3)
Perl interface to Bluetooth libraries
libnet-bonjour-perl (0.96-2.1)
Perl module for DNS service discovery
libnet-cidr-lite-perl (0.22-1)
module for merging IPv4 or IPv6 CIDR address ranges
libnet-cidr-perl (0.20-1)
module to manipulate IPv4/IPv6 netblocks in CIDR notation
libnet-cidr-set-perl (0.13-3)
Net::CIDR::Set perl module to manipulate sets of IP addresses
libnet-cisco-mse-rest-perl (0.2-1.1)
Perl wrapper for Cisco MSE REST interface
libnet-citadel-perl (0.25-1)
Citadel.org protocol coverage
libnet-cli-interact-perl (2.300003-1)
toolkit for CLI Automation
libnet-cpp-dev (2.2.1+dfsg1-6)
C++11 library for networking purposes - development headers
libnet-cpp-doc (2.2.1+dfsg1-6)
Documentation files for libnet-cpp-dev
libnet-cpp2 (2.2.1+dfsg1-6)
C++11 library for networking purposes - runtime library
libnet-cups-perl (0.64-1+b3)
Perl module for printing through CUPS
libnet-daap-dmap-perl (1.27-1.1)
Perl module for reading and writing DAAP structures
libnet-daemon-perl (0.48-2)
Perl module for building portable Perl daemons easily
libnet-dbus-glib-perl (0.33.0-3+b3)
extension for the DBus GLib bindings
libnet-dbus-perl (1.2.0-1+b1)
Perl extension for the DBus bindings
libnet-dev
本虛擬套件由這些套件填實: libnet1-dev
libnet-dhcp-perl (0.696+dfsg-1)
Perl interface for handling DHCP packets
libnet-dhcpv6-duid-parser-perl (1.01-2.1)
OO interface to parse DHCPv6 Unique Identifiers
libnet-dict-perl (2.21-2)
client API for accessing dictionary servers (RFC 2229)
libnet-dns-async-perl (1.07-2.1)
Asynchronous DNS helper for high volume perl applications
libnet-dns-fingerprint-perl (20190131-1.1)
library to determine DNS server vendor, product and version
libnet-dns-lite-perl (0.12-2)
pure-perl DNS resolver with support for timeout
libnet-dns-native-perl (0.22-2)
non-blocking system DNS resolver
libnet-dns-perl (1.29-1)
Perform DNS queries from a Perl script
libnet-dns-resolver-mock-perl (1.20200215-1)
module to mock a DNS Resolver object for testing
libnet-dns-resolver-programmable-perl (0.009-1)
programmable DNS resolver class for offline emulation of DNS
libnet-dns-sec-perl (1.18-1+b1)
DNSSEC extension to NET::DNS
libnet-domain-tld-perl (1.75-1.1)
list of currently available Top-level Domains (TLDs)
libnet-dpap-client-perl (0.26-3.1)
Perl module for cnnecting to iPhoto shares (DPAP)
libnet-dropbox-api-perl (1.9-1.1)
Perl module providing a dropbox API interface
libnet-duo-perl (1.02-1.1)
Perl API for Duo multifactor authentication service
libnet-easytcp-perl (0.26-4)
Easily create secure, bandwidth-friendly TCP/IP clients and servers
libnet-epp-perl (0.22-1.1)
module implementing the Extensible Provisioning Protocol
libnet-facebook-oauth2-perl (0.12-1)
simple Perl wrapper around Facebook OAuth v2.0 protocol
libnet-fastcgi-perl (0.14-1.1)
Perl toolkit to write FastCGI applications
libnet-finger-perl (1.06-6.1)
perl Module providing an API for Finger queries
libnet-frame-device-perl (1.11-1.1)
module to get network device information
libnet-frame-dump-perl (1.18-1)
base-class for a tcpdump like implementation
libnet-frame-layer-icmpv6-perl (1.11-1)
module for encoding and decoding of the ICMPv6 layer
libnet-frame-layer-ipv6-perl (1.08-1.1)
module for encoding and decoding of the IPv6 layer
libnet-frame-perl (1.21-1)
framework for crafting raw frames
libnet-frame-simple-perl (1.09-1.1)
module to make crafting network frames easier
libnet-freedb-perl (0.10-2)
Perl interface to freedb servers
libnet-github-perl (1.01-1)
Perl interface for GitHub
libnet-gmail-imap-label-perl (0.008-1)
IMAP proxy for Google's Gmail that retrieves message labels
libnet-google-authsub-perl (0.5-2.1)
module to interact with sites that implement Google style AuthSub
libnet-gpsd3-perl (0.19-1.1)
Perl interface to the gpsd server daemon protocol version 3 (JSON)
libnet-hotline-perl (0.83-3.1)
Hotline interface module for Perl
libnet-http-perl (6.20-1)
module providing low-level HTTP connection client
libnet-https-any-perl (0.12-1)
wrapper around Net::SSLeay
libnet-https-nb-perl (0.15-1.1)
low-level non-blocking HTTPS client
libnet-httpserver-perl (1.1.1-3)
extensible HTTP server framework for Perl
libnet-ident-perl (1.25-1)
Perl module to lookup the username on the remote end of a TCP/IP connection
libnet-idn-encode-perl (2.500-1+b2)
Internationalizing Domain Names in Applications (IDNA)
libnet-idn-nameprep-perl (1.102+dfsg-1)
stringprep profile for Internationalized Domain Names (RFC 3491)
libnet-ifconfig-wrapper-perl (0.26-1)
multiplatform Perl wrapper for ifconfig
libnet-imap-client-perl (0.9505-1.1)
Perl module to communicate with IMAP servers
libnet-imap-perl (0.02-9.1)
client interface to IMAP (Internet Message Access Protocol)
libnet-imap-simple-perl (1.2211-1)
Perl module to manage an IMAP account
libnet-imap-simple-ssl-perl (1.3-4)
Subclass of Net::IMAP::Simple with SSL support
libnet-inet6glue-perl (0.604-1)
glue module to make perl modules IPv6 ready
libnet-interface-perl (1.016-1+b5)
Perl module for manipulating host network interfaces
libnet-ip-minimal-perl (0.06-1.1)
module to check if an IP address is version 4 or 6
libnet-ip-perl (1.26-2)
Perl extension for manipulating IPv4/IPv6 addresses
libnet-ip-xs-perl (0.21-1+b3)
Perl extension for manipulating IPv4/IPv6 addresses (XS)
libnet-iptrie-perl (0.7-2.1)
Perl module for building IPv4 and IPv6 address space hierarchies
libnet-ipv6addr-perl (1.01-1)
module to validate/manipulate IPv6 addresses
libnet-irc-perl (0.79-3)
IRC (Internet Relay Chat) interface module for Perl
libnet-irr-perl (0.08-1.1)
perl interface to the Internet Route Registry daemon
libnet-jabber-bot-perl (2.1.5-1.1)
automated Bot creation with safeties
libnet-jabber-loudmouth-perl (0.07-3+b9 [s390x], 0.07-3+b7 [amd64, armel, armhf, i386, mipsel], 0.07-3+b6 [arm64, mips64el, ppc64el])
Perl interface for the loudmouth jabber library
libnet-jabber-perl (2.0-8)
Perl modules for accessing the Jabber protocol
libnet-ldap-filterbuilder-perl (1.200002-1)
module to build LDAP filter statements
libnet-ldap-perl (1:0.6800+dfsg-1)
client interface to LDAP servers
libnet-ldap-server-perl (0.43-1)
LDAP server-side protocol handling in Perl
libnet-ldap-server-test-perl (0.22-1)
provides a test LDAP server for Net::LDAP testing
libnet-ldap-sid-perl (0.001-1.1)
module for Active Directory Security Identifier (SID) manipulation
libnet-ldapapi-perl (3.0.7-2)
Perl bindings for OpenLDAP C API
libnet-ldns-perl (0.75-6+b3)
Perl wrapper for the ldns DNS library.
libnet-libdnet-perl (0.98-2+b3)
interface to libdumbnet's low-level network functions
libnet-libdnet6-perl (0.28-1)
module to add IPv6 support to Net::Libdnet
libnet-libidn-perl (0.12.ds-3+b3)
Perl bindings for GNU Libidn
libnet-luminis-build-plugin-java (0.2.0-3.1)
Wrapper around Bnd to allow easy bundle creation from ant builds.
libnet-mac-perl (2.103622-2.1)
module for representing and manipulating MAC addresses
libnet-mac-vendor-perl (1.265-1)
module to look up the vendor by OUI
libnet-managesieve-perl (0.13-1)
Perl client module for ManageSieve
libnet-nbname-perl (0.26-2)
NetBIOS Name Service Requests
libnet-nessus-rest-perl (0.7.0-1)
REST interface for Nessus 6.0
libnet-nessus-xmlrpc-perl (0.30-2)
module for communication with Nessus scanner(v4.2+) via XMLRPC
libnet-netmask-perl (1.9104-2)
module to parse, manipulate and lookup IP network blocks
libnet-nis-perl (0.44-1+b10 [s390x], 0.44-1+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.44-1+b7 [mips64el, ppc64el])
Interface to Sun's Network Information Service
libnet-nslookup-perl (2.04-2)
simple DNS lookup module for perl
libnet-ntp-perl (1.5-1.1)
Perl module to query NTP servers
libnet-oauth-perl (0.28-3)
implementation of the OAuth 1.0 protocol
libnet-oauth2-authorizationserver-perl (0.28-1)
implementation of an OAuth2 Authorization Server
libnet-oauth2-perl (0.66-1)
implementation of the OAuth 2.0 protocol
libnet-openid-common-perl (1.20-1)
libraries shared between Net::OpenID::Consumer and Net::OpenID::Server
libnet-openid-consumer-perl (1.18-1)
library for consumers of OpenID identities
libnet-openid-server-perl (1.09-3)
library for servers of OpenID identities
libnet-opensrs-perl (0.06-2)
Perl interface for domain registration via the Tucows OpenSRS HTTPS XML API.
libnet-openssh-compat-perl (0.09-1)
collection of compatibility modules for Net::OpenSSH
libnet-openssh-parallel-perl (0.12-1.1)
run SSH jobs in parallel
libnet-openssh-perl (0.80-1)
Perl SSH client package implemented on top of OpenSSH
libnet-oping-perl (1.10.0-1.21-4+b1)
module for ICMP latency measurement
libnet-patricia-perl (1.22-1+b9 [s390x], 1.22-1+b7 [amd64, armel, armhf, i386, mipsel], 1.22-1+b6 [arm64, mips64el, ppc64el])
Perl module for fast IP address lookups
libnet-pcap-perl (0.18-3+b2)
Perl binding to the LBL pcap packet capture library
libnet-perl (= 1:3.11)
本虛擬套件由這些套件填實: perl
libnet-ph-perl (2.21-8.1)
Perl module for accessing Ph servers
libnet-ping-perl (= 2.72)
本虛擬套件由這些套件填實: perl
libnet-prometheus-perl (0.11-1+b1)
module to export monitoring metrics for Prometheus
libnet-proxy-perl (0.12-6.1)
Framework for proxying network connections in many ways
libnet-radius-perl (2.103+dfsg-1.1)
distribution of modules interfacing to the RADIUS protocol
libnet-rawip-perl (0.25-2+b9 [s390x], 0.25-2+b7 [amd64, armel, armhf, i386, mipsel], 0.25-2+b6 [arm64, mips64el, ppc64el])
Perl interface to lowlevel TCP/IP
libnet-rblclient-perl (0.5-3)
module to query multiple Realtime Blackhole Lists in parallel
libnet-remctl-perl (3.17-1)
Perl client for Kerberos-authenticated command execution
libnet-rendezvous-publish-backend-avahi-perl (0.04-2.1)
Perl module to publish zeroconf data with the Avahi library
libnet-rendezvous-publish-perl (0.04-4.1)
Perl module to publish Rendezvous services
libnet-route-perl (0.02-2)
portable interface to the routing table
libnet-scp-expect-perl (0.16-2.1)
Wrapper for scp to send passwords via Expect
libnet-scp-perl (0.08-4)
Perl extension for secure copy protocol
libnet-server-coro-perl (1.3-2)
co-operative multithreaded server using Coro
libnet-server-mail-perl (0.28-1)
versatile and extensible mail server framework
libnet-server-perl (2.009-2)
extensible, general perl server engine
libnet-server-ss-prefork-perl (0.05-1.1)
hot-deployable variant of Net::Server::PreFork
libnet-sftp-foreign-perl (1.93+dfsg-1)
client for the Secure File Transfer Protocol
libnet-sftp-sftpserver-perl (1.1.0-6)
Secure File Transfer Protocol Server
libnet-sieve-perl (0.12-1)
implementation of managesieve protocol to manage sieve scripts
libnet-sieve-script-perl (0.09-1)
module to parse and write sieve scripts
libnet-sip-perl (0.828-1)
framework for SIP modules
libnet-smpp-perl (1.19-2.1)
implementation of Short Message Peer to Peer protocol over TCP
libnet-smtp-server-perl (1.1-6)
native Perl SMTP Server implementation for Perl
libnet-smtp-ssl-perl (1.04-1)
Perl module providing SSL support to Net::SMTP
libnet-smtp-tls-butmaintained-perl (0.24-2)
Perl module for providing SMTP client supporting TLS and AUTH
libnet-smtp-tls-perl (0.12-3)
Perl SMTP client library supporting TLS and AUTH
libnet-smtpauth-perl (0.08-4.1)
Perl module that provides SMTP authentication (Net::SMTP_auth)
libnet-smtps-perl (0.10-1)
SSL/STARTTLS support for Net::SMTP
libnet-snmp-perl (6.0.1-6)
Script SNMP connections
libnet-snpp-perl (1.17-5)
perl module for accessing SNPP servers
libnet-socks-perl (0.03-15)
Perl module providing an API to communicate with SOCKS servers
libnet-ssh-authorizedkeysfile-perl (0.18-3)
Read and modify ssh's authorized_keys files
libnet-ssh-perl (0.09-4)
Perl extension for secure shell
libnet-ssh2-perl (0.72-3)
Perl module for the SSH 2 protocol
libnet-ssleay-perl (1.88-3+b1)
Perl module for Secure Sockets Layer (SSL)
libnet-sslglue-perl (1.058-1)
module to add/extend SSL support for common perl modules
libnet-statsd-perl (0.12-1.1)
perl client for Etsy's statsd daemon
libnet-stomp-perl (0.60-1)
Perl module providing a Streaming Text Orientated Messaging Protocol client
libnet-subnet-perl (1.03-1.1)
Fast IP-in-subnet matcher module for IPv4 and IPv6
libnet-subnets-perl (1.02-1.1)
module for IPv4 subnet calculations
libnet-syslogd-perl (0.16-1.1)
Perl implementation of Syslog Listener
libnet-tclink-perl (3.4.0-9+b7)
Perl interface to the TrustCommerce payment gateway
libnet-telnet-cisco-perl (1.10-5.3)
Additional functionality to automate Cisco management
libnet-telnet-perl (3.04-1.1)
Perl module to script telnetable connections
libnet-tftp-perl (0.1901-1.1)
Perl module for accessing TFTP servers
libnet-tftpd-perl (0.10-1.1)
Perl extension for Trivial File Transfer Protocol Server
libnet-trac-perl (0.16-2.1)
Perl client library for Trac
libnet-traceroute-perl (1.15-2)
traceroute(1) functionality in perl
libnet-traceroute-pureperl-perl (0.10-2.1)
traceroute(1) functionality in perl via raw sockets
libnet-twitter-lite-perl (0.12008-1)
interface to the Twitter API
libnet-twitter-perl (4.01043-1)
Perl interface to the Twitter social network
libnet-upnp-perl (1.4.6-1)
Perl extensions for UPnP
libnet-vnc-perl (0.40-2.1)
Perl module implementing a simple VNC client
libnet-whois-ip-perl (1.19-2.1)
Lookup whois entries of IP addresses with Perl
libnet-whois-parser-perl (0.08-1.1)
module for parsing whois information
libnet-whois-raw-perl (2.43-1.1)
Perl Module providing an API to access whois database
libnet-works-perl (0.22-1.1)
Perl module providing improved APIs for IP addresses and networks
libnet-write-perl (1.10-1.1)
portable interface to open and send raw data to network
libnet-xmpp-perl (1.05-1.1)
XMPP Perl library
libnet-xwhois-perl (0.90-4.1)
Whois Client Interface for Perl5
libnet-z3950-simple2zoom-perl (1.04-1.1)
gateway between Z39.50 and SRU/SRW
libnet-z3950-simpleserver-perl (1.21-2+b1)
simple perl API for building Z39.50 servers
libnet-z3950-zoom-perl (1.30-3+b1)
Perl extension implementing the ZOOM API for Information Retrieval via Z39.50
libnet1 (1.1.6+dfsg-3.1)
library for the construction and handling of network packets
libnet1-dbg (1.1.6+dfsg-3.1)
debugging symbols for libnet
libnet1-dev (1.1.6+dfsg-3.1)
development files for libnet
libnet1-doc (1.1.6+dfsg-3.1)
developers documentation files for libnet
libnetaddr-ip-perl (4.079+dfsg-1+b5)
IP address manipulation module
libnetapp-perl (500.002-2)
Perl modules for managing NetApp's NAS devices
libnetbeans-cvsclient-java (6.5-3)
NetBeans CVS Client library
libnetcdf-c++4 (4.2-12)
legacy NetCDF C++ interface
libnetcdf-c++4-1 (4.3.1-3)
C++ interface for scientific data access to large binary data
libnetcdf-c++4-dev (4.3.1-3)
creation, access, and sharing of scientific data in C++
libnetcdf-c++4-doc (4.3.1-3)
NetCDF C++ API documentation
libnetcdf-cxx-legacy-dev (4.2-12)
legacy NetCDF C++ interface - development files
libnetcdf-dev (1:4.7.4-1)
creation, access, and sharing of scientific data
libnetcdf-mpi-18 (1:4.7.4-1+b1)
Interface for scientific data access to large binary data
libnetcdf-mpi-dev (1:4.7.4-1+b1)
creation, access, and sharing of array-oriented scientific data
libnetcdf-pnetcdf-18 (1:4.7.4-1+b1)
Interface for scientific data access to large binary data
libnetcdf-pnetcdf-dev (1:4.7.4-1+b1)
creation, access, and sharing of array-oriented scientific data
libnetcdf18 (1:4.7.4-1)
Interface for scientific data access to large binary data
libnetcdff-dev (4.5.3+ds-2)
creation, access, and sharing of scientific data in Fortran
libnetcdff-doc (4.5.3+ds-2)
NetCDF Fortran documentation
libnetcdff7 (4.5.3+ds-2)
Fortran interface for scientific data access to large binary data
libnetcf-dev (1:0.2.8-1.1)
development library and headers for netcf
libnetcf1 (1:0.2.8-1.1)
cross-platform network configuration library (runtime library)
libnetcf1-dbg (1:0.2.8-1.1)
cross-platform network configuration library (debug symbols)
libnetclasses-dev (1.06.dfsg-7+b3)
Objective-C framework for socket programming with GNUstep (devel)
libnetclasses0 (1.06.dfsg-7+b3)
Objective-C framework for socket programming with GNUstep (libs)
libnetclient-ocaml-dev
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libnetconf2-1 (1.1.16-1.1)
NETCONF protocol library [C library]
libnetconf2-dev (1.1.16-1.1)
NETCONF protocol library [C development]
libnetconf2-doc (1.1.16-1.1)
NETCONF protocol library [docs]
libnetdot-client-rest-perl (1.03-2.1)
rESTful API for Netdot
libnetfilter-acct-dev (1.0.3-3)
Development files for libnetfilter-acct1
libnetfilter-acct1 (1.0.3-3)
Netfilter acct library
libnetfilter-conntrack-dev (1.0.8-3)
Development files for libnetfilter-conntrack3
libnetfilter-conntrack-doc (1.0.8-3)
Documentation files for libnetfilter-conntrack3
libnetfilter-conntrack3 (1.0.8-3)
Netfilter netlink-conntrack library
libnetfilter-cthelper-dev (1.0.0-3)
Development files for libnetfilter-cthelper0
libnetfilter-cthelper-doc (1.0.0-3)
Documentation files for libnetfilter-cthelper0
libnetfilter-cthelper0 (1.0.0-3)
userspace-helper for netfilter library
libnetfilter-cttimeout-dev (1.0.0-4)
fine-grain connection tracking timeout infrastructure for netfilter
libnetfilter-cttimeout-doc (1.0.0-4)
Documentation files for libnetfilter-cttimeout1
libnetfilter-cttimeout1 (1.0.0-4)
fine-grain connection tracking timeout infrastructure for netfilter
libnetfilter-log-dev (1.0.1-3)
Development files for libnetfilter-log1
libnetfilter-log-doc (1.0.1-3)
Documentation files for libnetfilter-log1
libnetfilter-log1 (1.0.1-3)
Netfilter netlink-log library
libnetfilter-queue-dev (1.0.5-2)
Development files for libnetfilter-queue1
libnetfilter-queue-doc (1.0.5-2)
Documentation files for libnetfilter-queue1
libnetfilter-queue1 (1.0.5-2)
Netfilter netlink-queue library
libnethttpd-ocaml-dev (4.1.8-2)
OCaml application-level Internet libraries - HTTP daemon development libraries
libnethttpd-ocaml-dev-2vbg4
本虛擬套件由這些套件填實: libnethttpd-ocaml-dev
libnethttpd-ocaml-dev-9ca43
本虛擬套件由這些套件填實: libnethttpd-ocaml-dev
libnethttpd-ocaml-dev-g20e8
本虛擬套件由這些套件填實: libnethttpd-ocaml-dev
libnethttpd-ocaml-dev-vr5a8
本虛擬套件由這些套件填實: libnethttpd-ocaml-dev
libnetlib-java (0.9.3-7)
collection of mission-critical software components for linear algebra systems
libnetpacket-perl (1.7.2-1)
modules to manipulate network packets at the protocol level
libnetpbm10 (2:10.0-15.4)
Graphics conversion tools shared libraries
libnetpbm10-dev (2:10.0-15.4)
Graphics conversion tools development libraries and header files
libnetpbm9 (2:10.0-15.4)
Shared libraries for netpbm (v9)
libnetpbm9-dev (2:10.0-15.4)
Development libraries and header files (v9)
libnetplan-dev (0.101-4)
Development files for netplan's libnetplan runtime library
libnetplan0 (0.101-4)
YAML network configuration abstraction runtime library
libnetsds-kannel-perl (1.300-6.1)
Service Delivery Suite framework - Kannel SMS gateway API
libnetsds-perl (1.301-3.1)
Service Delivery Suite framework
libnetsds-util-perl (1.045-1)
Service Delivery Suite framework - supplementary packages
libnetsnmptrapd40 (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) trap library
libnetsvcs-6.5.12 (6.5.12+dfsg-3)
ACE network service implementations - libraries
libnetsvcs-dev (6.5.12+dfsg-3)
ACE network service implementations - development files
libnettle8 (3.7.3-1)
low level cryptographic library (symmetric and one-way cryptos)
libnetty-java (1:4.1.48-4+deb11u2)
Java NIO client/server socket framework
libnetty-reactive-streams-java (2.0.5-1)
Netty Reactive Streams
libnetty-tcnative-java (2.0.28-1)
Tomcat native fork for Netty
libnetty-tcnative-jni (2.0.28-1)
Tomcat native fork for Netty (JNI library)
libnetwork-ipv4addr-perl (0.10.ds-3.1)
Perl extension for manipulating IPv4 addresses
libnetx-java (0.5-4)
An open-source JNLP client
libnewlib-arm-none-eabi (3.3.0-1)
C library and math library compiled for bare metal using Cortex A/R/M
libnewlib-dev (3.3.0-1)
C library and math library intended for use on embedded systems
libnewlib-doc (3.3.0-1)
C library and math library intended for use on embedded systems (doc)
libnewmat
本虛擬套件由這些套件填實: libnewmat10ldbl
libnewmat-dev
本虛擬套件由這些套件填實: libnewmat10-dev
libnewmat10-dev (1.10.4-8)
matrix manipulations library (C++ headers files)
libnewmat10ldbl (1.10.4-8)
matrix manipulations library (C++)
libnews-article-nocem-perl (0.09-1.1)
module to generate accurate NoCeM notices
libnews-article-perl (1.27-11)
Perl modules for manipulating Usenet articles
libnews-newsrc-perl (1.11-1.1)
Perl module to manage .newsrc files
libnews-nntpclient-perl (0.37-9.1)
Perl module to access NNTP servers
libnews-scan-perl (0.53-4.1)
Perl module to report Usenet newsgroup stats
libnewt-dev (0.52.21-4+b3)
Developer's toolkit for newt windowing library
libnewt-pic (0.52.21-4+b3)
Not Erik's Windowing Toolkit, shared library subset kit
libnewt0.52 (0.52.21-4+b3)
不是 Erik 的視窗工具組 - 使用 S-Lang 的文字模式視窗處理
libnewt0.52-udeb (0.52.21-4+b3)
Not Erik's Windowing Toolkit for Debian Installer
libnewtonsoft-json-cil-dev (6.0.8+dfsg-1.1)
high-performance JSON framework for .NET -- development files
libnewtonsoft-json5.0-cil (6.0.8+dfsg-1.1)
high-performance JSON framework for .NET
libnewuoa-dev (0.1.1-2)
Development files for libnewuoa
libnewuoa0 (0.1.1-2)
Library file for libnewuoa
libnexstar-dev (0.15-1+b1 [amd64], 0.15-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Celestron/SkyWatcher telescope control library development files
libnexstar0 (0.15-1+b1 [amd64], 0.15-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Celestron/SkyWatcher telescope control shared library
libnextcloudsync-dev (3.1.1-2+deb11u1)
Nextcloud folder synchronization - development files
libnextcloudsync0 (3.1.1-2+deb11u1)
Nextcloud folder synchronization - libraries
libnexus-dev (4.4.3-5)
NeXus scientific data file format - development libraries
libnexus-java (4.4.3-5)
NeXus scientific data file format - java libraries
libnexus-jni (4.4.3-5)
NeXus scientific data file format - JNI library
libnexus1 (4.4.3-5)
NeXus scientific data file format - runtime libraries
libnf2ff0 (0.0.35+git20190103.6a75e98+dfsg.1-3)
Near-field to far-field transform library
libnfc-bin (1.8.0-2)
Near Field Communication (NFC) binaries
libnfc-dev (1.8.0-2)
Near Field Communication (NFC) library (development files)
libnfc-examples (1.8.0-2)
Near Field Communication (NFC) examples
libnfc-pn53x-examples (1.8.0-2)
Near Field Communication (NFC) examples for PN53x chips only
libnfc6 (1.8.0-2)
Near Field Communication (NFC) library
libnfft3-2 (3.3.2-2)
library for computing non-uniform Fourier transforms
libnfft3-dev (3.3.2-2)
development files for the NFFT library
libnfft3-doc (3.3.2-2)
documentation for the NFFT library
libnfft3-double2 (3.3.2-2)
library for computing non-uniform Fourier transforms (double precision)
libnfft3-long2 (3.3.2-2)
library for computing non-uniform Fourier transforms (long-double precision)
libnfft3-single2 (3.3.2-2)
library for computing non-uniform Fourier transforms (single precision)
libnfnetlink-dev (1.0.1-3+b1)
Development files for libnfnetlink0
libnfnetlink0 (1.0.1-3+b1)
Netfilter netlink library
libnfnetlink0-dbg (1.0.1-3+b1)
Debugging symbols for libnfnetlink0
libnfo-dev (1.0.1-3)
NFO file parser/writer library -- development files
libnfo-doc (1.0.1-3)
developer documentation for libnfo
libnfo1 (1.0.1-3)
NFO file parser/writer library
libnfo1-bin (1.0.1-3)
utility to read NFO files which uses libnfo
libnfs-dev (4.0.0-1)
NFS client library (development files)
libnfs-utils (4.0.0-1)
NFS client library (binaries)
libnfs13 (4.0.0-1)
NFS client library (shared library)
libnfsidmap-dev (0.25-6)
header files and docs for libnfsidmap
libnfsidmap-regex (1.2-1)
Plugin to map regex NFSv4 names to and from ids.
libnfsidmap2 (0.25-6)
NFS idmapping library
libnftables-dev (0.9.8-3.1+deb11u2)
Development files for libnftables
libnftables1 (0.9.8-3.1+deb11u2)
Netfilter nftables high level userspace API library
libnftnl-dev (1.1.9-1)
Development files for libnftnl
libnftnl-dev-doc (1.1.9-1)
Doxygen-generated documentation for libnftnl-dev
libnftnl11 (1.1.9-1)
Netfilter nftables userspace API library
libnghttp2-14 (1.43.0-1+deb11u1)
library implementing HTTP/2 protocol (shared library)
libnghttp2-dev (1.43.0-1+deb11u1)
library implementing HTTP/2 protocol (development files)
libnghttp2-doc (1.43.0-1+deb11u1)
library implementing HTTP/2 protocol (documentation)
libnginx-mod-http-auth-pam (1.18.0-6.1+deb11u3)
PAM authentication module for Nginx
libnginx-mod-http-cache-purge (1.18.0-6.1+deb11u3)
Purge content from Nginx caches
libnginx-mod-http-dav-ext (1.18.0-6.1+deb11u3)
WebDAV missing commands support for Nginx
libnginx-mod-http-echo (1.18.0-6.1+deb11u3)
Bring echo and more shell style goodies to Nginx
libnginx-mod-http-fancyindex (1.18.0-6.1+deb11u3)
Fancy indexes module for the Nginx
libnginx-mod-http-geoip (1.18.0-6.1+deb11u3)
GeoIP HTTP module for Nginx
libnginx-mod-http-geoip2 (1.18.0-6.1+deb11u3)
GeoIP2 HTTP module for Nginx
libnginx-mod-http-headers-more-filter (1.18.0-6.1+deb11u3)
Set and clear input and output headers for Nginx
libnginx-mod-http-image-filter (1.18.0-6.1+deb11u3)
HTTP image filter module for Nginx
libnginx-mod-http-lua (1.18.0-6.1+deb11u3)
Lua module for Nginx
libnginx-mod-http-ndk (1.18.0-6.1+deb11u3)
Nginx Development Kit module
libnginx-mod-http-perl (1.18.0-6.1+deb11u3)
Perl module for Nginx
libnginx-mod-http-subs-filter (1.18.0-6.1+deb11u3)
Substitution filter module for Nginx
libnginx-mod-http-uploadprogress (1.18.0-6.1+deb11u3)
Upload progress system for Nginx
libnginx-mod-http-upstream-fair (1.18.0-6.1+deb11u3)
Nginx Upstream Fair Proxy Load Balancer
libnginx-mod-http-xslt-filter (1.18.0-6.1+deb11u3)
XSLT Transformation module for Nginx
libnginx-mod-mail (1.18.0-6.1+deb11u3)
Mail module for Nginx
libnginx-mod-nchan (1.18.0-6.1+deb11u3)
Fast, flexible pub/sub server for Nginx
libnginx-mod-rtmp (1.18.0-6.1+deb11u3)
RTMP support for Nginx
libnginx-mod-stream (1.18.0-6.1+deb11u3)
Stream module for Nginx
libnginx-mod-stream-geoip (1.18.0-6.1+deb11u3)
GeoIP Stream module for Nginx
libnginx-mod-stream-geoip2 (1.18.0-6.1+deb11u3)
GeoIP2 Stream module for Nginx
libnglib-6.2 (6.2.2006+really6.2.1905+dfsg-2.1)
Automatic 3d tetrahedral mesh generator shared library
libnglib-dev (6.2.2006+really6.2.1905+dfsg-2.1)
Automatic 3d tetrahedral mesh generator development files
libngraph0 (6.09.01-1)
library to create scientific 2-dimensional graphs
libngraph0-dev (6.09.01-1)
Header files for compiling plugins for Ngraph-gtk
libngs-java (2.10.9-1)
Next Generation Sequencing language Bindings (Java bindings)
libngs-sdk-dev (2.10.9-1)
Next Generation Sequencing language Bindings (development)
libngs-sdk2 (2.10.9-1)
Next Generation Sequencing language Bindings
libngspice0 (34+ds-1)
Spice circuit simulator - library
libngspice0-dev (34+ds-1)
Spice circuit simulator - development files for libngspice
libnhgri-blastall-perl (0.66-4)
Perl extension for running and parsing NCBI's BLAST 2.x
libnice-dev (0.1.16-1)
ICE library (development files)
libnice-doc (0.1.16-1)
ICE library (documentation)
libnice10 (0.1.16-1)
ICE library (shared library)
libnids-dev (1.25-1)
IP defragmentation TCP segment reassembly library (development)
libnids1.21 (1.25-1)
IP defragmentation TCP segment reassembly library
libnifti-dev (3.0.1-8)
IO libraries for the NIfTI-1 data format (obsolete)
libnifti-dev (= 3.0.1-8)
本虛擬套件由這些套件填實: libnifti2-dev
libnifti-doc (3.0.1-8)
NIfTI library API documentation
libnifti2-2 (3.0.1-8)
IO libraries for the NIfTI-1 data format
libnifti2-dev (3.0.1-8)
IO libraries for the NIfTI-1 data format (development)
libnifticdf-dev (3.0.1-8)
NIfTI functions to compute cumulative distributions (development)
libnifticdf2 (3.0.1-8)
NIfTI functions to compute cumulative distributions
libniftiio-dev (3.0.1-8)
development low-level IO libraries for the NIfTI-1 data format (niftiio)
libniftiio2 (3.0.1-8)
low-level IO libraries for the NIfTI-1 data format (niftiio)
libnih-dbus-dev (1.0.3-11)
NIH D-Bus Bindings Library (development files)
libnih-dbus1 (1.0.3-11)
NIH D-Bus Bindings Library
libnih-dev (1.0.3-11)
NIH Utility Library (development files)
libnih1 (1.0.3-11)
NIH Utility Library
libnini-cil-dev (1.1.0+dfsg.2-5.1)
CLI library for managing configuration files
libnini-doc (1.1.0+dfsg.2-5.1)
CLI library for managing configuration files (Documentation)
libnini1.1-cil (1.1.0+dfsg.2-5.1)
CLI library for managing configuration files
libnist-sip-aosp-java (9.0.0+r35-1.1)
Parser and Stack API
libnitrokey-common (3.5-3.3)
architecture independent files for libnitrokey
libnitrokey-dev (3.5-3.3)
library to communicate with Nitrokey stick devices (development)
libnitrokey3 (3.5-3.3)
library to communicate with Nitrokey stick devices
libnjb-dev (2.2.7~dfsg0-4.1)
Creative Labs Nomad Jukebox library development files
libnjb-doc (2.2.7~dfsg0-4.1)
Creative Labs Nomad Jukebox library documentation
libnjb-tools (2.2.7~dfsg0-4.1)
Creative Labs Nomad Jukebox library tools
libnjb5 (2.2.7~dfsg0-4.1)
Creative Labs Nomad Jukebox library
libnkf-perl (1:2.1.5-1+b3 [amd64], 1:2.1.5-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Network Kanji code conversion Filter for Perl
libnl-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets
libnl-3-200-dbg (3.4.0-1+b1)
debug symbols for libnl3
libnl-3-200-udeb (3.4.0-1+b1)
library for dealing with netlink sockets
libnl-3-dev (3.4.0-1+b1)
development library and headers for libnl-3
libnl-cli-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets - cli helpers
libnl-cli-3-dev (3.4.0-1+b1)
development library and headers for libnl-cli-3
libnl-genl-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets - generic netlink
libnl-genl-3-200-udeb (3.4.0-1+b1)
library for dealing with netlink sockets - generic netlink
libnl-genl-3-dev (3.4.0-1+b1)
development library and headers for libnl-genl-3
libnl-idiag-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets - inetdiag interface
libnl-idiag-3-dev (3.4.0-1+b1)
development library and headers for libnl-genl-3
libnl-nf-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets - netfilter interface
libnl-nf-3-dev (3.4.0-1+b1)
development library and headers for libnl-nf-3
libnl-route-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets - route interface
libnl-route-3-dev (3.4.0-1+b1)
development library and headers for libnl-route-3
libnl-utils (3.4.0-1+b1)
Utilities for dealing with netlink sockets
libnl-xfrm-3-200 (3.4.0-1+b1)
library for dealing with netlink sockets - package transformations
libnl-xfrm-3-dev (3.4.0-1+b1)
development library and headers for libnl-xfrm-3
libnlopt-cxx-dev (2.7.0-4+b2)
nonlinear optimization library -- development package for C++
libnlopt-cxx0 (2.7.0-4+b2)
nonlinear optimization library (C++ interface)
libnlopt-dev (2.7.0-4+b2)
nonlinear optimization library -- development package
libnlopt-guile0 (2.7.0-4+b2)
nonlinear optimization library -- Guile bindings
libnlopt0 (2.7.0-4+b2)
nonlinear optimization library
libnm-dev (1.30.6-1+deb11u1)
GObject-based client library for NetworkManager (development files)
libnm0 (1.30.6-1+deb11u1)
GObject-based client library for NetworkManager
libnma-common (1.8.30-1)
NetworkManager GUI library - translations
libnma-dev (1.8.30-1)
NetworkManager GUI library - development files
libnma0 (1.8.30-1)
NetworkManager GUI library
libnmap-parser-perl (1.37-1)
module to parse nmap scan results with perl
libnmz7 (2.0.21-23)
full text search engine - shared library
libnmz7-dev (2.0.21-23)
full text search engine - header files and static libraries
libnng-dev (1.4.0-1)
Lightweight Messaging Library - development
libnng1 (1.4.0-1)
Lightweight Messaging Library - shared library
libnode-dev (12.22.12~dfsg-1~deb11u4)
evented I/O for V8 javascript (development files)
libnode72 (12.22.12~dfsg-1~deb11u4)
evented I/O for V8 javascript - runtime library
libnodelet-dev (1.10.0-3)
Robot OS nodelet library - service header files
libnodelet-topic-tools-dev (1.10.0-3)
Robot OS nodelet_topic_tools library - development files
libnodeletlib-dev (1.10.0-3)
Robot OS nodelet library - development
libnodeletlib-tools (1.10.0-3)
Robot OS nodelet library - tools
libnodeletlib1d (1.10.0-3)
Robot OS nodelet library
libnoggit-java (0.8-1)
Fast streaming JSON parser for Java
libnoise-dev (1.0.0+repack-1+b1)
Portable, coherent noise-generating library for C++
libnoise0 (1.0.0+repack-1+b1)
Portable, coherent noise-generating library for C++
libnop-dev (0.0~git20200728.45dfe0f-3)
C++ Native Object Protocols
libnordlicht-dev (0.4.5-2)
create colorful video barcodes -- development files
libnordlicht0 (0.4.5-2)
create colorful video barcodes -- shared library
libnorm-dev (1.5.9+dfsg-2)
NACK-Oriented Reliable Multicast (NORM) library (development files)
libnorm-doc (1.5.9+dfsg-2)
NACK-Oriented Reliable Multicast (NORM) library (documentation)
libnorm1 (1.5.9+dfsg-2)
NACK-Oriented Reliable Multicast (NORM) library
libnormaliz
本虛擬套件由這些套件填實: libnormaliz3
libnormaliz-dev (3.8.9+ds-0.1)
math computing tools for affine monoids, rational polytopes and cones - libdev
libnormaliz-dev-common (3.8.9+ds-0.1)
math computing tools for affine monoids, rational polytopes and cones - headers
libnormaliz3 (3.8.9+ds-0.1)
math computing tools for affine monoids, rational polytopes and cones - libs
libnotcurses++-dev (2.2.3+dfsg.1-1)
Character graphics and TUI library (C++ development)
libnotcurses++2 (2.2.3+dfsg.1-1)
Character graphics and TUI library (C++)
libnotcurses-core-dev (2.2.3+dfsg.1-1)
Character graphics and TUI library (development)
libnotcurses-core2 (2.2.3+dfsg.1-1)
Character graphics and TUI library
libnotcurses-dev (2.2.3+dfsg.1-1)
Character graphics and TUI library (development)
libnotcurses2 (2.2.3+dfsg.1-1)
Character graphics and TUI library
libnotificationmanager1 (4:5.20.5-6)
Plasma Workspace for KF5 library
libnotify-bin (0.7.9-3)
sends desktop notifications to a notification daemon (Utilities)
libnotify-cil-dev (0.4.0~r3032-7.1)
CLI library for desktop notifications
libnotify-dev (0.7.9-3)
sends desktop notifications to a notification daemon (Development files)
libnotify-doc (0.7.9-3)
sends desktop notifications to a notification daemon (Documentation)
libnotify0.4-cil (0.4.0~r3032-7.1)
CLI library for desktop notifications
libnotify3.0-cil (3.0.3-3)
CLI library for desktop notifications
libnotify3.0-cil-dev (3.0.3-3)
CLI library for desktop notifications
libnotify4 (0.7.9-3)
sends desktop notifications to a notification daemon
libnotmuch-dev (0.31.4-2)
thread-based email index, search and tagging (development)
libnotmuch5 (0.31.4-2)
thread-based email index, search and tagging (runtime)
libnova-0.16-0 (0.16-5)
celestial mechanics, astrometry and astrodynamics library
libnova-dev (0.16-5)
development files for libnova astronomical library
libnova-dev-bin (0.16-5)
development config tool for libnova astronomical library
libnozzle-dev (1.20-4)
userland wrapper around kernel tap devices (developer files)
libnozzle1 (1.20-4)
userland wrapper around kernel tap devices
libnppc11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives core runtime library
libnppial11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Arithmetic and Logic
libnppicc11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Color Conversion
libnppidei11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Data Exchange and Initialization
libnppif11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Filters
libnppig11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Geometry transforms
libnppim11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Morphological operations
libnppist11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Statistics
libnppisu11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Support
libnppitc11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives lib for Image Threshold and Compare
libnpps11 (11.3.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Performance Primitives for signal processing runtime library
libnproc-ocaml-dev (0.5.1-3+b5 [i386], 0.5.1-3+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
process pool implementation for OCaml
libnproc-ocaml-dev-7scy7
本虛擬套件由這些套件填實: libnproc-ocaml-dev
libnproc-ocaml-dev-akn86
本虛擬套件由這些套件填實: libnproc-ocaml-dev
libnproc-ocaml-dev-lvj22
本虛擬套件由這些套件填實: libnproc-ocaml-dev
libnproc-ocaml-dev-wprd6
本虛擬套件由這些套件填實: libnproc-ocaml-dev
libnpth-dev
本虛擬套件由這些套件填實: libnpth0-dev
libnpth-mingw-w64-dev (1.6-3)
replacement for GNU Pth using system threads (Windows dev)
libnpth0 (1.6-3)
replacement for GNU Pth using system threads
libnpth0-dev (1.6-3)
headers for libnpth0
libnrepl-clojure (0.6.0-2)
Clojure network REPL
libns3-3v5 (3.31+dfsg-3)
shared libraries of ns-3
libns3-dev (3.31+dfsg-3)
Static and header files of ns-3
libnsl-dev (1.3.0-2)
libnsl development files
libnsl2 (1.3.0-2)
Public client interface for NIS(YP) and NIS+
libnspr4 (2:4.29-1)
NetScape Portable Runtime Library
libnspr4-dev (2:4.29-1)
Development files for the NetScape Portable Runtime library
libnss-cache (0.18-1)
NSS module for using nsscache-generated files
libnss-db (2.2.3pre1-6+b10)
使用 Berkeley Databases 提供 naming service 的 NSS 模組
libnss-dns-udeb
本虛擬套件由這些套件填實: libc6-udeb
libnss-docker (0.02-1+b1)
nss module for finding Docker containers
libnss-extrausers (0.6-4+b1 [amd64], 0.6-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
nss module to have an additional passwd, shadow and group file
libnss-files-udeb
本虛擬套件由這些套件填實: libc6-udeb
libnss-gw-name (0.3-2+b2)
nss module that names the current gateway’s IP address
libnss-ldap (265-6)
NSS module for using LDAP as a naming service
libnss-ldap
本虛擬套件由這些套件填實: libnss-ldapd
libnss-ldapd (0.9.11-1)
NSS module for using LDAP as a naming service
libnss-libvirt (7.0.0-3+deb11u2)
nss plugins providing IP address resolution for virtual machines
libnss-mdns (0.14.1-2)
NSS module for Multicast DNS name resolution
libnss-myhostname (247.3-7+deb11u4)
nss module providing fallback resolution for the current hostname
libnss-mymachines (247.3-7+deb11u4)
nss module to resolve hostnames for local container instances
libnss-nis (3.1-4)
NSS module for using NIS as a naming service
libnss-nisplus (1.3-4)
NSS module for using NIS+ as a naming service
libnss-pgsql1
本虛擬套件由這些套件填實: libnss-pgsql2
libnss-pgsql2 (1.4.0debian-8+b2)
NSS module for using PostgreSQL as a naming service
libnss-resolve (247.3-7+deb11u4)
nss module to resolve names via systemd-resolved
libnss-sss (2.4.1-2)
Nss library for the System Security Services Daemon
libnss-systemd (247.3-7+deb11u4)
nss module providing dynamic user and group name resolution
libnss-tls (1.1-1)
NSS module for encrypted DNS name resolution
libnss-unknown (0.0.2-2+b1)
nss module to handle unknown uids
libnss-winbind (2:4.13.13+dfsg-1~deb11u6) [security]
Samba nameservice integration plugins
libnss-wrapper (1.1.11-1)
NSS wrapper library
libnss3 (2:3.61-1+deb11u3)
Network Security Service libraries
libnss3-dev (2:3.61-1+deb11u3)
Development files for the Network Security Service libraries
libnss3-tools (2:3.61-1+deb11u3)
Network Security Service tools
libnsspem
本虛擬套件由這些套件填實: nss-plugin-pem
libnsync-cpp1 (1.24.0-1)
C library that exports various synchronization primitives (C++ lib)
libnsync-dev (1.24.0-1)
C library that exports various synchronization primitives (dev)
libnsync1 (1.24.0-1)
C library that exports various synchronization primitives (C lib)
libntfs-3g883 (1:2017.3.23AR.3-4+deb11u3)
read/write NTFS driver for FUSE (runtime library)
libntirpc-dev (3.4-2)
new transport-independent RPC library - development files
libntirpc3.4 (3.4-2)
new transport-independent RPC library
libntl-dev (11.4.3-1+b1)
Number Theory Library, development files
libntl43 (11.4.3-1+b1)
Number Theory Library, shared library
libntlm0 (1.6-3)
NTLM authentication library
libntlm0-dev (1.6-3)
Development files for the NTLM authentication library
libntru-0.5 (0.5-2+b1 [amd64], 0.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C implementation of the public-key encryption scheme NTRUEncrypt
libntru-0.5-dev (0.5-2+b1 [amd64], 0.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C implementation of the public-key encryption scheme NTRUEncrypt
libnucleotidelikelihoodcore0 (1.10.4+dfsg-2)
implementation of LikelihoodCore for nucleotides used by beast-mcmc
libnuget-core-cil (2.8.7+md510+dhx1-1.1)
Package manager for NuGet repos - library
libnuget-core-cil-dev (2.8.7+md510+dhx1-1.1)
Package manager for NuGet repos - development
libnum-ocaml (1.4-1)
library for arbitrary-precision and rational arithmetic (runtime)
libnum-ocaml-3st20
本虛擬套件由這些套件填實: libnum-ocaml
libnum-ocaml-80ki3
本虛擬套件由這些套件填實: libnum-ocaml
libnum-ocaml-dev (1.4-1)
library for arbitrary-precision and rational arithmetic (development)
libnum-ocaml-dev-3st20
本虛擬套件由這些套件填實: libnum-ocaml-dev
libnum-ocaml-dev-80ki3
本虛擬套件由這些套件填實: libnum-ocaml-dev
libnum-ocaml-dev-hcwe8
本虛擬套件由這些套件填實: libnum-ocaml-dev
libnum-ocaml-dev-hqq52
本虛擬套件由這些套件填實: libnum-ocaml-dev
libnum-ocaml-hcwe8
本虛擬套件由這些套件填實: libnum-ocaml
libnum-ocaml-hqq52
本虛擬套件由這些套件填實: libnum-ocaml
libnuma-dev (2.0.12-1+b1)
Development files for libnuma
libnuma1 (2.0.12-1+b1)
Libraries for controlling NUMA policy
libnumber-bytes-human-perl (0.11-1)
module to convert byte count to human readable format and back
libnumber-compare-perl (0.03-1.1)
module for performing numeric comparisons in Perl
libnumber-format-perl (1.75-1.1)
Perl module to format numbers for display
libnumber-fraction-perl (2.01-1)
Perl extension to model fractions
libnumber-phone-perl (3.6006-1)
base class for parsing and dealing with phone numbers
libnumber-range-perl (0.12-1.1)
Perl extension to work with ranges of numbers
libnumber-recordlocator-perl (0.005-2)
Perl module that encodes integers into a short "locator string"
libnumber-tolerant-perl (1.708-2)
module defining tolerance ranges for inexact numbers
libnumbertext-1.0-0 (1.0.7-1)
Number to number name and money text conversion library
libnumbertext-data (1.0.7-1)
Number to number name and money text conversion library -- data files
libnumbertext-dev (1.0.7-1)
Number to number name and money text conversion library -- development
libnumbertext-java (1.0.7-1)
Number to number name and money text conversion library for Java
libnumbertext-tools (1.0.7-1)
Number to number name and money text conversion library -- speller utility
libnumericalchameleon-java (3.0.0+ds-1)
Spoken and written numbers
libnunit-cil-dev (2.6.4+dfsg-1.1)
Unit test framework for CLI - Development files
libnunit-console-runner2.6.3-cil (2.6.4+dfsg-1.1)
Unit test framework for CLI - Assemblies
libnunit-core-interfaces2.6.3-cil (2.6.4+dfsg-1.1)
Unit test framework for CLI - Assemblies
libnunit-core2.6.3-cil (2.6.4+dfsg-1.1)
Unit test framework for CLI - Assemblies
libnunit-doc (2.6.4+dfsg-1.1)
Unit test framework for CLI - Documentation
libnunit-framework2.6.3-cil (2.6.4+dfsg-1.1)
Unit test framework for CLI - Assemblies
libnunit-mocks2.6.3-cil (2.6.4+dfsg-1.1)
Unit test framework for CLI - Assemblies
libnunit-util2.6.3-cil (2.6.4+dfsg-1.1)
Unit test framework for CLI - Assemblies
libnusoap-php (0.9.5-3)
SOAP toolkit for PHP
libnuspell-dev (4.2.0-1)
spell checker (development)
libnuspell4 (4.2.0-1)
spell checker (shared library)
libnutclient-dev (2.7.4-13)
network UPS tools - development files for the new client library
libnutclient0 (2.7.4-13)
network UPS tools - new client library
libnutscan-dev (2.7.4-13)
network UPS tools - development files for the scanner library
libnutscan1 (2.7.4-13)
network UPS tools - scanner library
libnvblas11 (11.4.1.1043~11.2.2-3+deb11u3) [non-free]
NVBLAS runtime library
libnvcuvid1 (470.223.02-1) [non-free]
NVIDIA CUDA Video Decoder runtime library
libnvidia-allocator1 (470.223.02-1) [non-free]
NVIDIA allocator runtime library
libnvidia-cbl (470.223.02-1) [non-free]
NVIDIA binary Vulkan ray tracing (cbl) library
libnvidia-cbl-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-cbl
libnvidia-cbl-450.248.02
本虛擬套件由這些套件填實: libnvidia-tesla-450-cbl
libnvidia-cbl-460.106.00
本虛擬套件由這些套件填實: libnvidia-tesla-460-cbl
libnvidia-cbl-470.223.02
本虛擬套件由這些套件填實: libnvidia-tesla-470-cbl, libnvidia-cbl
libnvidia-cfg.so.1 (= 390.157)
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-cfg1
libnvidia-cfg.so.1 (= 418.226.00)
本虛擬套件由這些套件填實: libnvidia-tesla-418-cfg1
libnvidia-cfg.so.1 (= 450.248.02)
本虛擬套件由這些套件填實: libnvidia-tesla-450-cfg1
libnvidia-cfg.so.1 (= 470.223.02)
本虛擬套件由這些套件填實: libnvidia-cfg1, libnvidia-tesla-470-cfg1
libnvidia-cfg1 (470.223.02-1) [non-free]
NVIDIA binary OpenGL/GLX configuration library
libnvidia-cfg1-any
本虛擬套件由這些套件填實: libnvidia-cfg1, libnvidia-legacy-390xx-cfg1, libnvidia-tesla-418-cfg1, libnvidia-tesla-450-cfg1, libnvidia-tesla-470-cfg1
libnvidia-compiler (470.223.02-1) [non-free]
NVIDIA runtime compiler library
libnvidia-compiler-390.157
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-compiler
libnvidia-compiler-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-compiler
libnvidia-compiler-450.248.02
本虛擬套件由這些套件填實: libnvidia-tesla-450-compiler
libnvidia-compiler-460.106.00
本虛擬套件由這些套件填實: libnvidia-tesla-460-compiler
libnvidia-compiler-470.223.02
本虛擬套件由這些套件填實: libnvidia-tesla-470-compiler, libnvidia-compiler
libnvidia-egl-wayland-dev (1:1.1.5-1)
Wayland EGL External Platform library -- development files
libnvidia-egl-wayland1 (1:1.1.5-1)
Wayland EGL External Platform library -- shared library
libnvidia-eglcore (470.223.02-1) [non-free]
NVIDIA binary EGL core libraries
libnvidia-eglcore-390.157
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-eglcore
libnvidia-eglcore-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-eglcore
libnvidia-eglcore-450.248.02
本虛擬套件由這些套件填實: libnvidia-tesla-450-eglcore
libnvidia-eglcore-460.106.00
本虛擬套件由這些套件填實: libnvidia-tesla-460-eglcore
libnvidia-eglcore-470.223.02
本虛擬套件由這些套件填實: libnvidia-tesla-470-eglcore, libnvidia-eglcore
libnvidia-encode1 (470.223.02-1) [non-free]
NVENC Video Encoding runtime library
libnvidia-fatbinaryloader-390.157
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-fatbinaryloader
libnvidia-fatbinaryloader-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-fatbinaryloader
libnvidia-fbc1 (470.223.02-1) [non-free]
NVIDIA OpenGL-based Framebuffer Capture runtime library
libnvidia-glcore (470.223.02-1) [non-free]
NVIDIA binary OpenGL/GLX core libraries
libnvidia-glcore-390.157
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-glcore
libnvidia-glcore-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-glcore
libnvidia-glcore-450.248.02
本虛擬套件由這些套件填實: libnvidia-tesla-450-glcore
libnvidia-glcore-460.106.00
本虛擬套件由這些套件填實: libnvidia-tesla-460-glcore
libnvidia-glcore-470.223.02
本虛擬套件由這些套件填實: libnvidia-glcore, libnvidia-tesla-470-glcore
libnvidia-glvkspirv (470.223.02-1) [non-free]
NVIDIA binary Vulkan Spir-V compiler library
libnvidia-glvkspirv-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-glvkspirv
libnvidia-glvkspirv-450.248.02
本虛擬套件由這些套件填實: libnvidia-tesla-450-glvkspirv
libnvidia-glvkspirv-460.106.00
本虛擬套件由這些套件填實: libnvidia-tesla-460-glvkspirv
libnvidia-glvkspirv-470.223.02
本虛擬套件由這些套件填實: libnvidia-tesla-470-glvkspirv, libnvidia-glvkspirv
libnvidia-ifr1 (470.223.02-1) [non-free]
NVIDIA OpenGL-based Inband Frame Readback runtime library
libnvidia-legacy-390xx-cfg1 (390.157-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX configuration library (390xx legacy version)
libnvidia-legacy-390xx-compiler (390.157-1~deb11u1) [non-free]
NVIDIA runtime compiler library (390xx legacy version)
libnvidia-legacy-390xx-cuda1 (390.157-1~deb11u1) [non-free]
NVIDIA CUDA Driver Library (390xx legacy version)
libnvidia-legacy-390xx-cuda1-i386 (390.157-1~deb11u1) [non-free]
NVIDIA CUDA 32-bit runtime library (390xx legacy version)
libnvidia-legacy-390xx-eglcore (390.157-1~deb11u1) [non-free]
NVIDIA binary EGL core libraries (390xx legacy version)
libnvidia-legacy-390xx-encode1 (390.157-1~deb11u1) [non-free]
NVENC Video Encoding runtime library (390xx legacy version)
libnvidia-legacy-390xx-fatbinaryloader (390.157-1~deb11u1) [non-free]
NVIDIA FAT binary loader (390xx legacy version)
libnvidia-legacy-390xx-fbc1 (390.157-1~deb11u1) [non-free]
NVIDIA OpenGL-based Framebuffer Capture runtime library (390xx legacy version)
libnvidia-legacy-390xx-glcore (390.157-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX core libraries (390xx legacy version)
libnvidia-legacy-390xx-ifr1 (390.157-1~deb11u1) [non-free]
NVIDIA OpenGL-based Inband Frame Readback runtime library (390xx legacy)
libnvidia-legacy-390xx-ml1 (390.157-1~deb11u1) [non-free]
NVIDIA Management Library (NVML) runtime library (390xx legacy version)
libnvidia-legacy-390xx-nvcuvid1 (390.157-1~deb11u1) [non-free]
NVIDIA CUDA Video Decoder runtime library (390xx legacy version)
libnvidia-legacy-390xx-ptxjitcompiler1 (390.157-1~deb11u1) [non-free]
NVIDIA PTX JIT Compiler library (390xx legacy version)
libnvidia-ml-dev (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Management Library (NVML) development files
libnvidia-ml.so.1 (= 390.157)
本虛擬套件由這些套件填實: libnvidia-legacy-390xx-ml1
libnvidia-ml.so.1 (= 418.226.00)
本虛擬套件由這些套件填實: libnvidia-tesla-418-ml1
libnvidia-ml.so.1 (= 450.248.02)
本虛擬套件由這些套件填實: libnvidia-tesla-450-ml1
libnvidia-ml.so.1 (= 470.223.02)
本虛擬套件由這些套件填實: libnvidia-ml1, libnvidia-tesla-470-ml1
libnvidia-ml1 (470.223.02-1) [non-free]
NVIDIA Management Library (NVML) runtime library
libnvidia-ngx1 (470.223.02-1) [non-free]
NVIDIA NGX runtime library
libnvidia-nvvm4 (470.223.02-1) [non-free]
NVIDIA NVVM Compiler library
libnvidia-opticalflow1 (470.223.02-1) [non-free]
NVIDIA Optical Flow runtime library
libnvidia-ptxjitcompiler1 (470.223.02-1) [non-free]
NVIDIA PTX JIT Compiler library
libnvidia-rtcore (470.223.02-1) [non-free]
NVIDIA binary Vulkan ray tracing (rtcore) library
libnvidia-rtcore-418.226.00
本虛擬套件由這些套件填實: libnvidia-tesla-418-rtcore
libnvidia-rtcore-450.248.02
本虛擬套件由這些套件填實: libnvidia-tesla-450-rtcore
libnvidia-rtcore-460.106.00
本虛擬套件由這些套件填實: libnvidia-tesla-460-rtcore
libnvidia-rtcore-470.223.02
本虛擬套件由這些套件填實: libnvidia-tesla-470-rtcore, libnvidia-rtcore
libnvidia-tesla-418-cbl (418.226.00-6~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (cbl) library (Tesla 418 version)
libnvidia-tesla-418-cfg1 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX configuration library (Tesla 418 version)
libnvidia-tesla-418-compiler (418.226.00-6~deb11u1) [non-free]
NVIDIA runtime compiler library (Tesla 418 version)
libnvidia-tesla-418-cuda1 (418.226.00-6~deb11u1) [non-free]
NVIDIA CUDA Driver Library (Tesla 418 version)
libnvidia-tesla-418-eglcore (418.226.00-6~deb11u1) [non-free]
NVIDIA binary EGL core libraries (Tesla 418 version)
libnvidia-tesla-418-encode1 (418.226.00-6~deb11u1) [non-free]
NVENC Video Encoding runtime library (Tesla 418 version)
libnvidia-tesla-418-fatbinaryloader (418.226.00-6~deb11u1) [non-free]
NVIDIA FAT binary loader (Tesla 418 version)
libnvidia-tesla-418-fbc1 (418.226.00-6~deb11u1) [non-free]
NVIDIA OpenGL-based Framebuffer Capture runtime library (Tesla 418 version)
libnvidia-tesla-418-glcore (418.226.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX core libraries (Tesla 418 version)
libnvidia-tesla-418-glvkspirv (418.226.00-6~deb11u1) [non-free]
NVIDIA binary Vulkan Spir-V compiler library (Tesla 418 version)
libnvidia-tesla-418-ifr1 (418.226.00-6~deb11u1) [non-free]
NVIDIA OpenGL-based Inband Frame Readback runtime library (Tesla 418)
libnvidia-tesla-418-ml1 (418.226.00-6~deb11u1) [non-free]
NVIDIA Management Library (NVML) runtime library (Tesla 418 version)
libnvidia-tesla-418-nvcuvid1 (418.226.00-6~deb11u1) [non-free]
NVIDIA CUDA Video Decoder runtime library (Tesla 418 version)
libnvidia-tesla-418-nvoptix1 (418.226.00-6~deb11u1) [non-free]
NVIDIA implementation of the OptiX ray tracing engine (Tesla 418 version)
libnvidia-tesla-418-opticalflow1 (418.226.00-6~deb11u1) [non-free]
NVIDIA Optical Flow runtime library (Tesla 418 version)
libnvidia-tesla-418-ptxjitcompiler1 (418.226.00-6~deb11u1) [non-free]
NVIDIA PTX JIT Compiler library (Tesla 418 version)
libnvidia-tesla-418-rtcore (418.226.00-6~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (rtcore) library (Tesla 418 version)
libnvidia-tesla-450-allocator1 (450.248.02-1~deb11u1) [non-free]
NVIDIA allocator runtime library (Tesla 450 version)
libnvidia-tesla-450-cbl (450.248.02-1~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (cbl) library (Tesla 450 version)
libnvidia-tesla-450-cfg1 (450.248.02-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX configuration library (Tesla 450 version)
libnvidia-tesla-450-compiler (450.248.02-1~deb11u1) [non-free]
NVIDIA runtime compiler library (Tesla 450 version)
libnvidia-tesla-450-cuda1 (450.248.02-1~deb11u1) [non-free]
NVIDIA CUDA Driver Library (Tesla 450 version)
libnvidia-tesla-450-eglcore (450.248.02-1~deb11u1) [non-free]
NVIDIA binary EGL core libraries (Tesla 450 version)
libnvidia-tesla-450-encode1 (450.248.02-1~deb11u1) [non-free]
NVENC Video Encoding runtime library (Tesla 450 version)
libnvidia-tesla-450-fbc1 (450.248.02-1~deb11u1) [non-free]
NVIDIA OpenGL-based Framebuffer Capture runtime library (Tesla 450 version)
libnvidia-tesla-450-glcore (450.248.02-1~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX core libraries (Tesla 450 version)
libnvidia-tesla-450-glvkspirv (450.248.02-1~deb11u1) [non-free]
NVIDIA binary Vulkan Spir-V compiler library (Tesla 450 version)
libnvidia-tesla-450-ifr1 (450.248.02-1~deb11u1) [non-free]
NVIDIA OpenGL-based Inband Frame Readback runtime library (Tesla 450)
libnvidia-tesla-450-ml1 (450.248.02-1~deb11u1) [non-free]
NVIDIA Management Library (NVML) runtime library (Tesla 450 version)
libnvidia-tesla-450-ngx1 (450.248.02-1~deb11u1) [non-free]
NVIDIA NGX runtime library (Tesla 450)
libnvidia-tesla-450-nvcuvid1 (450.248.02-1~deb11u1) [non-free]
NVIDIA CUDA Video Decoder runtime library (Tesla 450 version)
libnvidia-tesla-450-nvoptix1 (450.248.02-1~deb11u1) [non-free]
NVIDIA implementation of the OptiX ray tracing engine (Tesla 450 version)
libnvidia-tesla-450-opticalflow1 (450.248.02-1~deb11u1) [non-free]
NVIDIA Optical Flow runtime library (Tesla 450 version)
libnvidia-tesla-450-ptxjitcompiler1 (450.248.02-1~deb11u1) [non-free]
NVIDIA PTX JIT Compiler library (Tesla 450 version)
libnvidia-tesla-450-rtcore (450.248.02-1~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (rtcore) library (Tesla 450 version)
libnvidia-tesla-460-allocator1 (460.106.00-6~deb11u1) [non-free]
NVIDIA allocator runtime library (Tesla 460 version)
libnvidia-tesla-460-cbl (460.106.00-6~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (cbl) library (Tesla 460 version)
libnvidia-tesla-460-cfg1 (460.106.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX configuration library (Tesla 460 version)
libnvidia-tesla-460-compiler (460.106.00-6~deb11u1) [non-free]
NVIDIA runtime compiler library (Tesla 460 version)
libnvidia-tesla-460-cuda1 (460.106.00-6~deb11u1) [non-free]
NVIDIA CUDA Driver Library (Tesla 460 version)
libnvidia-tesla-460-eglcore (460.106.00-6~deb11u1) [non-free]
NVIDIA binary EGL core libraries (Tesla 460 version)
libnvidia-tesla-460-encode1 (460.106.00-6~deb11u1) [non-free]
NVENC Video Encoding runtime library (Tesla 460 version)
libnvidia-tesla-460-fbc1 (460.106.00-6~deb11u1) [non-free]
NVIDIA OpenGL-based Framebuffer Capture runtime library (Tesla 460 version)
libnvidia-tesla-460-glcore (460.106.00-6~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX core libraries (Tesla 460 version)
libnvidia-tesla-460-glvkspirv (460.106.00-6~deb11u1) [non-free]
NVIDIA binary Vulkan Spir-V compiler library (Tesla 460 version)
libnvidia-tesla-460-ifr1 (460.106.00-6~deb11u1) [non-free]
NVIDIA OpenGL-based Inband Frame Readback runtime library (Tesla 460)
libnvidia-tesla-460-ml1 (460.106.00-6~deb11u1) [non-free]
NVIDIA Management Library (NVML) runtime library (Tesla 460 version)
libnvidia-tesla-460-ngx1 (460.106.00-6~deb11u1) [non-free]
NVIDIA NGX runtime library (Tesla 460)
libnvidia-tesla-460-nvcuvid1 (460.106.00-6~deb11u1) [non-free]
NVIDIA CUDA Video Decoder runtime library (Tesla 460 version)
libnvidia-tesla-460-nvoptix1 (460.106.00-6~deb11u1) [non-free]
NVIDIA implementation of the OptiX ray tracing engine (Tesla 460 version)
libnvidia-tesla-460-opticalflow1 (460.106.00-6~deb11u1) [non-free]
NVIDIA Optical Flow runtime library (Tesla 460 version)
libnvidia-tesla-460-ptxjitcompiler1 (460.106.00-6~deb11u1) [non-free]
NVIDIA PTX JIT Compiler library (Tesla 460 version)
libnvidia-tesla-460-rtcore (460.106.00-6~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (rtcore) library (Tesla 460 version)
libnvidia-tesla-470-allocator1 (470.223.02-2~deb11u1) [non-free]
NVIDIA allocator runtime library (Tesla 470 version)
libnvidia-tesla-470-cbl (470.223.02-2~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (cbl) library (Tesla 470 version)
libnvidia-tesla-470-cfg1 (470.223.02-2~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX configuration library (Tesla 470 version)
libnvidia-tesla-470-compiler (470.223.02-2~deb11u1) [non-free]
NVIDIA runtime compiler library (Tesla 470 version)
libnvidia-tesla-470-cuda1 (470.223.02-2~deb11u1) [non-free]
NVIDIA CUDA Driver Library (Tesla 470 version)
libnvidia-tesla-470-eglcore (470.223.02-2~deb11u1) [non-free]
NVIDIA binary EGL core libraries (Tesla 470 version)
libnvidia-tesla-470-encode1 (470.223.02-2~deb11u1) [non-free]
NVENC Video Encoding runtime library (Tesla 470 version)
libnvidia-tesla-470-fbc1 (470.223.02-2~deb11u1) [non-free]
NVIDIA OpenGL-based Framebuffer Capture runtime library (Tesla 470 version)
libnvidia-tesla-470-glcore (470.223.02-2~deb11u1) [non-free]
NVIDIA binary OpenGL/GLX core libraries (Tesla 470 version)
libnvidia-tesla-470-glvkspirv (470.223.02-2~deb11u1) [non-free]
NVIDIA binary Vulkan Spir-V compiler library (Tesla 470 version)
libnvidia-tesla-470-ifr1 (470.223.02-2~deb11u1) [non-free]
NVIDIA OpenGL-based Inband Frame Readback runtime library (Tesla 470)
libnvidia-tesla-470-ml1 (470.223.02-2~deb11u1) [non-free]
NVIDIA Management Library (NVML) runtime library (Tesla 470 version)
libnvidia-tesla-470-ngx1 (470.223.02-2~deb11u1) [non-free]
NVIDIA NGX runtime library (Tesla 470)
libnvidia-tesla-470-nvcuvid1 (470.223.02-2~deb11u1) [non-free]
NVIDIA CUDA Video Decoder runtime library (Tesla 470 version)
libnvidia-tesla-470-nvoptix1 (470.223.02-2~deb11u1) [non-free]
NVIDIA implementation of the OptiX ray tracing engine (Tesla 470 version)
libnvidia-tesla-470-nvvm4 (470.223.02-2~deb11u1) [non-free]
NVIDIA NVVM Compiler library (Tesla 470 version)
libnvidia-tesla-470-opticalflow1 (470.223.02-2~deb11u1) [non-free]
NVIDIA Optical Flow runtime library (Tesla 470 version)
libnvidia-tesla-470-ptxjitcompiler1 (470.223.02-2~deb11u1) [non-free]
NVIDIA PTX JIT Compiler library (Tesla 470 version)
libnvidia-tesla-470-rtcore (470.223.02-2~deb11u1) [non-free]
NVIDIA binary Vulkan ray tracing (rtcore) library (Tesla 470 version)
libnvjpeg11 (11.4.0.152~11.2.2-3+deb11u3) [non-free]
NVIDIA JPEG library (nvJPEG)
libnvoptix1 (470.223.02-1) [non-free]
NVIDIA implementation of the OptiX ray tracing engine
libnvpair-dev
本虛擬套件由這些套件填實: libzfslinux-dev
libnvpair3linux (2.0.3-9+deb11u1) [contrib]
Solaris name-value library for Linux
libnvperf
本虛擬套件由這些套件填實: libcupti11.2
libnvrtc11.2 (11.2.152~11.2.2-3+deb11u3) [non-free]
CUDA Runtime Compilation (NVIDIA NVRTC Library)
libnvtoolsext1 (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Tools Extension Library
libnvtt-bin (2.0.8-1+dfsg-8.2+b1)
NVIDIA Texture Tools (Binaries)
libnvtt-dev (2.0.8-1+dfsg-8.2+b1)
NVIDIA Texture Tools (Header)
libnvtt2 (2.0.8-1+dfsg-8.2+b1)
NVIDIA Texture Tools
libnvvm4 (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA NVVM Library
libnx-x11-6 (2:3.5.99.26-2)
nxagent's libNX_X11 client-part library
libnx-x11-6-dev
本虛擬套件由這些套件填實: libnx-x11-dev
libnx-x11-dev (2:3.5.99.26-2)
nxagent's libNX_X11 client-part library (development headers)
libnxcl-bin (0.9-3.1+b1)
NX X compression client library---runtime
libnxcl-dev (0.9-3.1+b1)
NX X compression client library---headers
libnxcl1 (0.9-3.1+b1)
NX X compression client library
libnxml-abi-0.18.3
本虛擬套件由這些套件填實: libnxml0
libnxml0 (0.18.3-8)
C library for parsing, writing and creating xml 1.0/1.1 files or streams
libnxml0-dev (0.18.3-8)
static library and C header files for libnxml0
libnxt (0.3-9.1)
utility library for talking to the LEGO Mindstorms NXT brick
libnzb-dev (0.0.20050629-6.2+b2)
An nzb based Usenet binary grabber (development files)
libnzb0v5 (0.0.20050629-6.2+b2)
An nzb based Usenet binary grabber (runtime library)
libo2 (1.0~repack-1+b1)
next generation communication protocol for music systems
libo2-dev (1.0~repack-1+b1)
next generation communication protocol for music systems - development files
libo3dgc-dev (0~20131011-4)
Open 3D Graphics Compression library (development)
liboakleaf-dev (0.0.1-3)
Robust statistical estimates library (development)
liboakleaf-doc (0.0.1-3)
Robust statistical estimates library (documentation)
liboakleaf0 (0.0.1-3)
Robust statistical estimates library (run-time support)
liboar-perl (2.5.9-1)
OAR batch scheduler common library package
liboasis-ocaml (0.4.11-1+b2)
Build-system generation for OCaml projects -- runtime
liboasis-ocaml-dev (0.4.11-1+b2)
Build-system generation for OCaml projects -- development files
liboasis-ocaml-dev-gb6u4
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-dev-in155
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-dev-izne8
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-dev-pdgx0
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-dev-pes88
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-dev-ve6u8
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-dev-w9ky2
本虛擬套件由這些套件填實: liboasis-ocaml-dev
liboasis-ocaml-doc (0.4.11-1)
Architecture for building OCaml libraries and applications
liboasis-ocaml-gb6u4
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis-ocaml-in155
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis-ocaml-izne8
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis-ocaml-pdgx0
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis-ocaml-pes88
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis-ocaml-ve6u8
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis-ocaml-w9ky2
本虛擬套件由這些套件填實: liboasis-ocaml
liboasis3-0d (3.mct+dfsg.121022-15)
Shared libraries for OASIS3 coupler
liboasis3-dev (3.mct+dfsg.121022-15)
Development files for OASIS3 climate model coupler
liboasis3-doc (3.mct+dfsg.121022-15)
Documentation and Examples for the OASIS climate model coupler
liboath-dev (2.6.6-3)
Development files for the OATH Toolkit Liboath library
liboath0 (2.6.6-3)
OATH Toolkit Liboath library
liboauth-dev (1.0.3-5)
C library implementing OAuth Core 1.0a API (development files)
liboauth-signpost-java (1.2.1.2-3)
simple OAuth message signing for Java
liboauth0 (1.0.3-5)
C library implementing OAuth Core 1.0a API (runtime)
liboauth2-0 (1.4.0.1-1)
Generic oauth2 and OIDC library
liboauth2-apache-dev (1.4.0.1-1)
Generic oauth2 and OIDC library (apache2 bindings development)
liboauth2-apache0 (1.4.0.1-1)
Generic oauth2 and OIDC library (apache2 bindings)
liboauth2-dev (1.4.0.1-1)
Generic oauth2 and OIDC library (development)
libobantoo-java (2.1.12+ds1-2)
OBanToo is a Java library with banking tools
libobexftp-dev
本虛擬套件由這些套件填實: libobexftp0-dev
libobexftp-perl (0.24-6+b4)
perl binding to the object exchange file transfer library
libobexftp-ruby
本虛擬套件由這些套件填實: ruby-obexftp
libobexftp0 (0.24-6+b4)
object exchange file transfer library
libobexftp0-dev (0.24-6+b4)
object exchange file transfer library - development files
libobjc-10-dev (10.2.1-6)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-alpha-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-arm64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-armel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-armhf-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-hppa-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-m68k-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mips-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-ppc64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-riscv64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-sh4-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-10-dev-x32-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev (9.3.0-22)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-alpha-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-arm64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-armel-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-armhf-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-hppa-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-m68k-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-powerpc-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-ppc64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-ppc64el-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-riscv64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-s390x-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-sh4-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-sparc64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc-9-dev-x32-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (development files)
libobjc4 (10.2.1-6)
Runtime library for GNU Objective-C applications
libobjc4-alpha-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-arm64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-armel
本虛擬套件由這些套件填實: libobjc4
libobjc4-armel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-armhf
本虛擬套件由這些套件填實: libobjc4
libobjc4-armhf-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-hppa-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-i386-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-m68k-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mips-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mips64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mips64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mips64r6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mips64r6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mipsel-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mipsr6-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-mipsr6el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-powerpc-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-ppc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-ppc64el-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-riscv64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-s390x-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-sh4-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-sparc64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobjc4-x32-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications
libobject-accessor-perl (0.48-2)
interface to create per object accessors
libobject-authority-perl
本虛擬套件由這些套件填實: librole-commons-perl
libobject-container-perl (0.14-1.1)
module that provides a simple object container
libobject-declare-perl (0.25-1)
declarative object constructor
libobject-destroyer-perl (2.01-1)
module to make objects with circular references DESTROY normally
libobject-event-perl (1.230-2)
Perl event callback interface
libobject-forkaware-perl (0.005-1.1)
module to make an object aware of process forks and threads
libobject-id-perl (0.1.2-2.1)
unique identifier for any object
libobject-insideout-perl (4.05-1)
comprehensive inside-out object construction kit
libobject-lazy-perl (0.16-1)
create objects late from non-owned classes
libobject-multitype-perl (0.05-4)
Perl objects as Hash, Array, Scalar, Code and Glob at once
libobject-pad-perl (0.36-1)
module providing a simple syntax for lexical slot-based objects
libobject-pluggable-perl (1.29-2.1)
base class for creating plugin enabled objects
libobject-realize-later-perl (0.21-1)
Perl module providing delayed creation of objects
libobject-remote-perl (0.004001-1)
module to call methods on objects in other processes or on other hosts
libobject-signature-perl (1.08-1)
module perl for generate cryptographic signatures for objects
libobject-tiny-perl (1.09-1)
module for building classes, simply
libobjenesis-java (3.1-1)
Java library to instantiate a new object of a particular class
libobjenesis-java-doc (3.1-1)
Documentation for Objenesis
libobrender32v5 (3.6.1-9+deb11u1)
rendering library for openbox themes
libobs-dev (26.1.2+dfsg1-2)
recorder and streamer for live video content (development files)
libobs0 (26.1.2+dfsg1-2)
recorder and streamer for live video content (shared library)
libobt2v5 (3.6.1-9+deb11u1)
parsing library for openbox
libobus-ocaml (1.2.3-1+b3)
pure OCaml implementation of D-Bus (runtime)
libobus-ocaml-14ba6
本虛擬套件由這些套件填實: libobus-ocaml
libobus-ocaml-8unq3
本虛擬套件由這些套件填實: libobus-ocaml
libobus-ocaml-bin (1.2.3-1+b3)
pure OCaml implementation of D-Bus (command-line tools)
libobus-ocaml-d0567
本虛擬套件由這些套件填實: libobus-ocaml
libobus-ocaml-d3kr5
本虛擬套件由這些套件填實: libobus-ocaml
libobus-ocaml-dev (1.2.3-1+b3)
pure OCaml implementation of D-Bus (development files)
libobus-ocaml-dev-14ba6
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-dev-8unq3
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-dev-d0567
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-dev-d3kr5
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-dev-h1i68
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-dev-qs4p6
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-dev-srrr6
本虛擬套件由這些套件填實: libobus-ocaml-dev
libobus-ocaml-h1i68
本虛擬套件由這些套件填實: libobus-ocaml
libobus-ocaml-qs4p6
本虛擬套件由這些套件填實: libobus-ocaml
libobus-ocaml-srrr6
本虛擬套件由這些套件填實: libobus-ocaml
libocaml-compiler-libs-ocaml-dev (0.12.3-1)
OCaml compiler libraries repackaged
libocaml-compiler-libs-ocaml-dev-fete2
本虛擬套件由這些套件填實: libocaml-compiler-libs-ocaml-dev
libocaml-compiler-libs-ocaml-dev-fq7f0
本虛擬套件由這些套件填實: libocaml-compiler-libs-ocaml-dev
libocaml-compiler-libs-ocaml-dev-vmhc7
本虛擬套件由這些套件填實: libocaml-compiler-libs-ocaml-dev
libocamlgraph-ocaml-dev (1.8.8-1.1+b2)
graph library for OCaml
libocamlgraph-ocaml-dev-bx5z3
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-dev-ed2z1
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-dev-i4c18
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-dev-nomd8
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-dev-t8f17
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-dev-xlvd6
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-dev-xmb64
本虛擬套件由這些套件填實: libocamlgraph-ocaml-dev
libocamlgraph-ocaml-doc (1.8.8-1.1)
documentation for the OCamlgraph library
libocamlgsl-ocaml (1.24.3-1+b1)
GNU scientific library for OCaml
libocamlgsl-ocaml-57ge5
本虛擬套件由這些套件填實: libocamlgsl-ocaml
libocamlgsl-ocaml-dev (1.24.3-1+b1)
GNU scientific library for OCaml
libocamlgsl-ocaml-dev-57ge5
本虛擬套件由這些套件填實: libocamlgsl-ocaml-dev
libocamlgsl-ocaml-dev-vvx84
本虛擬套件由這些套件填實: libocamlgsl-ocaml-dev
libocamlgsl-ocaml-dev-x4i34
本虛擬套件由這些套件填實: libocamlgsl-ocaml-dev
libocamlgsl-ocaml-dev-ym8d3
本虛擬套件由這些套件填實: libocamlgsl-ocaml-dev
libocamlgsl-ocaml-vvx84
本虛擬套件由這些套件填實: libocamlgsl-ocaml
libocamlgsl-ocaml-x4i34
本虛擬套件由這些套件填實: libocamlgsl-ocaml
libocamlgsl-ocaml-ym8d3
本虛擬套件由這些套件填實: libocamlgsl-ocaml
libocamlnet-gtk2-ocaml-dev (4.1.8-2)
OCaml application-level Internet libraries - GTK2 development libraries
libocamlnet-gtk2-ocaml-dev-7qkv0
本虛擬套件由這些套件填實: libocamlnet-gtk2-ocaml-dev
libocamlnet-gtk2-ocaml-dev-7vw06
本虛擬套件由這些套件填實: libocamlnet-gtk2-ocaml-dev
libocamlnet-gtk2-ocaml-dev-a76p8
本虛擬套件由這些套件填實: libocamlnet-gtk2-ocaml-dev
libocamlnet-gtk2-ocaml-dev-qmeh1
本虛擬套件由這些套件填實: libocamlnet-gtk2-ocaml-dev
libocamlnet-ocaml (4.1.8-2)
OCaml application-level Internet libraries - core runtime libraries
libocamlnet-ocaml-2d6s9
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ocaml-46j02
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ocaml-6j412
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ocaml-bin (4.1.8-2)
OCaml application-level Internet libraries - binaries
libocamlnet-ocaml-dev (4.1.8-2)
OCaml application-level Internet libraries - core development libraries
libocamlnet-ocaml-dev-2d6s9
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dev-46j02
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dev-6j412
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dev-dk8z1
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dev-lb9w6
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dev-rgd41
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dev-x1214
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
libocamlnet-ocaml-dk8z1
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ocaml-doc (4.1.8-2)
OCaml application-level Internet libraries - documentation and examples
libocamlnet-ocaml-lb9w6
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ocaml-rgd41
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ocaml-x1214
本虛擬套件由這些套件填實: libocamlnet-ocaml
libocamlnet-ssl-ocaml (4.1.8-2)
OCaml application-level Internet libraries - SSL runtime libraries
libocamlnet-ssl-ocaml-0bsj7
本虛擬套件由這些套件填實: libocamlnet-ssl-ocaml
libocamlnet-ssl-ocaml-65ob1
本虛擬套件由這些套件填實: libocamlnet-ssl-ocaml
libocamlnet-ssl-ocaml-99lz2
本虛擬套件由這些套件填實: libocamlnet-ssl-ocaml
libocamlnet-ssl-ocaml-dev (4.1.8-2)
OCaml application-level Internet libraries - SSL development libraries
libocamlnet-ssl-ocaml-uewt8
本虛擬套件由這些套件填實: libocamlnet-ssl-ocaml
libocamlodbc-ocaml-dev (2.15-7)
UnixODBC database bindings for OCaml
libocamlodbc-ocaml-dev-b71j2
本虛擬套件由這些套件填實: libocamlodbc-ocaml-dev
libocamlodbc-ocaml-dev-cpot0
本虛擬套件由這些套件填實: libocamlodbc-ocaml-dev
libocamlodbc-ocaml-dev-gi9i0
本虛擬套件由這些套件填實: libocamlodbc-ocaml-dev
libocamlodbc-ocaml-dev-jqp82
本虛擬套件由這些套件填實: libocamlodbc-ocaml-dev
libocamlviz-ocaml-dev (1.01-5)
real-time profiling tools for Objective Caml (library)
libocamlviz-ocaml-doc (1.01-5)
real-time profiling tools for Objective Caml (documentation)
libocas-dev (0.97+dfsg-6)
Development libraries and header files for LIBOCAS
libocas-tools (0.97+dfsg-6)
Standalone applications implementing the OCAS solver
libocas0 (0.97+dfsg-6)
OCAS solver for training linear SVM classifiers
libocct-data-exchange-7.5 (7.5.1+dfsg1-2)
Open CASCADE Technology module for CAD data format interoperability
libocct-data-exchange-dev (7.5.1+dfsg1-2)
Open CASCADE Technology module for CAD data format interoperability - dev files
libocct-doc (7.5.1+dfsg1-2)
Open CASCADE Technology CAE platform documentation
libocct-draw-7.5 (7.5.1+dfsg1-2)
Open CASCADE Technology command interpreter & graphical test library
libocct-draw-dev (7.5.1+dfsg1-2)
Open CASCADE Technology interpreter & graphics test library - dev files
libocct-foundation-7.5 (7.5.1+dfsg1-2)
OCCT module underlying all other OCCT classes
libocct-foundation-dev (7.5.1+dfsg1-2)
Open CASCADE Technology module underlying all other OCCT classes - dev files
libocct-modeling-algorithms-7.5 (7.5.1+dfsg1-2)
Open CASCADE Technology geometrical & topological algorithms module
libocct-modeling-algorithms-dev (7.5.1+dfsg1-2)
Open CASCADE Technology geometrical & topological algorithms module - dev files
libocct-modeling-data-7.5 (7.5.1+dfsg1-2)
Open CASCADE Technology 2D/3D geometric primitives data structures
libocct-modeling-data-dev (7.5.1+dfsg1-2)
Open CASCADE Technology 2D/3D geometric primitives data structures - dev files
libocct-ocaf-7.5 (7.5.1+dfsg1-2)
Open CASCADE Technology application-specific data and functionality
libocct-ocaf-dev (7.5.1+dfsg1-2)
Open CASCADE Technology application-specific data and functionality - dev files
libocct-visualization-7.5 (7.5.1+dfsg1-2)
Open CASCADE Technology graphical data visualization module
libocct-visualization-dev (7.5.1+dfsg1-2)
Open CASCADE Technology graphical data visualization module - dev files
liboce-foundation-dev (0.18.3-1)
OpenCASCADE Community Edition CAE platform library development files
liboce-foundation11 (0.18.3-1)
OpenCASCADE Community Edition CAE platform shared library
liboce-modeling-dev (0.18.3-1)
OpenCASCADE Community Edition CAE platform library development files
liboce-modeling11 (0.18.3-1)
OpenCASCADE Community Edition CAE platform shared library
liboce-ocaf-dev (0.18.3-1)
OpenCASCADE Community Edition CAE platform library development files
liboce-ocaf-lite-dev (0.18.3-1)
OpenCASCADE Community Edition CAE platform library development files
liboce-ocaf-lite11 (0.18.3-1)
OpenCASCADE Community Edition CAE platform shared library
liboce-ocaf11 (0.18.3-1)
OpenCASCADE Community Edition CAE platform shared library
liboce-visualization-dev (0.18.3-1)
OpenCASCADE Community Edition CAE platform library development files
liboce-visualization11 (0.18.3-1)
OpenCASCADE Community Edition CAE platform shared library
liboclgrind-19.10 (19.10-4)
core library for Oclgrind
liboclgrind-dev (19.10-4)
development files for Oclgrind
libocp-indent-ocaml (1.8.2-1+b1)
OCaml indentation tool for emacs and vim - libraries
libocp-indent-ocaml-3krn8
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocp-indent-ocaml-8kws3
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocp-indent-ocaml-99xj9
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocp-indent-ocaml-dev (1.8.2-1+b1)
OCaml indentation tool for emacs and vim - development libraries
libocp-indent-ocaml-dev-3krn8
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-dev-8kws3
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-dev-99xj9
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-dev-g8bm0
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-dev-lesh5
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-dev-tyx75
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-dev-zbw37
本虛擬套件由這些套件填實: libocp-indent-ocaml-dev
libocp-indent-ocaml-g8bm0
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocp-indent-ocaml-lesh5
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocp-indent-ocaml-tyx75
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocp-indent-ocaml-zbw37
本虛擬套件由這些套件填實: libocp-indent-ocaml
libocplib-endian-ocaml (1.1-2+b1)
optimised functions to read and write int16/32/64 (runtime)
libocplib-endian-ocaml-3s3b5
本虛擬套件由這些套件填實: libocplib-endian-ocaml
libocplib-endian-ocaml-58oe5
本虛擬套件由這些套件填實: libocplib-endian-ocaml
libocplib-endian-ocaml-dev (1.1-2+b1)
optimised functions to read and write int16/32/64 (development)
libocplib-endian-ocaml-dev-3s3b5
本虛擬套件由這些套件填實: libocplib-endian-ocaml-dev
libocplib-endian-ocaml-dev-58oe5
本虛擬套件由這些套件填實: libocplib-endian-ocaml-dev
libocplib-endian-ocaml-dev-qsyv1
本虛擬套件由這些套件填實: libocplib-endian-ocaml-dev
libocplib-endian-ocaml-dev-wwg80
本虛擬套件由這些套件填實: libocplib-endian-ocaml-dev
libocplib-endian-ocaml-qsyv1
本虛擬套件由這些套件填實: libocplib-endian-ocaml
libocplib-endian-ocaml-wwg80
本虛擬套件由這些套件填實: libocplib-endian-ocaml
libocrad-dev (0.27-2)
optical character recognition library
libocsigenserver-ocaml (2.16.1-1+b3)
web server of the Ocsigen project (runtime libraries)
libocsigenserver-ocaml-5pt02
本虛擬套件由這些套件填實: libocsigenserver-ocaml
libocsigenserver-ocaml-7dh61
本虛擬套件由這些套件填實: libocsigenserver-ocaml
libocsigenserver-ocaml-dev (2.16.1-1+b3)
web server of the Ocsigen project (dev libraries)
libocsigenserver-ocaml-dev-5pt02
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-dev-7dh61
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-dev-do643
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-dev-ehjh3
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-dev-mpwx0
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-dev-rv0u7
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-dev-ztrv2
本虛擬套件由這些套件填實: libocsigenserver-ocaml-dev
libocsigenserver-ocaml-do643
本虛擬套件由這些套件填實: libocsigenserver-ocaml
libocsigenserver-ocaml-ehjh3
本虛擬套件由這些套件填實: libocsigenserver-ocaml
libocsigenserver-ocaml-mpwx0
本虛擬套件由這些套件填實: libocsigenserver-ocaml
libocsigenserver-ocaml-rv0u7
本虛擬套件由這些套件填實: libocsigenserver-ocaml
libocsigenserver-ocaml-ztrv2
本虛擬套件由這些套件填實: libocsigenserver-ocaml
liboctave-dev (6.2.0-1)
development files for the GNU Octave language
liboctave8 (6.2.0-1)
shared libraries of the GNU Octave language
liboctomap-dev (1.9.5+dfsg-1)
Octomap library development files
liboctomap1.9 (1.9.5+dfsg-1)
3D occupancy grid mapping approach library for mapping
liboctovis-dev (1.9.5+dfsg-1)
Visualization library for OctoMap development files
liboctovis1.9 (1.9.5+dfsg-1)
Visualization library for OctoMap
libocxl-dev (1.1.0-1.1)
Development files for accessing OpenCAPI devices
libocxl-doc (1.1.0-1.1)
Documentation files for development with libocxl
libocxl1 (1.1.0-1.1)
Runtime library for accessing OpenCAPI devices
libodb-2.4 (2.4.0-1+b3)
Common ODB Runtime Library
libodb-boost-2.4 (2.4.0-1+b3)
Boost ODB runtime library
libodb-boost-dev (2.4.0-1+b3)
Boost ODB runtime library (development)
libodb-dev (2.4.0-1+b3)
Common ODB Runtime Library (development)
libodb-mysql-2.4 (2.4.0-5)
ODB Runtime Library for MySQL
libodb-mysql-dev (2.4.0-5)
ODB Runtime Library for MySQL (development)
libodb-pgsql-2.4 (2.4.0-1+b3)
ODB Runtime Library for PostgreSQL
libodb-pgsql-dev (2.4.0-1+b3)
ODB Runtime Library for PostgreSQL (development)
libodb-qt-2.4 (2.4.0-3)
Qt ODB runtime library
libodb-qt-dev (2.4.0-3)
Qt ODB runtime library (development)
libodb-sqlite-2.4 (2.4.0-1+b3)
ODB Runtime Library for SQLite
libodb-sqlite-dev (2.4.0-1+b3)
ODB Runtime Library for SQLite (development)
libodbc1 (2.3.6-0.1+b1)
ODBC library for Unix
libodc-0d (1.2.0-1)
Observational Database Access library for ECMWF
libodc-dev (1.2.0-1)
Observational Data processing for meteorology
libode-dev (2:0.16.2-1)
Open Dynamics Engine - development files
libode8 (2:0.16.2-1)
Open Dynamics Engine - runtime library
libode8-dev
本虛擬套件由這些套件填實: libode-dev
libodfgen-0.1-1 (0.1.8-2)
library to generate ODF documents
libodfgen-dev (0.1.8-2)
library to generate ODF documents -- development
libodil-dev (0.12.1-1)
C++11 library for the DICOM standard (development files)
libodil-doc (0.12.1-1)
C++11 library for the DICOM standard (documentation)
libodil0 (0.12.1-1)
C++11 library for the DICOM standard
libodil0-dev
本虛擬套件由這些套件填實: libodil-dev
libodil0-doc
本虛擬套件由這些套件填實: libodil-doc
libodin-dev (2.0.4-3)
static libraries and header for ODIN sequences
libodoc-ocaml (1.5.2+dfsg2-1)
documentation generator for OCaml (runtime libraries)
libodoc-ocaml-5emr2
本虛擬套件由這些套件填實: libodoc-ocaml
libodoc-ocaml-69700
本虛擬套件由這些套件填實: libodoc-ocaml
libodoc-ocaml-75he0
本虛擬套件由這些套件填實: libodoc-ocaml
libodoc-ocaml-apu98
本虛擬套件由這些套件填實: libodoc-ocaml
libodoc-ocaml-dev (1.5.2+dfsg2-1)
documentation generator for OCaml (development libraries)
libodoc-ocaml-dev-5emr2
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-dev-69700
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-dev-75he0
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-dev-apu98
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-dev-m6wz2
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-dev-tbym4
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-dev-yxvz8
本虛擬套件由這些套件填實: libodoc-ocaml-dev
libodoc-ocaml-m6wz2
本虛擬套件由這些套件填實: libodoc-ocaml
libodoc-ocaml-tbym4
本虛擬套件由這些套件填實: libodoc-ocaml
libodoc-ocaml-yxvz8
本虛擬套件由這些套件填實: libodoc-ocaml
libodsstream-dev (0.7.11-1)
C++ library to read or write ODS files (development files)
libodsstream-doc (0.7.11-1)
doxygen documentation of the ODSstream library
libodsstream0 (0.7.11-1)
C++ library to read or write ODS files
libofa0 (0.9.3-21)
library for acoustic fingerprinting
libofa0-dev (0.9.3-21)
library for acoustic fingerprinting (development files)
libofapi-dev (0git20070620-9)
OpenFirmware device-tree parsing library - development files
libofapi-example (0git20070620-9)
OpenFirmware device-tree parsing library - example files
libofapi0 (0git20070620-9)
OpenFirmware device-tree parsing library - runtime
libofficebean-java (1:7.0.4-4+deb11u8)
generic Java Bean wrapper for LibreOffice components
libofx-dev (1:0.9.15-3)
development package for libofx7
libofx-doc (1:0.9.15-3)
documentation for libofx7
libofx7 (1:0.9.15-3)
library to support the Open Financial Exchange format
libogdf-tulip-5.4-0 (5.4.0+dfsg-3)
Open Graph Drawing Framework shared library, built for Tulip
libogdi-dev (4.1.0+ds-5)
Open Geographic Datastore Interface Library -- development
libogdi4.1 (4.1.0+ds-5)
Open Geographic Datastore Interface Library -- library
libogg-dbg (1.3.4-0.1)
debugging symbols for libogg
libogg-dev (1.3.4-0.1)
Ogg bitstream library development files
libogg-ocaml (0.5.2-1+b3 [amd64], 0.5.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the Ogg bitstream library
libogg-ocaml-12fu0
本虛擬套件由這些套件填實: libogg-ocaml
libogg-ocaml-56jn2
本虛擬套件由這些套件填實: libogg-ocaml
libogg-ocaml-cufl3
本虛擬套件由這些套件填實: libogg-ocaml
libogg-ocaml-dev (0.5.2-1+b3 [amd64], 0.5.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the Ogg bitstream library
libogg-ocaml-dev-12fu0
本虛擬套件由這些套件填實: libogg-ocaml-dev
libogg-ocaml-dev-56jn2
本虛擬套件由這些套件填實: libogg-ocaml-dev
libogg-ocaml-dev-cufl3
本虛擬套件由這些套件填實: libogg-ocaml-dev
libogg-ocaml-dev-zkwm4
本虛擬套件由這些套件填實: libogg-ocaml-dev
libogg-ocaml-zkwm4
本虛擬套件由這些套件填實: libogg-ocaml
libogg-vorbis-decoder-perl (0.9-4)
module for decoding Ogg Vorbis streams
libogg-vorbis-header-pureperl-perl (1.05-1)
pure Perl interface to Ogg Vorbis information fields
libogg0 (1.3.4-0.1)
Ogg bitstream library
liboggkate-dev (0.4.1-11)
Codec for karaoke and text encapsulation for Ogg (dev)
liboggkate1 (0.4.1-11)
Codec for karaoke and text encapsulation for Ogg
liboggplay-dev
本虛擬套件由這些套件填實: liboggplay1-dev
liboggplay1 (0.2.1~git20091227-5+b1)
Library for playing OGG multimedia
liboggplay1-dev (0.2.1~git20091227-5+b1)
Library for playing OGG multimedia (development files)
liboggz-dev
本虛擬套件由這些套件填實: liboggz2-dev
liboggz2 (1.1.1-7)
convenience interface for Ogg stream I/O
liboggz2-dev (1.1.1-7)
convenience interface for Ogg stream I/O (development files)
liboglappth-dev (1.0.0-2+b2)
Oglappth Library (development files)
liboglappth2 (1.0.0-2+b2)
Oglappth Library
libogmrip-dev (1.0.1-3.1)
Application for ripping and encoding DVD - development files
libogmrip1 (1.0.1-3.1)
Application for ripping and encoding DVD - libraries files
libognl-java (2.7.3-7)
Java expression language
libognl-java-doc (2.7.3-7)
Java expression language - Documentation
libogre-1.12-dev (1.12.10+dfsg2-1.2)
3D Object-Oriented Graphics Rendering Engine (development files)
libogre-1.9-dev (1.9.0+dfsg1-12.1)
3D Object-Oriented Graphics Rendering Engine (development files)
libogre-1.9.0v5 (1.9.0+dfsg1-12.1)
3D Object-Oriented Graphics Rendering Engine (libraries)
libogre1.12.10 (1.12.10+dfsg2-1.2)
3D Object-Oriented Graphics Rendering Engine (libraries)
libois-1.3.0v5 (1.3.0+dfsg0-6+b11)
Object Oriented Input System library (C++)
libois-dev (1.3.0+dfsg0-6+b11)
Object Oriented Input System library (C++ development headers)
libois-perl (0.10-1+b3)
Perl binding for the OIS C++ input framework
libokhttp-java (3.13.1-2)
HTTP+HTTP/2 client for Android and Java applications
libokio-java (1.16.0-2)
Modern I/O API for Java
libokio-java-doc (1.16.0-2)
Modern I/O API for Java - Documentations
libokteta-l10n (5:0.26.5-2)
translations of okteta/kasten libraries
libokteta3core0 (5:0.26.5-2)
core Okteta library
libokteta3gui0 (5:0.26.5-2)
gui Okteta library
libokular5core9 (4:20.12.3-2)
libraries for the Okular document viewer
libola-dev (0.10.8.nojsmin-2)
Open Lighting Architecture - development libraries
libola1 (0.10.8.nojsmin-2)
Open Lighting Architecture - shared libraries
libolap4j-java (1.2.0-2)
unified Java API to access an OLAP server
libolap4j-java-doc (1.2.0-2)
unified Java API to access an OLAP server - documentation
libole-storage-lite-perl (0.20-1)
simple class for OLE document interface
libolecf-dev (20181231-2)
OLE2 Compound File format access library -- development files
libolecf-utils (20181231-2)
OLE2 Compound File format access library -- Utilities
libolecf1 (20181231-2)
OLE2 Compound File format access library
libolm-dev (3.2.1~dfsg-7)
development files for the olm Double Ratchet implementation
libolm2
本虛擬套件由這些套件填實: libolm3
libolm3 (3.2.1~dfsg-7)
implementation of the Double Ratchet cryptographic ratchet in C++
libomemo-dev (0.7.0-1)
Library implementing OMEMO (XEP-0384) (development headers)
libomemo0 (0.7.0-1)
Library implementing OMEMO (XEP-0384)
libomnievents-dev (1:2.6.2-5.1+deb11u1)
omniORB event service development files
libomnievents2 (1:2.6.2-5.1+deb11u1)
omniORB event service shared library
libomniorb4-2 (4.2.2-1+b1)
omniORB core libraries
libomniorb4-2-dbg (4.2.2-1+b1)
omniORB core libraries debugging symbols
libomniorb4-dev (4.2.2-1+b1)
omniORB core libraries development files
libomnithread4 (4.2.2-1+b1)
C++ threading library
libomnithread4-dbg (4.2.2-1+b1)
C++ threading library debugging symbols
libomnithread4-dev (4.2.2-1+b1)
C++ threading library development files
libomp-11-dev (1:11.0.1-2)
LLVM OpenMP runtime - dev package
libomp-11-doc (1:11.0.1-2)
LLVM OpenMP runtime - Documentation
libomp-13-dev (1:13.0.1-6~deb11u1)
LLVM OpenMP runtime - dev package
libomp-13-doc (1:13.0.1-6~deb11u1)
LLVM OpenMP runtime - Documentation
libomp-16-dev (1:16.0.6-15~deb11u2)
LLVM OpenMP runtime - dev package
libomp-16-doc (1:16.0.6-15~deb11u2)
LLVM OpenMP runtime - Documentation
libomp-9-dev (1:9.0.1-16.1)
LLVM OpenMP runtime - dev package
libomp-9-doc (1:9.0.1-16.1)
LLVM OpenMP runtime - Documentation
libomp-dev (1:11.0-51+nmu5)
LLVM OpenMP runtime - dev package
libomp-x.y
本虛擬套件由這些套件填實: libomp5-11, libomp5-16, libomp5-13, libomp5-9
libomp-x.y-dev
本虛擬套件由這些套件填實: libomp-16-dev, libomp-9-dev, libomp-11-dev, libomp-13-dev
libomp5 (1:11.0-51+nmu5)
LLVM OpenMP runtime
libomp5-11 (1:11.0.1-2)
LLVM OpenMP runtime
libomp5-13 (1:13.0.1-6~deb11u1)
LLVM OpenMP runtime
libomp5-16 (1:16.0.6-15~deb11u2)
LLVM OpenMP runtime
libomp5-9 (1:9.0.1-16.1)
LLVM OpenMP runtime
libompl-dev (1.5.2+ds1-1)
Open Motion Planning Library (OMPL) development files
libompl16 (1.5.2+ds1-1)
Open Motion Planning Library (OMPL)
libomxil-bellagio-bin (0.9.3-6)
implementation of OpenMAX IL, run-time library (utilities)
libomxil-bellagio-dev (0.9.3-6)
implementation of OpenMAX IL, development files
libomxil-bellagio-doc (0.9.3-6)
Documentation of the Bellagio OpenMAX IL
libomxil-bellagio0 (0.9.3-6)
implementation of OpenMAX IL, run-time library
libomxil-bellagio0-components-alsa (0.1-3)
ALSA source/sink components for Bellagio OpenMAX IL
libomxil-bellagio0-components-base (0.9.3-6)
components for Bellagio OpenMAX IL
libomxil-bellagio0-components-camera (0.1-3)
Motorola Camera components for Bellagio OpenMAX IL
libomxil-bellagio0-components-fbdevsink (0.1-3)
Frame Buffer Video Sink components for Bellagio OpenMAX IL
libomxil-bellagio0-components-mad (0.1-2)
MAD MP3 decoder components for Bellagio OpenMAX IL
libomxil-bellagio0-components-videosrc (0.1-2)
V4L2 video source components for Bellagio OpenMAX IL
libomxil-bellagio0-components-vorbis (0.1-4)
vorbis decoder components for Bellagio OpenMAX IL
libomxil-bellagio0-components-xvideo (0.1-4)
XVideo components for Bellagio OpenMAX IL
libomxil-dev
本虛擬套件由這些套件填實: libomxil-bellagio-dev
libonemind-commons-invoke-java (1.1.0+cvs20090227-5)
Java invocation framework library
libonemind-commons-java-java (1.5.5-7)
common java library used to support other developments
libonig-dev (6.9.6-1.1)
regular expressions library — development files
libonig5 (6.9.6-1.1)
regular expressions library
libonnx-dev (1.7.0+dfsg-3)
Open Neural Network Exchange (ONNX) (dev)
libonnx-testdata (1.7.0+dfsg-3)
Open Neural Network Exchange (ONNX) (test data)
libonnx1 (1.7.0+dfsg-3)
Open Neural Network Exchange (ONNX) (libs)
libonnxifi (1.7.0+dfsg-3)
Open Neural Network Exchange (ONNX) (ONNXIFI)
liboobs-1-5 (3.0.0-4+b2)
GObject based interface to system-tools-backends - shared library
liboobs-1-5-dbg (3.0.0-4+b2)
GObject based interface to system-tools-backends - debug symbols
liboobs-1-dev (3.0.0-4+b2)
GObject based interface to system-tools-backends - dev files
liboop
本虛擬套件由這些套件填實: liboop4
liboop-dev (1.0.1-2.1)
Event loop management library - development files
liboop-doc (1.0.1-2.1)
Event loop management library - documentation
liboop4 (1.0.1-2.1)
Event loop management library
libopam-file-format-ocaml-dev (2.1.2-2)
Parser and printer for the opam file syntax
libopam-file-format-ocaml-dev-0x2d9
本虛擬套件由這些套件填實: libopam-file-format-ocaml-dev
libopam-file-format-ocaml-dev-26v72
本虛擬套件由這些套件填實: libopam-file-format-ocaml-dev
libopam-file-format-ocaml-dev-eyp42
本虛擬套件由這些套件填實: libopam-file-format-ocaml-dev
libopam-file-format-ocaml-dev-ggsg9
本虛擬套件由這些套件填實: libopam-file-format-ocaml-dev
libopamgt-dev (10.10.3.0.11-1)
Development files for libopamgt0
libopamgt0 (10.10.3.0.11-1)
Omni-Path fabric management API library
libopasadb-dev (10.10.3.0.11-1)
Development files for libopasadb1
libopasadb1 (10.10.3.0.11-1)
Omni-Path dsap API library
libopen-trace-format-dev (1.12.5+dfsg-8)
Open Trace Format support library - development files
libopen-trace-format1 (1.12.5+dfsg-8)
Open Trace Format support library - shared library
libopen3d-dev (0.9.0+ds-5+deb11u1)
Library for 3D data processing - development headers
libopen3d0d (0.9.0+ds-5+deb11u1)
Library for 3D data processing
libopenafs-dev (1.8.6-5)
AFS distributed filesystem development libraries
libopenal-data (1:1.19.1-2)
Software implementation of the OpenAL audio API (data files)
libopenal-dev (1:1.19.1-2)
Software implementation of the OpenAL audio API (development files)
libopenal1 (1:1.19.1-2)
Software implementation of the OpenAL audio API (shared library)
libopenaptx-dev (0.2.0-5)
Audio Processing Technology codec (aptX), development headers
libopenaptx0 (0.2.0-5)
Audio Processing Technology codec (aptX), shared libraries
libopenbabel-dev (3.1.1+dfsg-6)
Chemical toolbox library (development files)
libopenbabel-doc (3.1.1+dfsg-6)
Chemical toolbox library (documentation)
libopenbabel7 (3.1.1+dfsg-6)
Chemical toolbox library
libopenblas-base (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (transitional)
libopenblas-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, meta)
libopenblas-openmp-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, openmp)
libopenblas-pthread-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, pthread)
libopenblas-serial-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, serial)
libopenblas0 (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (meta)
libopenblas0-openmp (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, openmp)
libopenblas0-pthread (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, pthread)
libopenblas0-serial (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, serial)
libopenblas64-0 (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, 64bit, meta)
libopenblas64-0-openmp (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, 64bit, openmp)
libopenblas64-0-pthread (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, 64bit, pthread)
libopenblas64-0-serial (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (shared lib, 64bit, serial)
libopenblas64-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, 64bit, meta)
libopenblas64-openmp-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, 64bit, openmp)
libopenblas64-pthread-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, 64bit, pthread)
libopenblas64-serial-dev (0.3.13+ds-3+deb11u1)
Optimized BLAS (linear algebra) library (dev, 64bit, serial)
libopencc-data (1.1.1+git20200624+ds2-10)
simplified-traditional Chinese conversion library - data files
libopencc-dev (1.1.1+git20200624+ds2-10)
simplified-traditional Chinese conversion library - development
libopencc-doc (1.1.1+git20200624+ds2-10)
simplified-traditional Chinese conversion library - document
libopencc1.1 (1.1.1+git20200624+ds2-10)
simplified-traditional Chinese conversion library - runtime
libopencensus-java (0.24.0-1)
stats collection and distributed tracing framework
libopenchemlib-java (2021.2.0+dfsg-1)
framework providing cheminformatics core functionality
libopenchemlib-java-doc (2021.2.0+dfsg-1)
framework providing cheminformatics core functionality - documentation
libopencl-1.1-1
本虛擬套件由這些套件填實: ocl-icd-libopencl1, nvidia-libopencl1
libopencl-1.2-1
本虛擬套件由這些套件填實: nvidia-libopencl1, ocl-icd-libopencl1
libopencl-2.0-1
本虛擬套件由這些套件填實: nvidia-libopencl1, ocl-icd-libopencl1
libopencl-2.1-1
本虛擬套件由這些套件填實: ocl-icd-libopencl1, nvidia-libopencl1
libopencl-2.2-1
本虛擬套件由這些套件填實: ocl-icd-libopencl1, nvidia-libopencl1
libopencl-3.0-1
本虛擬套件由這些套件填實: ocl-icd-libopencl1, nvidia-libopencl1
libopencl-clang-dev (11.0.0-1)
thin wrapper for clang -- development files
libopencl-clang11 (11.0.0-1)
thin wrapper for clang
libopencl1
本虛擬套件由這些套件填實: nvidia-libopencl1, ocl-icd-libopencl1
libopencolorio-dev (1.1.1~dfsg0-7)
complete color management solution - development
libopencolorio1v5 (1.1.1~dfsg0-7)
complete color management solution - runtime
libopenconnect-dev (8.10-2+b1)
open client for Cisco AnyConnect, Pulse, GlobalProtect VPN - development files
libopenconnect5 (8.10-2+b1)
open client for Cisco AnyConnect, Pulse, GlobalProtect VPN - shared library
libopencore-amrnb-dev (0.1.5-1)
Adaptive Multi Rate speech codec - development files
libopencore-amrnb0 (0.1.5-1)
Adaptive Multi Rate speech codec - shared library
libopencore-amrwb-dev (0.1.5-1)
Adaptive Multi-Rate - Wideband speech codec - development files
libopencore-amrwb0 (0.1.5-1)
Adaptive Multi-Rate - Wideband speech codec - shared library
libopencryptoki-dev (3.8.1+dfsg-3.2)
PKCS#11 implementation (development)
libopencryptoki0 (3.8.1+dfsg-3.2)
PKCS#11 implementation (library)
libopencsd-bin (0.14.4-1)
ARM CoreSight trace decode utility
libopencsd-dev (0.14.4-1)
ARM CoreSight trace decode library development files
libopencsd-doc (0.14.4-1)
ARM CoreSight trace decode library documentation
libopencsd0 (0.14.4-1)
ARM CoreSight Trace decode library
libopencsg-dev (1.4.2-3)
image-based CSG library using OpenGL (development files)
libopencsg-example (1.4.2-3)
image-based CSG library using OpenGL (example program)
libopencsg1 (1.4.2-3)
image-based CSG (Constructive Solid Geometry) library using OpenGL
libopencsg1-dbg (1.4.2-3)
debugging symbols for libopencsg
libopencsv-java (5.2-1)
opencsv - Library for reading and writing CSV in Java
libopenctm-dev (1.0.3+dfsg1-2.1)
Library headers for compression of 3D triangle meshes
libopenctm1 (1.0.3+dfsg1-2.1)
Library for compression of 3D triangle meshes
libopencv-apps-dev (2.0.2-3+b1)
Opencv_apps Robot OS package - development files
libopencv-apps2d (2.0.2-3+b1)
opencv_apps Robot OS package - runtime files
libopencv-calib3d-dev (4.5.1+dfsg-5)
development files for libopencv-calib3d4.5
libopencv-calib3d4.5 (4.5.1+dfsg-5)
computer vision Camera Calibration library
libopencv-contrib-dev (4.5.1+dfsg-5)
development files for libopencv-contrib4.5
libopencv-contrib4.5 (4.5.1+dfsg-5)
computer vision contrlib library
libopencv-core-dev (4.5.1+dfsg-5)
development files for libopencv-core4.5
libopencv-core4.5 (4.5.1+dfsg-5)
computer vision core library
libopencv-dev (4.5.1+dfsg-5)
development files for opencv
libopencv-dnn-dev (4.5.1+dfsg-5)
development files for libopencv-dnn4.5
libopencv-dnn4.5 (4.5.1+dfsg-5)
computer vision Deep neural network module
libopencv-features2d-dev (4.5.1+dfsg-5)
development files for libopencv-features2d4.5
libopencv-features2d4.5 (4.5.1+dfsg-5)
computer vision Feature Detection and Descriptor Extraction library
libopencv-flann-dev (4.5.1+dfsg-5)
development files for libopencv-flann4.5
libopencv-flann4.5 (4.5.1+dfsg-5)
computer vision Clustering and Search in Multi-Dimensional spaces library
libopencv-highgui-dev (4.5.1+dfsg-5)
development files for libopencv-highgui4.5
libopencv-highgui4.5 (4.5.1+dfsg-5)
computer vision High-level GUI and Media I/O library
libopencv-imgcodecs-dev (4.5.1+dfsg-5)
development files for libopencv-imgcodecs4.5
libopencv-imgcodecs4.5 (4.5.1+dfsg-5)
computer vision Image Codecs library
libopencv-imgproc-dev (4.5.1+dfsg-5)
development files for libopencv-imgproc4.5
libopencv-imgproc4.5 (4.5.1+dfsg-5)
computer vision Image Processing library
libopencv-ml-dev (4.5.1+dfsg-5)
development files for libopencv-ml4.5
libopencv-ml4.5 (4.5.1+dfsg-5)
computer vision Machine Learning library
libopencv-objdetect-dev (4.5.1+dfsg-5)
development files for libopencv-objdetect4.5
libopencv-objdetect4.5 (4.5.1+dfsg-5)
computer vision Object Detection library
libopencv-photo-dev (4.5.1+dfsg-5)
development files for libopencv-photo4.5
libopencv-photo4.5 (4.5.1+dfsg-5)
computer vision computational photography library
libopencv-shape-dev (4.5.1+dfsg-5)
development files for libopencv-shape4.5
libopencv-shape4.5 (4.5.1+dfsg-5)
computer vision shape descriptors and matchers library
libopencv-stitching-dev (4.5.1+dfsg-5)
development files for libopencv-stitching4.5
libopencv-stitching4.5 (4.5.1+dfsg-5)
computer vision image stitching library
libopencv-superres-dev (4.5.1+dfsg-5)
development files for libopencv-superres4.5
libopencv-superres4.5 (4.5.1+dfsg-5)
computer vision Super Resolution library
libopencv-video-dev (4.5.1+dfsg-5)
development files for libopencv-video4.5
libopencv-video4.5 (4.5.1+dfsg-5)
computer vision Video analysis library
libopencv-videoio-dev (4.5.1+dfsg-5)
development files for libopencv-videoio4.5
libopencv-videoio4.5 (4.5.1+dfsg-5)
computer vision Video I/O library
libopencv-videostab-dev (4.5.1+dfsg-5)
development files for libopencv-videostab4.5
libopencv-videostab4.5 (4.5.1+dfsg-5)
computer vision video stabilization library
libopencv-viz-dev (4.5.1+dfsg-5)
development files for libopencv-viz4.5
libopencv-viz4.5 (4.5.1+dfsg-5)
computer vision 3D data visualization library
libopencv4.5-java (4.5.1+dfsg-5)
Java bindings for the computer vision library
libopencv4.5-jni (4.5.1+dfsg-5)
Java jni library for the computer vision library
libopendbx-dev
本虛擬套件由這些套件填實: libopendbx1-dev
libopendbx1 (1.4.6-15)
Lightweight database access abstraction layer
libopendbx1-backend
本虛擬套件由這些套件填實: libopendbx1-firebird, libopendbx1-pgsql, libopendbx1-sybase, libopendbx1-mysql, libopendbx1-sqlite3, libopendbx1-mssql, libopendbx1-odbc
libopendbx1-dev (1.4.6-15)
Lightweight database access abstraction layer (dev)
libopendbx1-firebird (1.4.6-15)
Firebird backend for OpenDBX
libopendbx1-mssql (1.4.6-15)
MSSQL backend for OpenDBX
libopendbx1-mysql (1.4.6-15)
MySQL backend for OpenDBX
libopendbx1-odbc (1.4.6-15)
ODBC backend for OpenDBX
libopendbx1-pgsql (1.4.6-15)
PostgreSQL backend for OpenDBX
libopendbx1-sqlite3 (1.4.6-15)
SQLite3 backend for OpenDBX
libopendbx1-sybase (1.4.6-15)
Sybase ctlib backend for OpenDBX
libopendht-dev (2.1.10-1)
Development files for the libopendht library
libopendht-dev
本虛擬套件由這些套件填實: libopendht-dev
libopendkim-dev (2.11.0~beta2-4+deb11u1)
DomainKeys Identified Mail (DKIM) library (development files)
libopendkim11 (2.11.0~beta2-4+deb11u1)
DomainKeys Identified Mail (DKIM) library
libopendmarc-dev (1.4.0~beta1+dfsg-6+deb11u1)
Headers and development libraries for the OpenDMARC library
libopendmarc2 (1.4.0~beta1+dfsg-6+deb11u1)
Library for DMARC validation and reporting
libopenems-dev (0.0.35+git20190103.6a75e98+dfsg.1-3)
Development files for openems
libopenems0 (0.0.35+git20190103.6a75e98+dfsg.1-3)
Library for the electromagnetic simulator using the FDTD method
libopenexr-dev (2.5.4-2+deb11u1)
development files for the OpenEXR image library
libopenexr25 (2.5.4-2+deb11u1)
runtime files for the OpenEXR image library
libopenfoam (1912.200626-1)
Open source toolbox for Computational Fluid Dynamics (CFD) - libraries
libopengl-dev (1.3.2-1)
Vendor neutral GL dispatch library -- OpenGL development files
libopengl-image-perl (1.03-1.1)
Image loader for OpenGL
libopengl-perl (0.7000+dfsg-5+b1)
Perl interface providing graphics display using OpenGL
libopengl-xscreensaver-perl (0.05-1+b10 [s390x], 0.05-1+b8 [amd64, armel, armhf, i386, mipsel], 0.05-1+b7 [arm64, mips64el, ppc64el])
Perl module for writing OpenGL-based XScreenSaver hacks
libopengl0 (1.3.2-1)
Vendor neutral GL dispatch library -- OpenGL support
libopenhft-affinity-java (2.2-2)
OpenHFT Java Thread Affinity library
libopenhft-chronicle-bytes-java (1.1.15-2)
OpenHFT byte buffer library
libopenhft-chronicle-core-java (2.17.5-v1.1.8-2)
OpenHFT core library
libopenhft-chronicle-network-java (1.0.58-2)
OpenHFT High Performance Network library
libopenhft-chronicle-queue-java (3.6.0-2)
Java library for persisted low latency messaging
libopenhft-chronicle-threads-java (1.1.6-2)
OpenHFT thread pool library
libopenhft-chronicle-wire-java (1.1.13-2)
Wire format abstraction library
libopenhft-compiler-java (2.2.4-2)
Java Runtime Compiler library
libopenhft-lang-java (6.7.6-2)
High Performance Java library for High Frequency Trading
libopenhmd-dev (0.3.0-1)
API and drivers for immersive technology (development files)
libopenhmd0 (0.3.0-1)
API and drivers for immersive technology (shared library)
libopenhpi-dev (3.8.0-2.1)
OpenHPI libraries (development files)
libopenhpi3 (3.8.0-2.1)
OpenHPI libraries (runtime and support files)
libopenid4java-java (1.0.0-1)
This library allows you to OpenID-enable your Java webapp
libopenigtlink-dev (1.11.0-4)
Open IGT Link is a simple network protocol - development
libopenigtlink1.11 (1.11.0-4)
Open IGT Link is a simple network protocol - runtime
libopenimageio-dev (2.2.10.1+dfsg-1+deb11u1)
Library for reading and writing images - development
libopenimageio-doc (2.2.10.1+dfsg-1+deb11u1)
Library for reading and writing images - documentation
libopenimageio2.2 (2.2.10.1+dfsg-1+deb11u1)
Library for reading and writing images - runtime
libopenipmi-dev (2.0.29-0.1+b1)
Intelligent Platform Management Interface - development
libopenipmi0 (2.0.29-0.1+b1)
Intelligent Platform Management Interface - runtime
libopeniscsiusr (2.1.3-5)
iSCSI userspace library
libopeniscsiusr-dev (2.1.3-5)
iSCSI userspace library headers
libopenjfx-java (11.0.11+0-1)
JavaFX/OpenJFX - Rich client application platform for Java (Java libraries)
libopenjfx-java-doc (11.0.11+0-1)
JavaFX/OpenJFX - Rich client application platform for Java (Javadoc)
libopenjfx-jni (11.0.11+0-1)
JavaFX/OpenJFX - Rich client application platform for Java (native libraries)
libopenjp2-7 (2.4.0-3)
JPEG 2000 image compression/decompression library
libopenjp2-7-dev (2.4.0-3)
development files for OpenJPEG, a JPEG 2000 image library
libopenjp2-tools (2.4.0-3)
command-line tools using the JPEG 2000 library
libopenjp3d-tools (2.4.0-3)
command-line tools using the JPEG 2000 - 3D library
libopenjp3d7 (2.4.0-3)
JP3D (JPEG 2000 / Part 10) image compression/decompression library
libopenjpa-java (2.4.2-6)
Java Persistence 2.0 API (JPA) implementation library
libopenjpip-dec-server (2.4.0-3)
tool to allow caching of JPEG 2000 files using JPIP protocol
libopenjpip-server (2.4.0-3)
JPIP server for JPEG 2000 files
libopenjpip-viewer (2.4.0-3)
JPEG 2000 java based viewer for advanced remote JPIP access
libopenjpip7 (2.4.0-3)
JPEG 2000 Interactive Protocol
libopenjson-java (1.0.12-2)
rewrite of the evil licensed json.org
libopenlayer-dev (2.1-2.1+b2)
hardware accelerated 2D Graphics library : development files
libopenlayer2v5 (2.1-2.1+b2)
hardware accelerated 2D Graphics library
libopenlibm-dev (0.7.0+dfsg-2)
standalone implementation of C mathematical functions (development files)
libopenlibm3 (0.7.0+dfsg-2)
standalone implementation of C mathematical functions (shared library)
libopenmm-dev (7.5.0+dfsg-1)
C++ header files for the OpenMM library
libopenmm7.5 (7.5.0+dfsg-1)
High-performance molecular simulation library
libopenmpi-dev (4.1.0-10)
high performance message passing library -- header files
libopenmpi3 (4.1.0-10)
high performance message passing library -- shared library
libopenmpt-dev (0.4.11-1)
module music library based on OpenMPT -- development files
libopenmpt-doc (0.4.11-1)
module music library based on OpenMPT -- documentation
libopenmpt-modplug-dev (0.4.11-1)
module music library based on OpenMPT -- modplug compat development files
libopenmpt-modplug1 (0.4.11-1)
module music library based on OpenMPT -- modplug compat library
libopenmpt0 (0.4.11-1)
module music library based on OpenMPT -- shared library
libopenms-dev (2.6.0+cleaned1-3)
library for LC/MS data management and analysis - dev files
libopenms2.6.0 (2.6.0+cleaned1-3)
library for LC/MS data management and analysis - runtime
libopenni-dev (1.5.4.0+dfsg-4)
headers for OpenNI 'Natural Interaction' frameworks
libopenni-java (1.5.4.0+dfsg-4)
Java framework for sensor-based 'Natural Interaction'
libopenni-sensor
本虛擬套件由這些套件填實: libopenni-sensor-pointclouds0, libopenni-sensor-primesense0
libopenni-sensor-dev
本虛擬套件由這些套件填實: libopenni-sensor-primesense-dev, libopenni-sensor-pointclouds-dev
libopenni-sensor-pointclouds-dev (5.1.0.41.9-3)
headers for Kinect sensor modules for the OpenNI framework
libopenni-sensor-pointclouds0 (5.1.0.41.9-3)
Microsoft Kinect sensor modules for the OpenNI framework
libopenni-sensor-primesense-dev (5.1.0.41-10)
headers for working with PrimeSense sensor OpenNI modules
libopenni-sensor-primesense0 (5.1.0.41-10)
PrimeSense sensor modules for the OpenNI framework
libopenni0 (1.5.4.0+dfsg-4)
framework for sensor-based 'Natural Interaction'
libopenni2-0 (2.2.0.33+dfsg-15)
framework for sensor-based 'Natural Interaction'
libopenni2-dev (2.2.0.33+dfsg-15)
headers for OpenNI 'Natural Interaction' frameworks
libopennlp-maxent-java (3.0.0+ds-2)
OpenNLP Maximum Entropy Package
libopenobex-dev
本虛擬套件由這些套件填實: libopenobex2-dev
libopenobex2 (1.7.2-1+b1)
OBEX protocol library
libopenobex2-dev (1.7.2-1+b1)
OBEX protocol library - development files
libopenoffice-oodoc-perl (2.125-3.1)
module for working with Open Document Format files
libopenr2-3 (1.3.3-2)
MFC/R2 (telephony) call setup library
libopenr2-bin (1.3.3-2)
MFC/R2 (telephony) call setup library - test programs
libopenr2-dev (1.3.3-2)
MFC/R2 (telephony) call setup library - development headers
libopenraw-dev (0.1.2-0.2)
free implementation for RAW decoding - development files
libopenraw7 (0.1.2-0.2)
free implementation for RAW decoding
libopenrawgnome-dev (0.1.2-0.2)
GNOME integration for libopenraw - development files
libopenrawgnome7 (0.1.2-0.2)
free implementation for RAW decoding (GNOME integration)
libopensc-openssl
本虛擬套件由這些套件填實: libengine-pkcs11-openssl
libopenscenegraph-dev (3.6.5+dfsg1-7+b1)
3D scene graph, development files
libopenscenegraph161 (3.6.5+dfsg1-7+b1)
3D scene graph, shared libs
libopenshot-audio-dev (0.2.0+dfsg1-4)
development files for the OpenShot audio library
libopenshot-audio-doc (0.2.0+dfsg1-4)
documentation for the OpenShot audio library
libopenshot-audio-test-sound (0.2.0+dfsg1-4)
plays test sound using libopenshot-audio
libopenshot-audio7 (0.2.0+dfsg1-4)
library for high-quality audio editing
libopenshot-dev (0.2.5+dfsg1-4+b2)
development files for the OpenShot video library
libopenshot-doc (0.2.5+dfsg1-4)
documentation for the OpenShot video library
libopenshot19 (0.2.5+dfsg1-4+b2)
library for high-quality video editing
libopenslide-dev (3.4.1+dfsg-5)
Development files for the OpenSlide library
libopenslide0 (3.4.1+dfsg-5)
library for reading whole slide image files
libopenslide0-dev
本虛擬套件由這些套件填實: libopenslide-dev
libopensm-dev (3.3.23-2)
Header files for compiling against libopensm
libopensm9 (3.3.23-2)
InfiniBand subnet manager library
libopensurgsim (0.7.0-11+b1)
Free platform for surgical simulation - runtime
libopensurgsim-dev (0.7.0-11+b1)
Free platform for surgical simulation - development
libopentest4j-java (1.2.0-2)
Open Test Alliance API
libopenthreads-dev (3.6.5+dfsg1-7+b1)
Object-Oriented (OO) thread interface for C++, development files
libopenthreads21 (3.6.5+dfsg1-7+b1)
Object-Oriented (OO) thread interface for C++, shared libs
libopentk-cil-dev (1.1.4c+dfsg-2.1)
Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Dev files
libopentk1.1-cil (1.1.4c+dfsg-2.1)
Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Assemblies
libopenvdb-dev (7.1.0-2+b3)
Sparse Volume Processing toolkit - dev
libopenvdb-doc (7.1.0-2)
Sparse Volume Processing toolkit - doc
libopenvdb-tools (7.1.0-2+b3)
Sparse Volume Processing toolkit - runtime
libopenvdb7.1 (7.1.0-2+b3)
Sparse Volume Processing toolkit - lib
libopenvr-api1 (1.12.5~ds1-1+b1) [contrib]
OpenVR SDK
libopenvr-dev (1.12.5~ds1-1+b1) [contrib]
OpenVR SDK -- development files
libopenxr-dev (1.0.14~dfsg1-1)
OpenXR software development kit -- development headers
libopenxr-loader1 (1.0.14~dfsg1-1)
OpenXR loader library
libopenxr-utils (1.0.14~dfsg1-1)
OpenXR software development kit -- utilities
libopenxr1
本虛擬套件由這些套件填實: libopenxr1-monado
libopenxr1-monado (21.0.0~dfsg1-1)
Monado implementation of the OpenXR API
libopenzwave-doc (1.6.1545+ds-2)
documentation for the openzwave library
libopenzwave1.6 (1.6.1545+ds-2)
API to use a Z-Wave controller
libopenzwave1.6-dev (1.6.1545+ds-2)
header files for the openzwave library
liboping-dev (1.10.0-4+b1)
C/C++ library to generate ICMP ECHO_REQUESTs (development files)
liboping0 (1.10.0-4+b1)
C/C++ library to generate ICMP ECHO_REQUESTs
libopkele-dev (2.0.4+git20140305.9651b55-4)
OpenID support library in C++ (development)
libopkele3v5 (2.0.4+git20140305.9651b55-4)
OpenID support library in C++ (runtime)
libopsin-java (2.5.0-1)
Chemical name to structure converter
libopsin-java-doc (2.5.0-1)
Chemical name to structure converter (documentation)
liboptimade-filter-perl (0.10.2-1)
OPTIMADE filter language parser/composer
liboptions-java (0.0.20120113-3)
command line option parsing library for Java
liboptions-java-doc (0.0.20120113-3)
command line option parsing library for Java (documentation)
libopts25 (1:5.18.16-4)
automated option processing library based on autogen
libopts25-dev (1:5.18.16-4)
automated option processing library based on autogen
libopus-dbg (1.3.1-0.1)
debugging symbols for libopus
libopus-dev (1.3.1-0.1)
Opus codec library development files
libopus-doc (1.3.1-0.1)
libopus API documentation
libopus-ocaml (0.1.3-1+b2)
OCaml interface to the opus library -- runtime files
libopus-ocaml-2klg8
本虛擬套件由這些套件填實: libopus-ocaml
libopus-ocaml-3eel6
本虛擬套件由這些套件填實: libopus-ocaml
libopus-ocaml-5o8x9
本虛擬套件由這些套件填實: libopus-ocaml
libopus-ocaml-d8zc7
本虛擬套件由這些套件填實: libopus-ocaml
libopus-ocaml-dev (0.1.3-1+b2)
OCaml interface to the opus library -- development files
libopus-ocaml-dev-2klg8
本虛擬套件由這些套件填實: libopus-ocaml-dev
libopus-ocaml-dev-3eel6
本虛擬套件由這些套件填實: libopus-ocaml-dev
libopus-ocaml-dev-5o8x9
本虛擬套件由這些套件填實: libopus-ocaml-dev
libopus-ocaml-dev-d8zc7
本虛擬套件由這些套件填實: libopus-ocaml-dev
libopus0 (1.3.1-0.1)
Opus codec runtime library
libopusfile-dbg (0.9+20170913-1.1)
debugging symbols for libopusfile
libopusfile-dev (0.9+20170913-1.1)
High-level API for basic manipulation of Ogg Opus audio streams
libopusfile-doc (0.9+20170913-1.1)
libopusfile API documentation
libopusfile0 (0.9+20170913-1.1)
High-level API for basic manipulation of Ogg Opus audio streams
liborc-0.4-0 (1:0.4.32-1)
Library of Optimized Inner Loops Runtime Compiler
liborc-0.4-dev (1:0.4.32-1)
Library of Optimized Inner Loops Runtime Compiler (development headers)
liborc-0.4-dev-bin (1:0.4.32-1)
Library of Optimized Inner Loops Runtime Compiler (development tools)
liborc-0.4-doc (1:0.4.32-1)
Library of Optimized Inner Loops Runtime Compiler (documentation)
liborc-dev
本虛擬套件由這些套件填實: liborc-0.4-dev
liborc-dev-bin
本虛擬套件由這些套件填實: liborc-0.4-dev-bin
liborcania-dev (2.1.1-4)
library for angharad programs, development files
liborcania2.1 (2.1.1-4)
library for angharad programs
liborcus-0.16-0 (0.16.1-3+b2)
library for processing spreadsheet documents
liborcus-bin (0.16.1-3+b2)
library for processing spreadsheet documents - binaries
liborcus-dev (0.16.1-3+b2)
library for processing spreadsheet documents -- development
liborcus-doc (0.16.1-3)
library for processing spreadsheet documents - documentation
liborcus-mso-0.16-0 (0.16.1-3+b2)
library for processing spreadsheet documents - MS Office encryption library
liborcus-parser-0.16-0 (0.16.1-3+b2)
library for processing spreadsheet documents - parser library
liborcus-spreadsheet-model-0.16-0 (0.16.1-3+b2)
library for processing spreadsheet documents - spreadsheet model library
libordered-clojure (1.5.9-3)
Provides sets and maps that maintain the insertion order of their contents
liborigin2-1v5 (2:20110117-5)
library for reading OriginLab Origin 7.5 project files (runtime)
liborigin2-dev (2:20110117-5)
library for reading OriginLab Origin 7.5 project files (development)
liborlite-migrate-perl (1.10-2)
Perl helper module for SQLite-to-ORLite schema migration
liborlite-mirror-perl (1.24-1.1)
ORLite extension to use remote SQLite databases
liborlite-perl (1.98-2)
lightweight SQLite-specific ORM
liborlite-statistics-perl (0.03-2.1)
ORLite extension providing simple statistics
liboro-java (2.0.8a-14)
Regular expression library for Java
liboro-java-doc (2.0.8a-14)
Regular expression library for Java -- documentation
liborocos-bfl-dev (0.8.0-5)
Orocos Bayesian Filtering Library development files
liborocos-bfl-examples (0.8.0-5)
Orocos Bayesian Filtering Library examples
liborocos-bfl0.8 (0.8.0-5)
Orocos Bayesian Filtering Library
liborocos-kdl-dev (1.4.0-11+b2)
Kinematics and Dynamics Library development files
liborocos-kdl1.4 (1.4.0-11+b2)
Kinematics and Dynamics Library runtime
liborthancframework-dev (1.9.2+really1.9.1+dfsg-1+deb11u1)
Orthanc Framework development files
liborthancframework1 (1.9.2+really1.9.1+dfsg-1+deb11u1)
Orthanc Framework library
libortp-dev (1:4.4.13-2)
Development files for the ortp RTP library
libortp-doc (1:4.4.13-2)
oRTP API documentation
libortp15 (1:4.4.13-2)
Real-time Transport Protocol (RTP) stack
liboscache-java (2.4.1+ds1-7)
caching solution for java server pages (JSP)
liboscpack-dev (1.1.0-2)
C++ library for packing and unpacking OSC packets - dev files
liboscpack1 (1.1.0-2)
C++ library for packing and unpacking OSC packets
libosd-dev (3.4.3-3)
high performance subdivision surface (subdiv) library - development
libosd-doc (3.4.3-3)
high performance subdivision surface (subdiv) library - documentation
libosdcpu3.4.3 (3.4.3-3)
high performance subdivision surface (subdiv) library - CPU runtime
libosdgpu3.4.3 (3.4.3-3)
high performance subdivision surface (subdiv) library - GPU runtime
libosgi-annotation-java (7.0.0-2)
Java OSGi API - annotation module
libosgi-annotation-java-doc (7.0.0-2)
Javadoc for Java OSGi API - annotation module
libosgi-compendium-java (7.0.0-1)
Java OSGi API - Compendium module
libosgi-compendium-java-doc (7.0.0-1)
Javadoc for Java OSGi API - Compendium module
libosgi-core-java (7.0.0-2)
Java OSGi API - Core module
libosgi-core-java-doc (7.0.0-2)
Javadoc for Java OSGi API - Core module
libosgi-foundation-ee-java (4.2.0-4)
Java OSGi API - Foundation Execution Environment
libosinfo-1.0-0 (1.8.0-1)
Library for managing information about operating systems and hypervisors
libosinfo-1.0-dev (1.8.0-1)
libosinfo development files
libosinfo-bin (1.8.0-1)
Tools for querying the osinfo database via libosinfo
libosinfo-l10n (1.8.0-1)
Translations for libosinfo
libosip2-11 (4.1.0-2.1+b1)
Session Initiation Protocol (SIP) library
libosip2-dev (4.1.0-2.1+b1)
development files for the SIP library
libosl-dev (0.8.0-3+b1)
library for Shogi playing programs
libosl-doc (0.8.0-3)
library for Shogi playing programs
libosl1v5 (0.8.0-3+b1)
library for Shogi playing programs
libosmcomp5 (3.3.23-2)
InfiniBand subnet manager - component library
libosmesa-dev
本虛擬套件由這些套件填實: libosmesa6-dev
libosmesa6 (20.3.5-1)
Mesa Off-screen rendering extension
libosmesa6-dev (20.3.5-1)
Mesa Off-screen rendering extension -- development files
libosmgpsmap-1.0-1 (1.2.0-1)
GTK+ library to embed OpenStreetMap maps
libosmgpsmap-1.0-dev (1.2.0-1)
GTK+ library to embed OpenStreetMap maps - development files
libosmium-dev
本虛擬套件由這些套件填實: libosmium2-dev
libosmium2-dev (2.16.0-1)
C++ framework for working with OSM data files
libosmium2-doc (2.16.0-1)
C++ framework for working with OSM data files - documentation
libosmo-abis-dev (1.0.1-2+b1)
Development headers for A-bis interface
libosmo-fl2k-dev (0.1.1-1)
use a VGA USB adapter as DAC and SDR transmitter - development
libosmo-fl2k0 (0.1.1-1)
use a VGA USB adapter as DAC and SDR transmitter - library
libosmo-gsup-client-dev (1.2.1+dfsg1-1)
Development headers of Osmocom GSUP client library
libosmo-gsup-client0 (1.2.1+dfsg1-1)
Osmocom GSUP (General Subscriber Update Protocol) client library
libosmo-mgcp-client-dev (1.7.0+dfsg1-2)
Osmocom's Media Gateway Control Protocol client utilities - development files
libosmo-mgcp-client6 (1.7.0+dfsg1-2)
Osmocom's Media Gateway Control Protocol client utilities
libosmo-netif-dev (1.0.0-4)
Development headers for Osmocom network interface
libosmo-ranap-dev (0.6.1+dfsg1-2)
Osmocom code for the Iuh interface (HNBAP, RUA, RANAP), development
libosmo-ranap3 (0.6.1+dfsg1-2)
Osmocom code for the Iuh interface (HNBAP, RUA, RANAP), library
libosmo-sabp-dev (0.6.1+dfsg1-2)
Osmocom code for the Iuh interface (SABP), development
libosmo-sabp0 (0.6.1+dfsg1-2)
Osmocom code for the Iuh interface (SABP)
libosmo-sccp-dev (1.3.0+dfsg1-3)
Development files for libsccp, libmtp and libxua
libosmo-sigtran-dev (1.3.0+dfsg1-3)
Development headers for the Osmocom SIGTRAN library
libosmo-sigtran-doc (1.3.0+dfsg1-3)
Documentation for the Osmocom SIGTRAN library
libosmo-sigtran5 (1.3.0+dfsg1-3)
Osmocom SIGTRAN library (SCCP, SUA, M3UA and more)
libosmoabis9 (1.0.1-2+b1)
GSM A-bis handling
libosmocodec-doc (1.4.2-3)
Documentation for the osmo codec library
libosmocodec0 (1.4.2-3)
Osmo codec library
libosmocoding-doc (1.4.2-3)
Documentation for the osmo coding library
libosmocoding0 (1.4.2-3)
Osmo coding library
libosmocore (1.4.2-3)
Open Source MObile COMmunications CORE library (metapackage)
libosmocore-dev (1.4.2-3)
Development headers for Open Source MObile COMmunications CORE library
libosmocore-doc (1.4.2-3)
Documentation for the Osmo Core library
libosmocore-utils (1.4.2-3)
Utilities for gsm
libosmocore16 (1.4.2-3)
Osmo Core library
libosmoctrl-doc (1.4.2-3)
Documentation for the Osmocom CTRL library
libosmoctrl0 (1.4.2-3)
Osmo control library
libosmogb11 (1.4.2-3)
Osmo GPRS GB library
libosmogsm-doc (1.4.2-3)
Documentation for the Osmo GSM utility library
libosmogsm15 (1.4.2-3)
Osmo GSM utility library
libosmomtp0 (1.3.0+dfsg1-3)
Message Transfer Part for Signaling System 7
libosmonetif8 (1.0.0-4)
Common/shared code regarding network interface for OpenBSC
libosmosccp0 (1.3.0+dfsg1-3)
Library for Signalling Connection Control Part (SCCP)
libosmosdr-dev (0.1.8.effcaa7-7+b1)
Software defined radio support for OsmoSDR hardware (development files)
libosmosdr0 (0.1.8.effcaa7-7+b1)
Software defined radio support for OsmoSDR hardware (library)
libosmosim2 (1.4.2-3)
Osmo SIM library
libosmotrau2 (1.0.1-2+b1)
GSM trau handling
libosmovty-doc (1.4.2-3)
Documentation for the Osmo VTY library
libosmovty4 (1.4.2-3)
Osmo VTY library
libosmoxua0 (1.3.0+dfsg1-3)
Osmocom SCCP support library for generating and parsing messages
libosmpbf-dev (1.5.0-1+b1)
C headers for OpenStreetMap PBF file format
libosmpbf-java (1.5.0-1)
Java access library for OpenStreetMap PBF file format
libosmpbf1 (1.5.0-1+b1)
OpenStreetMap PBF file format library
libosmvendor5 (3.3.23-2)
InfiniBand subnet manager - vendor library
libosp-dev (1.5.2-13+b2)
OpenJade group's SP suite, developer support
libosp5 (1.5.2-13+b2)
Runtime library for OpenJade group's SP suite
libosptk-dev (4.13.0-1)
OSP Toolkit development library and header files
libosptk4 (4.13.0-1)
OSP Toolkit shared library
libossim-dev (2.9.1-3+b1)
OSSIM library -- development files
libossim1 (2.9.1-3+b1)
OSSIM library -- shared library
libossp-sa-dev (1.2.6-2)
Abstraction library for the Unix socket API
libossp-sa12 (1.2.6-2)
Abstraction library for the Unix socket API
libossp-uuid-dev (1.6.2-1.5+b9)
OSSP uuid ISO-C and C++ - headers and static libraries
libossp-uuid-perl (1.6.2-1.5+b9)
perl OSSP::UUID - OSSP uuid Perl Binding
libossp-uuid16 (1.6.2-1.5+b9)
OSSP uuid ISO-C and C++ - shared library
libost-base-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-base2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-bindings-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-bindings2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-conop-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-conop2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-db-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-db2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-geom-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-geom2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-gfx-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-gfx2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-gui-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-gui2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-img-alg-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-img-alg2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-img-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-img2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-info-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-info2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-io-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-io2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-mol-alg-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-mol-alg2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-mol-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-mol2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-seq-alg-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-seq-alg2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-seq-dev (2.2.0-6)
Open-Source Computational Structural Biology Framework
libost-seq2.2 (2.2.0-6)
Open-Source Computational Structural Biology Framework
libostree-1-1 (2020.8-2+deb11u1)
content-addressed filesystem for operating system binaries (library)
libostree-dev (2020.8-2+deb11u1)
Development files for the libostree library
libostree-doc (2020.8-2+deb11u1)
Development documentation for the libostree library
libostyle-dev (1.4devel1-22)
OpenJade libraries, developer support
libostyle1c2 (1.4devel1-22)
Runtime libraries for OpenJade
libotb (7.2.0+dfsg-1+b3)
ORFEO Toolbox library metapackage
libotb-apps (7.2.0+dfsg-1+b3)
Plugins for ORFEO Toolbox applications
libotb-dev (7.2.0+dfsg-1+b3)
Free library of image processing algorithms - development
libotbapplicationengine-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBApplicationEngine
libotbcarto-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBCarto
libotbcommandline-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBCommandLine
libotbcommon-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBCommon
libotbcurladapters-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBCurlAdapters
libotbextendedfilename-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBExtendedFileName
libotbfuzzy-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBFuzzy
libotbgdaladapters-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBGdalAdapters
libotbice-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIce
libotbimagebase-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBImageBase
libotbimageio-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBImageIO
libotbimagemanipulation-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBImageManipulation
libotbiobsq-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIOBSQ
libotbiogdal-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIOGDAL
libotbiokml-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIOKML
libotbiolum-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIOLUM
libotbiomstar-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIOMSTAR
libotbioonera-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIOONERA
libotbiorad-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBIORAD
libotblearningbase-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBLearningBase
libotbmapla-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMapla
libotbmathparser-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMathParser
libotbmathparserx-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMathParserX
libotbmetadata-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMetadata
libotbmonteverdi-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMonteverdi
libotbmonteverdicore-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMonteverdiCore
libotbmonteverdigui-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBMonteverdiGUI
libotbossimadapters-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBOssimAdapters
libotbossimplugins-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBOssimPlugins
libotbpolarimetry-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBPolarimetry
libotbprojection-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBProjection
libotbqtadapters-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBQtAdapters
libotbqtwidget-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBQtWidget
libotbsampling-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBSampling
libotbsiftfast-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBSiftFast
libotbstatistics-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBStatistics
libotbstreaming-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBStreaming
libotbsupervised-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBSupervised
libotbtestkernel-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBTestKernel
libotbvectordatabase-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBVectorDataBase
libotbvectordataio-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBVectorDataIO
libotbwavelet-7.2-1 (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBWavelet
libotcl1 (1.14+dfsg-4+b1)
shared library of OTcl
libotcl1-dev (1.14+dfsg-4+b1)
static library of OTcl
libotf-bin (0.9.13-7)
Library for handling OpenType Font - utilities
libotf-dev (0.9.13-7)
Library for handling OpenType Font - development
libotf-trace-dev (1.12.5+dfsg-8)
Open Trace format support library - compatibility symlink
libotf0 (0.9.13-7)
Library for handling OpenType Font - runtime
libotfaux0 (1.12.5+dfsg-8)
Open Trace Format support library - shared library
libotp0-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - OTP support library
libotpw-dev (1.5-2+b1 [amd64], 1.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OTPW library development files and documentation
libotr5 (4.1.1-4)
Off-the-Record Messaging library
libotr5-bin (4.1.1-4)
toolkit for Off-the-Record Messaging library
libotr5-dev (4.1.1-4)
Off-the-Record Messaging library development files
libots-dev (0.5.0-6)
Open Text Summarizer (development)
libots0 (0.5.0-6)
Open Text Summarizer (library)
libouch-perl (0.0501-1)
exception handling module
libounit-ocaml-dev (2.2.3-2+b1)
Unit testing framework for OCaml
libounit-ocaml-dev-3nqx5
本虛擬套件由這些套件填實: libounit-ocaml-dev
libounit-ocaml-dev-6d589
本虛擬套件由這些套件填實: libounit-ocaml-dev
libounit-ocaml-dev-auzo5
本虛擬套件由這些套件填實: libounit-ocaml-dev
libounit-ocaml-dev-b9nu3
本虛擬套件由這些套件填實: libounit-ocaml-dev
libout123-0 (1.26.4-1)
MPEG layer 1/2/3 audio decoder (libout123 shared library)
libow-3.2-4 (3.2p4+dfsg1-4+b1)
1-Wire File System full library
libow-dev (3.2p4+dfsg1-4+b1)
1-Wire File System (development files)
libow-perl (3.2p4+dfsg1-4+b1)
Dallas 1-wire support: Perl5 bindings
libow-php7 (3.2p4+dfsg1-4+b1)
Dallas 1-wire support: PHP5 bindings
libow-tcl (3.2p4+dfsg1-4+b1)
Dallas 1-wire support: Tcl bindings
libowasp-antisamy-java (1.5.3+dfsg-1.1)
OWASP AntiSamy
libowasp-antisamy-java-doc (1.5.3+dfsg-1.1)
Documentation for OWASP AntiSamy
libowasp-esapi-java (2.1.0-3.1)
Enterprise Security API (ESAPI)
libowasp-esapi-java-doc (2.1.0-3.1)
Documentation for ESAPI
libowasp-java-html-sanitizer-java (20191001.1-1)
OWASP Java HTML Sanitizer
libowcapi-3.2-4 (3.2p4+dfsg1-4+b1)
1-Wire File System C library
libowfat-dev (0.30-3)
Reimplementation of libdjb, development files
libowfat-dietlibc-dev (0.30-3)
Reimplementation of libdjb, dietlibc version
libowfat0 (0.30-3)
Reimplementation of libdjb, shared library
libownet-3.2-4 (3.2p4+dfsg1-4+b1)
owserver protocol library
libownet-dev (3.2p4+dfsg1-4+b1)
owserver protocol library (development files)
libownet-perl (3.2p4+dfsg1-4)
Perl module for accessing 1-wire networks
libownet-php (3.2p4+dfsg1-4)
Dallas 1-wire support: PHP OWNet library
liboxford-calendar-perl (2.12-1)
University of Oxford calendar conversion routines
liboxli-dev (2.1.2+dfsg-8)
in-memory DNA sequence analysis dev library
liboxli1 (2.1.2+dfsg-8)
in-memory DNA sequence analysis library from the khmer project
liboxygenstyle5-5 (4:5.20.5-1)
style library for the Oxygen desktop theme
liboxygenstyleconfig5-5 (4:5.20.5-1)
style library configuration for the Oxygen desktop theme
libp11-3 (0.4.11-1)
pkcs#11 convenience library
libp11-dev (0.4.11-1)
pkcs#11 convenience library - development files
libp11-kit-dev (0.23.22-1)
library for loading and coordinating access to PKCS#11 modules - development
libp11-kit0 (0.23.22-1)
library for loading and coordinating access to PKCS#11 modules - runtime
libp4est-2.2 (2.2-3)
Algorithms for Parallel Adaptive Mesh Refinement - shared library
libp4est-dev (2.2-3)
Algorithms for Parallel Adaptive Mesh Refinement - development files
libp4est-sc-2.2 (2.2-3)
Algorithms for Parallel Adaptive Mesh Refinement - support library
libp8-platform
本虛擬套件由這些套件填實: libp8-platform2
libp8-platform-dev (2.1.0.1+dfsg1-3)
Pulse-Eight's platform support library -- development files
libp8-platform-dev
本虛擬套件由這些套件填實: libp8-platform-dev
libp8-platform2 (2.1.0.1+dfsg1-3)
Pulse-Eight's platform support library
libpa-ounit-camlp4-dev (113.00.00-3+b2)
OCaml Syntax extension for writing inline tests
libpa-ounit-camlp4-dev-0pls8
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-camlp4-dev-899j2
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-camlp4-dev-deop7
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-camlp4-dev-gby56
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-camlp4-dev-jeg89
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-camlp4-dev-kt7g4
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-camlp4-dev-tvdk2
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpa-ounit-ocaml-dev
本虛擬套件由這些套件填實: libpa-ounit-camlp4-dev
libpacemaker1 (2.0.5-2)
cluster resource manager utility library
libpackage-constants-perl (0.06-1)
module to list constants defined in a package
libpackage-deprecationmanager-perl (0.17-1.1)
module for managing deprecation warnings for Perl distributions
libpackage-locator-perl (0.10-2.1)
module to find a package among CPAN-like repositories
libpackage-new-perl (0.09-1)
simple base package from which to inherit
libpackage-pkg-perl (0.0020-1.1)
collection of package manipulation utilities
libpackage-stash-perl (0.39-1)
module providing routines for manipulating stashes
libpackage-stash-xs-perl (0.29-1+b2)
Perl module providing routines for manipulating stashes (XS version)
libpackage-variant-perl (1.003002-1.1)
parameterizable packages
libpackagekit-glib2-18 (1.2.2-2)
Library for accessing PackageKit using GLib
libpackagekit-glib2-dev (1.2.2-2)
Library for accessing PackageKit using GLib (development files)
libpackagekitqt5-1 (1.0.2-1)
Library for accessing PackageKit using Qt5
libpackagekitqt5-dev (1.0.2-1)
Library for accessing PackageKit using Qt5 (development files)
libpacketdump-dev
本虛擬套件由這些套件填實: libpacketdump3-dev
libpacketdump3 (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
network packet parsing and human-readable display library
libpacketdump3-dev (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
development headers for the libpacketdump library
libpacparser-dev (1.3.6-1.2+b3)
library to parse proxy auto-config files (development files)
libpacparser1 (1.3.6-1.2+b3)
library to parse proxy auto-config files
libpacparser1-dev
本虛擬套件由這些套件填實: libpacparser-dev
libpadwalker-perl (2.5-1+b1)
module to inspect and manipulate lexical variables
libpaf-dev (0.3.0-1+b1)
paf library development files
libpaf-dsc0 (0.3.0-1+b1)
library which exposes DSC Power Facilities to userspace via an API
libpaf-ebb0 (0.3.0-1+b1)
library which exposes EBB Power Facilities to userspace via an API
libpagemaker-0.0-0 (0.0.4-1)
Library for importing and converting PageMaker Documents
libpagemaker-dev (0.0.4-1)
Library for importing and converting PageMaker Documents -- development
libpaho-mqtt-dev (1.3.8-1)
Eclipse Paho MQTT C client - development files
libpaho-mqtt1.3 (1.3.8-1)
Eclipse Paho MQTT C client - shared libraries
libpaje-dev (1.3.6-2)
Parsing, manipulation and simulation of paje trace files (dev)
libpaje2 (1.3.6-2)
Parsing, manipulation and simulation of paje trace files
libpal-java (1.5.1+dfsg-6)
Phylogenetic Analysis Library
libpal-java-doc (1.5.1+dfsg-6)
Phylogenetic Analysis Library - documentation
libpalm-pdb-perl (1.400-1.1)
modules to parse Palm database files
libpalm-perl (1:1.400-1.1)
modules for manipulating pdb and prc database files
libpam-abl (0.6.0-5+b1)
blocks hosts attempting a brute force attack
libpam-afs-session (2.6-2)
PAM module to set up a PAG and obtain AFS tokens
libpam-apparmor (2.13.6-10)
changehat AppArmor library as a PAM module
libpam-barada (0.5-3.1+b10 [amd64], 0.5-3.1+b9 [armel, armhf, i386, mipsel, s390x], 0.5-3.1+b8 [arm64], 0.5-3.1+b7 [ppc64el], 0.5-3.1+b6 [mips64el])
PAM module to provide two-factor authentication based on HOTP
libpam-biometric (1.2.1-1)
Insertable authentication module for PAM
libpam-blue (0.9.0-3+b1)
PAM module for local authenticaction with bluetooth devices
libpam-cap (1:2.44-1)
POSIX 1003.1e capabilities (PAM module)
libpam-ccreds (10-8)
Pam module to cache authentication credentials
libpam-cgfs (1:4.0.6-2+deb11u2)
PAM module for managing cgroups for LXC
libpam-cgroup (0.41-11)
control and monitor control groups (PAM)
libpam-chroot (0.9-5)
Chroot Pluggable Authentication Module for PAM
libpam-cracklib (1.4.0-9+deb11u1)
PAM module to enable cracklib support
libpam-dev
本虛擬套件由這些套件填實: libpam0g-dev
libpam-doc (1.4.0-9+deb11u1)
Documentation of PAM
libpam-duo (1.11.3-1)
PAM module for Duo Security two-factor authentication
libpam-elogind (246.9.1-1+debian1)
elogind PAM module
libpam-encfs (0.1.4.4-13)
PAM module to automatically mount encfs filesystems on login
libpam-fprintd (1.90.9-1)
PAM module for fingerprint authentication through fprintd
libpam-freerdp2 (2.0.0-3)
PAM Module to auth against an RDP server using FreeRDPv2
libpam-freerdp2-dev (2.0.0-3)
PAM Module to auth against an RDP server using FreeRDPv2 (development files)
libpam-fscrypt (0.2.9-1+b6)
PAM module for Linux filesystem encryption
libpam-geoip (2.1.1-3)
PAM module checking access of source IPs with a GeoIP2 database
libpam-gnome-keyring (3.36.0-1)
PAM module to unlock the GNOME keyring upon login
libpam-google-authenticator (20191231-2)
Two-step verification
libpam-heimdal (4.9-2)
PAM module for Heimdal Kerberos
libpam-krb5 (4.9-2)
PAM module for MIT Kerberos
libpam-krb5-migrate-heimdal (0.0.11-5+b2 [amd64], 0.0.11-5+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PAM module for migrating to Heimdal Kerberos
libpam-krb5-migrate-mit (0.0.11-5+b2 [amd64], 0.0.11-5+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PAM module for migrating to MIT Kerberos
libpam-kwallet-common (5.20.5-1)
KWallet integration with PAM (common files)
libpam-kwallet5 (5.20.5-1)
KWallet (Kf5) integration with PAM
libpam-ldap (186-4+b1)
Pluggable Authentication Module for LDAP
libpam-ldap
本虛擬套件由這些套件填實: libpam-ldapd
libpam-ldapd (0.9.11-1)
PAM module for using LDAP as an authentication service
libpam-malcontent (0.10.0-2)
PAM module to control the time a user is spending on the computer
libpam-mkhomedir
本虛擬套件由這些套件填實: libpam-modules
libpam-mklocaluser (0.18)
Configure PAM to create a local user if it do not exist already
libpam-modules (1.4.0-9+deb11u1)
Pluggable Authentication Modules for PAM
libpam-modules-bin (1.4.0-9+deb11u1)
Pluggable Authentication Modules for PAM - helper binaries
libpam-motd
本虛擬套件由這些套件填實: libpam-modules
libpam-mount (2.18-1)
PAM module that can mount volumes for a user session
libpam-mount-bin (2.18-1)
PAM module that can mount volumes for a user session - helper
libpam-mysql (0.8.1-5)
PAM module interfacing with MySQL databases
libpam-net (0.3-1+b1 [amd64], 0.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
create/join network namespaces at login
libpam-oath (2.6.6-3)
OATH Toolkit libpam_oath PAM module
libpam-ocaml (1.1-5+b4 [armel, armhf], 1.1-5+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the PAM library (runtime)
libpam-ocaml-5syz3
本虛擬套件由這些套件填實: libpam-ocaml
libpam-ocaml-b9r57
本虛擬套件由這些套件填實: libpam-ocaml
libpam-ocaml-dev (1.1-5+b4 [armel, armhf], 1.1-5+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the PAM library (development files)
libpam-ocaml-dev-5syz3
本虛擬套件由這些套件填實: libpam-ocaml-dev
libpam-ocaml-dev-b9r57
本虛擬套件由這些套件填實: libpam-ocaml-dev
libpam-ocaml-dev-to138
本虛擬套件由這些套件填實: libpam-ocaml-dev
libpam-ocaml-dev-vagz2
本虛擬套件由這些套件填實: libpam-ocaml-dev
libpam-ocaml-to138
本虛擬套件由這些套件填實: libpam-ocaml
libpam-ocaml-vagz2
本虛擬套件由這些套件填實: libpam-ocaml
libpam-opensc
本虛擬套件由這些套件填實: libpam-p11
libpam-otpw (1.5-2+b1 [amd64], 1.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Use OTPW for PAM authentication
libpam-p11 (0.3.1-1)
PAM module for using PKCS#11 smart cards
libpam-passwdqc (1.4.0-1)
PAM module for password strength policy enforcement
libpam-pkcs11 (0.6.11-4)
Fully featured PAM module for using PKCS#11 smart cards
libpam-poldi (0.4.2+git20161115.553060d-1+b1)
PAM module allowing authentication using a OpenPGP smartcard
libpam-pwdfile (1.0-1+b2)
PAM module allowing authentication via an /etc/passwd-like file
libpam-pwquality (1.4.4-1)
PAM module to check password strength
libpam-python (1.0.9-2)
Enables PAM modules to be written in Python
libpam-python-doc (1.0.9-2)
Documentation for the bindings provided by libpam-python
libpam-radius-auth (2.0.0-1)
PAM RADIUS authentication module
libpam-runtime (1.4.0-9+deb11u1)
PAM函式庫執行時會用到的檔案
libpam-script (1.1.9-5+b1 [amd64], 1.1.9-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PAM module which allows executing a script
libpam-shield (0.9.6-1.3+b3 [mips64el], 0.9.6-1.3+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
locks out remote attackers trying password guessing
libpam-shishi (1.0.2-10)
PAM module for Shishi Kerberos v5
libpam-slurm (20.11.7+really20.11.4-2+deb11u1)
PAM module to authenticate using the SLURM resource manager
libpam-slurm-adopt (20.11.7+really20.11.4-2+deb11u1)
PAM module to authenticate users running a SLURM job and track their processes
libpam-snapper (0.8.15-1)
PAM module for Linux filesystem snapshot management tool
libpam-ssh (2.3+ds-2)
Authenticate using SSH keys
libpam-ssh-agent-auth (0.10.3-3+b1)
PAM Authentication via forwarded ssh-agent
libpam-sss (2.4.1-2)
Pam module for the System Security Services Daemon
libpam-systemd (247.3-7+deb11u4)
system and service manager - PAM module
libpam-tmpdir (0.09+b2)
automatic per-user temporary directories
libpam-u2f (1.1.0-1.1)
universal 2nd factor (U2F) PAM module
libpam-ufpidentity (1.0-1+b1)
PAM library for UFP identity
libpam-umask
本虛擬套件由這些套件填實: libpam-modules
libpam-winbind (2:4.13.13+dfsg-1~deb11u6) [security]
Windows domain authentication integration plugin
libpam-wrapper (1.1.3-1+b1)
Tool to test PAM applications
libpam-x2go (0.0.2.0-3)
PAM Module to check credentials against X2Go servers
libpam-x2go-dev (0.0.2.0-3)
PAM Module to check credentials against X2Go servers (development files)
libpam-yubico (2.26-1.1)
two-factor password and YubiKey OTP PAM module
libpam-zfs (2.0.3-9+deb11u1) [contrib]
PAM module for managing encryption keys for ZFS
libpam0g (1.4.0-9+deb11u1)
Pluggable Authentication Modules library
libpam0g-dev (1.4.0-9+deb11u1)
Development files for PAM
libpamtest0 (1.1.3-1+b1)
Library to test PAM modules
libpamtest0-dev (1.1.3-1+b1)
Library to test PAM modules
libpango-1.0-0 (1.46.2-3)
Layout and rendering of internationalized text
libpango-perl (1.227-3+b3)
Perl module to layout and render international text
libpango1.0-0 (1.46.2-3)
Layout and rendering of internationalized text (transitional package)
libpango1.0-dev (1.46.2-3)
Development files for the Pango
libpango1.0-doc (1.46.2-3)
Documentation files for the Pango
libpango1.0-udeb (1.46.2-3)
Layout and rendering of internationalized text - minimal runtime
libpango3.0-cil (2.99.3-4)
CLI binding for Pango
libpangocairo-1.0-0 (1.46.2-3)
Layout and rendering of internationalized text
libpangoft2-1.0-0 (1.46.2-3)
Layout and rendering of internationalized text
libpangomm-1.4-1v5 (2.42.1-1)
C++ Wrapper for pango (shared libraries)
libpangomm-1.4-dev (2.42.1-1)
C++ Wrapper for pango (development files)
libpangomm-1.4-doc (2.42.1-1)
C++ Wrapper for pango (documentation)
libpangox-1.0-0 (0.0.2-5.1)
pango library X backend
libpangox-1.0-dev (0.0.2-5.1)
pango library X backend - development files
libpangoxft-1.0-0 (1.46.2-3)
Layout and rendering of internationalized text
libpano13-3 (2.9.20~rc3+dfsg-1)
panorama tools library
libpano13-bin (2.9.20~rc3+dfsg-1)
panorama tools utilities
libpano13-dev (2.9.20~rc3+dfsg-1)
panorama tools library development files
libpantomime-clojure (2.1.0+dfsg-2)
Clojure library dealing with MIME types
libpantomime-dev (1.3.0+dfsg1-3+b1)
GNUstep framework for mail handling (development files)
libpantomime1.3 (1.3.0+dfsg1-3+b1)
GNUstep framework for mail handling (runtime library)
libpaper-dev (1.1.28+b1)
library for handling paper characteristics (development files)
libpaper-utils (1.1.28+b1)
library for handling paper characteristics (utilities)
libpaper1 (1.1.28+b1)
library for handling paper characteristics
libpaperclips-java (1.0.4-3)
Simplified Java Printing Support for SWT
libpaperclips-java-doc (1.0.4-3)
Documentation for libpaperclips-java
libpaperg
本虛擬套件由這些套件填實: libpaper1
libpaperg-dev
本虛擬套件由這些套件填實: libpaper-dev
libpapi-dev (6.0.0~dfsg-2)
PAPI development files (headers and API documentation)
libpapi6.0 (6.0.0~dfsg-2)
PAPI runtime (shared libraries)
libpappl-dev (1.0.1-2)
C-based framework/library for developing CUPS Printer Applications - Headers
libpappl1 (1.0.1-2)
C-based framework/library for developing CUPS Printer Applications
libpappsomspp-dev (0.8.15-1+b1)
C++ library to handle mass spectrometry data (development files)
libpappsomspp-doc (0.8.15-1)
C++ library to handle mass spectrometry data (developer documentation)
libpappsomspp-widget-dev (0.8.15-1+b1)
C++ library to handle mass spectrometry data (GUI development files)
libpappsomspp-widget0 (0.8.15-1+b1)
C++ library to handle mass spectrometry data (GUI runtime)
libpappsomspp0 (0.8.15-1+b1)
C++ library to handle mass spectrometry data (non-GUI runtime)
libpaps-dev (0.6.8-7.1+b1)
Development files for libpaps
libpaps0 (0.6.8-7.1+b1)
UTF-8 to PostScript converter library using Pango
libpapyrus3-dev (3.7.1+dfsg-4)
DICOM compatible file format library
libpaq-dev (1.0.5-4+b2)
library for parsing and querying RDF data (header files)
libpaq0 (1.0.5-4+b2)
library for parsing and querying RDF data
libpar-dist-perl (0.51-1)
perl module to create and manipulate PAR distributions
libpar-packer-perl (1.052-1+b1)
utility for creating PAR archives and stand-alone executables
libpar-perl (1.017-1)
Perl redistributable module packaging framework
libparaglob-dev (0.5.0-1)
library that matches a string against a large list of patterns
libparallel-forkmanager-perl (2.02-1)
simple parallel processing fork manager for Perl
libparallel-iterator-perl (1.00-2.1)
Simple parallel execution
libparallel-prefork-perl (0.17-1.1)
simple prefork server framework
libparallel-runner-perl (0.013-2.1)
Perl module to manage running things in parallel processes
libparams-callbackrequest-perl (1.20-2.1)
handler for functional and object-oriented callbacks in Perl
libparams-check-perl (= 0.38)
本虛擬套件由這些套件填實: perl
libparams-classify-perl (0.015-1+b3)
Perl module for argument type classification
libparams-coerce-perl (0.15-1)
Perl module to permit parameter coercion for classes
libparams-util-perl (1.102-1+b1)
Perl extension for simple stand-alone param checking functions
libparams-validate-perl (1.30-1+b1)
Perl module to validate parameters to Perl method/function calls
libparams-validationcompiler-perl (0.30-1)
module to build an optimized subroutine parameter validator
libparanamer-java (2.8-5)
Java library to access method parameter names at runtime
libparanamer-java-doc (2.8-5)
Java library to access method parameter names at runtime -- docs
libparanamer-maven-plugin-java (2.8-5)
Paranamer Maven Plugin
libparanoid-perl (2.08-1)
module for safer, more secure programming
libparasail-dev (2.4.3+dfsg-2)
Development heaaders and static libraries for parasail
libparasail3 (2.4.3+dfsg-2)
Lib with various pairwise sequence alignment algorithms
libparboiled-java (1.1.7-2.1)
Parser library based on Parsing Expression Grammars (PEGs)
libparent-perl (= 0.238)
本虛擬套件由這些套件填實: perl
libpari-dev (2.13.1-1)
PARI/GP Computer Algebra System development files
libpari-gmp-tls7 (2.13.1-1)
PARI/GP Computer Algebra System shared library
libpari-gmp-tls7-dev
本虛擬套件由這些套件填實: libpari-dev
libparlatype-dev (2.1-1)
Library for Parlatype - development version
libparlatype-doc (2.1-1)
Documentation files for the Parlatype library
libparlatype3 (2.1-1)
Library for Parlatype - runtime version
libparmap-ocaml (1.2-1)
small OCaml library allowing to exploit multicore architectures (runtime)
libparmap-ocaml-13p60
本虛擬套件由這些套件填實: libparmap-ocaml
libparmap-ocaml-dev (1.2-1)
small OCaml library allowing to exploit multicore architectures (development)
libparmap-ocaml-dev-13p60
本虛擬套件由這些套件填實: libparmap-ocaml-dev
libparmap-ocaml-dev-dmat1
本虛擬套件由這些套件填實: libparmap-ocaml-dev
libparmap-ocaml-dev-fdrx9
本虛擬套件由這些套件填實: libparmap-ocaml-dev
libparmap-ocaml-dev-shr06
本虛擬套件由這些套件填實: libparmap-ocaml-dev
libparmap-ocaml-dmat1
本虛擬套件由這些套件填實: libparmap-ocaml
libparmap-ocaml-fdrx9
本虛擬套件由這些套件填實: libparmap-ocaml
libparmap-ocaml-shr06
本虛擬套件由這些套件填實: libparmap-ocaml
libparmetis-dev (4.0.3-5+b1) [non-free]
Parallel Graph Partitioning and Sparse Matrix Ordering Libs: Devel
libparmetis4.0 (4.0.3-5+b1) [non-free]
Parallel Graph Partitioning and Sparse Matrix Ordering Shared Libs
libparpack2 (3.8.0-1)
Parallel subroutines to solve large scale eigenvalue problems
libparpack2-dev (3.8.0-1)
Parallel subroutines to solve large scale eigenvalue problems (development)
libparse-bbcode-perl (0.15-1.1)
Perl module to parse BBCode and render it as HTML or text
libparse-binary-perl (0.11-1.1)
Binary data structure parser
libparse-cpan-meta-perl (= 2.150010)
本虛擬套件由這些套件填實: perl
libparse-cpan-packages-perl (2.40-1.1)
module to parse the CPAN Packages file
libparse-debcontrol-perl (2.005-4.1)
parser for debian control-like files
libparse-debian-packages-perl (0.03-2.1)
module for parsing the data from a Debian Packages.gz
libparse-dia-sql-perl (0.31-1)
module for parsing Dia diagram files and generating SQL
libparse-dmidecode-perl (0.03-2.1)
interface to SMBIOS using dmidecode
libparse-edid-perl (1.0.7-1.1)
extended display identification data (EDID) parser
libparse-errorstring-perl-perl (0.27-2)
module for parsing error messages from the Perl interpreter
libparse-exuberantctags-perl (1.02-1+b10 [s390x], 1.02-1+b8 [amd64, arm64, armel, armhf, i386, mipsel], 1.02-1+b7 [mips64el, ppc64el])
exuberant ctags parser for Perl
libparse-fixedlength-perl (5.39-2)
Perl module to parse a string containing fixed length fields
libparse-http-useragent-perl (0.42-1)
parser for the HTTP User Agent string
libparse-mediawikidump-perl (1.0.6-2)
Perl module to parse MediaWiki dump files
libparse-method-signatures-perl (1.003019-1)
Perl module to parse Perl6-like method signatures
libparse-mime-perl (1.003-2)
parse mime-types, match against media ranges
libparse-nessus-nbe-perl (1.1-1.1)
module to extract specific data from Nessus NBE files
libparse-netstat-perl (0.14-1.1)
module to parse the output of the "netstat" command
libparse-plainconfig-perl (3.05-1.1)
parser for plain-text configuration files
libparse-pmfile-perl (0.43-1)
module to parse .pm file as PAUSE does
libparse-recdescent-perl (1.967015+dfsg-2)
Perl module to create and use recursive-descent parsers
libparse-syslog-perl (1.10-3.1)
perl module for parsing syslog entries
libparse-win32registry-perl (1.1-1)
Perl module for parsing Windows registry files
libparse-yapp-perl (1.21-2)
Perl module for creating fully reentrant LALR parser OO Perl modules
libparser-mgc-perl (0.16-1.1)
builder for simple recursive-descent parsers
libparsexp-ocaml (0.14.0-1+b1)
S-expression parsing library (runtime)
libparsexp-ocaml-dev (0.14.0-1+b1)
S-expression parsing library (development)
libparsexp-ocaml-dev-k7hi3
本虛擬套件由這些套件填實: libparsexp-ocaml-dev
libparsexp-ocaml-dev-ly2s4
本虛擬套件由這些套件填實: libparsexp-ocaml-dev
libparsexp-ocaml-dev-n2j13
本虛擬套件由這些套件填實: libparsexp-ocaml-dev
libparsexp-ocaml-dev-zfst7
本虛擬套件由這些套件填實: libparsexp-ocaml-dev
libparsexp-ocaml-k7hi3
本虛擬套件由這些套件填實: libparsexp-ocaml
libparsexp-ocaml-ly2s4
本虛擬套件由這些套件填實: libparsexp-ocaml
libparsexp-ocaml-n2j13
本虛擬套件由這些套件填實: libparsexp-ocaml
libparsexp-ocaml-zfst7
本虛擬套件由這些套件填實: libparsexp-ocaml
libparsington-java (1.0.1-1.1)
mathematical expression parser for Java
libparsington-java-doc (1.0.1-1.1)
documentation for parsington
libparsley-clojure (0.9.3-2)
DSL for creating total and truly incremental parsers in Clojure
libparted
本虛擬套件由這些套件填實: libparted2
libparted-dev (3.4-1)
disk partition manipulator - development files
libparted-fs-resize0 (3.4-1)
disk partition manipulator - shared FS resizing library
libparted-fs-resize0-udeb (3.4-1)
disk partition manipulator - FS resizing library udeb
libparted-i18n (3.4-1)
disk partition manipulator - i18n support
libparted2 (3.4-1)
disk partition manipulator - shared library
libparted2-udeb (3.4-1)
disk partition manipulator - library udeb
libparyfor-dev (0.1-3)
Parallel_for implementation based on atomic queues
libpasswd-unix-perl (0.700-1.1)
object-oriented and function interface to standard Unix files
libpasswdqc-dev (1.4.0-1)
password checking and policy enforcement library (devel)
libpasswdqc0 (1.4.0-1)
password strength checking and policy enforcement library
libpath-class-file-stat-perl (0.05-1.1)
cache of stat calls for a Path::Class::File object
libpath-class-perl (0.37-1)
module for cross-platform path specification manipulation
libpath-dispatcher-perl (1.08-2)
flexible and extensible dispatcher module
libpath-finddev-perl (0.5.3-1)
Perl module to find a development source tree somewhere in an upper hierarchy
libpath-isdev-perl (1.001003-1)
Perl module to determine if a given Path resembles a development source tree
libpath-iter-perl (0.2-1.1)
module for simple efficient path iteration
libpath-iterator-rule-perl (1.014-1)
iterative, recursive file finder
libpath-router-perl (0.15-1.1)
tool for routing paths
libpath-tiny-perl (0.118-1)
file path utility
libpath-utils-dev (0.6.1-2)
Development files for libpath_utils
libpath-utils1 (0.6.1-2)
Filesystem Path Utilities
libpathetic-clojure (0.5.1-2)
Simple unix-style path manipulation
libpathplan4 (2.42.2-5)
rich set of graph drawing tools - pathplan library
libpbbam-dev (1.6.0+dfsg-2)
Pacific Biosciences binary alignment/map (BAM) library (headers)
libpbbam1.6.0 (1.6.0+dfsg-2)
Pacific Biosciences binary alignment/map (BAM) library
libpbcopper-dev (1.8.0+dfsg-2+b1)
data structures, algorithms, and utilities for C++ applications -- header files
libpbcopper1.8.0 (1.8.0+dfsg-2+b1)
data structures, algorithms, and utilities for C++ applications
libpbdata-dev (5.3.4+dfsg-3)
tools for handling PacBio sequences (development files)
libpbihdf-dev (5.3.4+dfsg-3)
tools for handling PacBio hdf5 files (development files)
libpbkdf2-tiny-perl (0.005-2.1)
Minimalist PBKDF2 (RFC 2898) with HMAC-SHA1 or HMAC-SHA2
libpbseq (5.3.4+dfsg-3)
library for analyzing PacBio sequencing data
libpbseq-dev (5.3.4+dfsg-3)
library for analyzing PacBio sequencing data (development files)
libpcap-dev (1.10.0-2)
development library for libpcap (transitional package)
libpcap0.8 (1.10.0-2)
system interface for user-level packet capture
libpcap0.8-dbg (1.10.0-2)
debugging symbols for libpcap0.8
libpcap0.8-dev (1.10.0-2)
development library and header files for libpcap0.8
libpcaudio-dev (1.1-6)
C API to different audio devices - development files
libpcaudio0 (1.1-6)
C API to different audio devices - shared library
libpcc-dev (1.2.0~DEVEL+20200614-1)
Portable C Compiler (development files)
libpcg-cpp-dev (0.98.1-2)
compile-time library for PCG random number generation
libpci-dev (1:3.7.0-5)
PCI utilities (development files)
libpci3 (1:3.7.0-5)
PCI utilities (shared library)
libpci3-udeb (1:3.7.0-5)
PCI Utilities (udeb shared library)
libpciaccess-dev (0.16-1)
Generic PCI access library for X - development files
libpciaccess0 (0.16-1)
Generic PCI access library for X
libpciaccess0-udeb (0.16-1)
Generic PCI access library for X
libpcl-apps1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - apps library
libpcl-common1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - common library
libpcl-conversions-dev (1.7.2-3)
Robot OS library to convert from/to PCL data types
libpcl-dev (1.11.1+dfsg-1+b1)
Point Cloud Library - development files
libpcl-doc (1.11.1+dfsg-1)
Point Cloud Library - documentation
libpcl-features1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - features library
libpcl-filters1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - filters library
libpcl-io1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - I/O library
libpcl-kdtree1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - kdtree library
libpcl-keypoints1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - keypoints library
libpcl-ml1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - ml library
libpcl-msgs-dev (0.3.0-2)
C/C++ headers for PCL-related Robot OS Messages
libpcl-octree1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - octree library
libpcl-outofcore1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - outofcore library
libpcl-people1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - people library
libpcl-recognition1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - recognition library
libpcl-registration1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - registration library
libpcl-ros-dev (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- development headers
libpcl-ros-features0d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- features library
libpcl-ros-filter1d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- filter library
libpcl-ros-filters0d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- filters library
libpcl-ros-io0d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- io library
libpcl-ros-segmentation0d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- segmentation library
libpcl-ros-surface0d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL --surface library
libpcl-ros-tf1d (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- tf library
libpcl-sample-consensus1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - sample consensus library
libpcl-search1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - search library
libpcl-segmentation1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - segmentation library
libpcl-stereo1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - stereo library
libpcl-surface1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - surface library
libpcl-tracking1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - tracking library
libpcl-visualization1.11 (1.11.1+dfsg-1+b1)
Point Cloud Library - visualization library
libpcl1 (1.12-2)
Portable Coroutine Library (PCL)
libpcl1-dev (1.12-2)
Portable Coroutine Library (PCL), development files
libpcp-gui2 (5.2.6-1)
Performance Co-Pilot graphical client tools library
libpcp-gui2-dev (5.2.6-1)
Performance Co-Pilot graphical client tools library and headers
libpcp-import-perl (5.2.6-1)
Performance Co-Pilot log import Perl module
libpcp-import1 (5.2.6-1)
Performance Co-Pilot data import library
libpcp-import1-dev (5.2.6-1)
Performance Co-Pilot data import library and headers
libpcp-logsummary-perl (5.2.6-1)
Performance Co-Pilot historical log summary module
libpcp-mmv-perl (5.2.6-1)
Performance Co-Pilot Memory Mapped Value Perl module
libpcp-mmv1 (5.2.6-1)
Performance Co-Pilot Memory Mapped Value client library
libpcp-mmv1-dev (5.2.6-1)
Performance Co-Pilot Memory Mapped Value library and headers
libpcp-pmda-perl (5.2.6-1)
Performance Co-Pilot Domain Agent Perl module
libpcp-pmda3 (5.2.6-1)
Performance Co-Pilot Domain Agent library
libpcp-pmda3-dev (5.2.6-1)
Performance Co-Pilot Domain Agent library and headers
libpcp-trace2 (5.2.6-1)
Performance Co-Pilot application tracing library
libpcp-trace2-dev (5.2.6-1)
Performance Co-Pilot application tracing library and headers
libpcp-web1 (5.2.6-1)
Performance Co-Pilot data import library
libpcp-web1-dev (5.2.6-1)
Performance Co-Pilot web tooling
libpcp3 (5.2.6-1)
Performance Co-Pilot library
libpcp3-dev (5.2.6-1)
Performance Co-Pilot library and headers
libpcre++-dev (0.9.5-6.1+b11)
C++ wrapper class for pcre (development)
libpcre++0v5 (0.9.5-6.1+b11)
C++ wrapper class for pcre (runtime)
libpcre-ocaml (7.4.6-1+b1)
OCaml bindings for PCRE (runtime)
libpcre-ocaml-4fcv9
本虛擬套件由這些套件填實: libpcre-ocaml
libpcre-ocaml-dev (7.4.6-1+b1)
OCaml bindings for PCRE (Perl Compatible Regular Expression)
libpcre-ocaml-dev-4fcv9
本虛擬套件由這些套件填實: libpcre-ocaml-dev
libpcre-ocaml-dev-j1e23
本虛擬套件由這些套件填實: libpcre-ocaml-dev
libpcre-ocaml-dev-l5rk6
本虛擬套件由這些套件填實: libpcre-ocaml-dev
libpcre-ocaml-dev-nn9p1
本虛擬套件由這些套件填實: libpcre-ocaml-dev
libpcre-ocaml-j1e23
本虛擬套件由這些套件填實: libpcre-ocaml
libpcre-ocaml-l5rk6
本虛擬套件由這些套件填實: libpcre-ocaml
libpcre-ocaml-nn9p1
本虛擬套件由這些套件填實: libpcre-ocaml
libpcre16-3 (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - 16 bit runtime files
libpcre2-16-0 (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library - 16 bit runtime files
libpcre2-32-0 (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library - 32 bit runtime files
libpcre2-8-0 (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library- 8 bit runtime files
libpcre2-8-0-udeb (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library- 8 bit runtime files (udeb)
libpcre2-dev (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library - development files
libpcre2-posix2 (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library - posix-compatible runtime files
libpcre3 (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - runtime files
libpcre3-dbg (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - debug symbols
libpcre3-dev (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - development files
libpcre3-udeb (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - runtime files (udeb)
libpcre32-3 (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - 32 bit runtime files
libpcrecpp0v5 (2:8.39-13)
Old Perl 5 Compatible Regular Expression Library - C++ runtime files
libpcsc-perl (1.4.14-5+b1)
Perl interface to the PC/SC smart card library
libpcscada0.7.6 (0.7.6-2)
Ada bindings to PC/SC middleware
libpcscada8-dev (0.7.6-2)
Ada bindings to PC/SC middleware (development)
libpcsclite-dev (1.9.1-1)
Middleware to access a smart card using PC/SC (development files)
libpcsclite1 (1.9.1-1)
Middleware to access a smart card using PC/SC (library)
libpdal-base12 (2.2.0+ds-1+b1)
Point Data Abstraction Library - libpdal_base
libpdal-dev (2.2.0+ds-1+b1)
Point Data Abstraction Library - development files
libpdal-plugin-e57 (2.2.0+ds-1+b1)
Point Data Abstraction Library - E57 plugin
libpdal-plugin-faux (2.2.0+ds-1+b1)
Point Data Abstraction Library - Faux plugin
libpdal-plugin-hdf (2.2.0+ds-1+b1)
Point Data Abstraction Library - HDF plugin
libpdal-plugin-i3s (2.2.0+ds-1+b1)
Point Data Abstraction Library - I3S plugin
libpdal-plugin-icebridge (2.2.0+ds-1+b1)
Point Data Abstraction Library - Icebridge plugin
libpdal-plugin-pgpointcloud (2.2.0+ds-1+b1)
Point Data Abstraction Library - PostgreSQL PointCloud plugin
libpdal-plugins (2.2.0+ds-1+b1)
Point Data Abstraction Library - plugins
libpdal-util12 (2.2.0+ds-1+b1)
Point Data Abstraction Library - libpdal_util
libpdb-redo-dev (1.0.2-2)
Development files for libpdb-redo
libpdb-redo1 (1.0.2-2)
Library file for libpdb-redo
libpdbg-dev (3.0-1+b2)
PowerPC FSI Debugger library (development)
libpdbg4 (3.0-1+b2)
PowerPC FSI Debugger library (runtime)
libpdf-api2-perl (2.038-3)
module for creating or modifying PDF documents in Perl
libpdf-api2-simple-perl (1.1.4u-3.1)
simple interface for manipulating PDF files
libpdf-api2-xs-perl (1.002-1)
optional PDF::API2 add-on using XS to speed up expensive operations
libpdf-builder-perl (3.021-2)
Facilitates the creation and modification of PDF files
libpdf-create-perl (1.46-1)
Perl module to create PDF files
libpdf-fdf-simple-perl (0.21-3)
Perl module to read and write (Acrobat) FDF files
libpdf-report-perl (1.36-1.1)
simplified PDF report generator
libpdf-reuse-barcode-perl (0.07-1.1)
Create barcodes for PDF documents with PDF::Reuse
libpdf-reuse-perl (0.39-1.1)
Reuse and mass produce PDF documents
libpdf-table-perl (1:1.002-1)
utility class for building table layouts in a PDF::API2 object
libpdf-writer-perl (0.06-1.1)
PDF writer abstraction layer
libpdfbox-java (1:1.8.16-2)
PDF library for Java
libpdfbox-java-doc (1:1.8.16-2)
PDF library for Java (documentation)
libpdfbox2-java (2.0.23-1)
PDF library for Java
libpdfbox2-java-doc (2.0.23-1)
PDF library for Java (documentation)
libpdfrenderer-java (0.9.0-1.1)
Java PDF renderer and viewer
libpdl-ccs-perl (1.23.13-1)
module to provide various utilities for PDL CSS
libpdl-graphics-gnuplot-perl (2.013-2)
gnuplot-based plotting backend for PDL
libpdl-io-hdf5-perl (1:0.73-6)
PDL Interface to the HDF5 Data Format
libpdl-io-matlab-perl (0.005-5+b1)
PDL support to read/write Matlab format data files
libpdl-linearalgebra-perl (0.14-1+b1)
Linear Algebra utils for PDL
libpdl-netcdf-perl (4.20-7)
Netcdf-IO for PDL
libpdl-stats-perl (0.76-1)
collection of statistics modules in Perl Data Language
libpdl-vectorvalued-perl (1.0.9-2)
module to provide some utilities for vector-valued PDLs
libpe-rules26 (2.0.5-2)
cluster resource manager Policy Engine rules library
libpe-status28 (2.0.5-2)
cluster resource manager Policy Engine status library
libpeas-1.0-0 (1.28.0-2+b1)
Application plugin library
libpeas-common (1.28.0-2)
Application plugin library (common files)
libpeas-dev (1.28.0-2+b1)
Application plugin library (development files)
libpeas-doc (1.28.0-2+b1)
Application plugin library (documentation)
libpeasd-3-0 (3.9.0-4)
GTK+ application plugin library - D bindings
libpeasd-3-dev (3.9.0-4)
GTK+ application plugin library - development files for D
libpegdown-java (1.6.0-1.1)
Lightweight Markdown processing library
libpegex-perl (0.75-1)
Acmeist PEG Parser Framework
libpentaho-reporting-flow-engine-java (0.9.4-5.1)
report library for java
libpentaho-reporting-flow-engine-java-doc (0.9.4-5.1)
report library for java documentation
libpeony
本虛擬套件由這些套件填實: libpeony3
libpeony-dev (3.0.6-1+b1)
libraries for Peony components (development files)
libpeony3 (3.0.6-1+b1)
libraries for Peony components
libperfmark-java (0.21.0+ds-2)
High Performance Tracing Library for Java
libperformance-dev (0.5.0-1+b4 [s390x], 0.5.0-1+b3 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GNUstep performance library (development files)
libperformance0.5 (0.5.0-1+b4 [s390x], 0.5.0-1+b3 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GNUstep performance library (runtime library)
libperformance0.5-dbg (0.5.0-1+b4 [s390x], 0.5.0-1+b3 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
GNUstep performance library (debugging symbols)
libperinci-cmdline-perl (1.811.0-1)
Rinci/Riap-based command-line application framework
libperinci-object-perl (0.311-1)
module that provides a object-oriented interface for Rinci
libperinci-sub-normalize-perl (0.200-1)
Perl module to normalize Rinci function metadata
libperinci-sub-util-perl (0.470-1)
Perl module that is a helper to write functions
libperinci-sub-util-propertymodule-perl (0.46-1)
module to detect which property modules are used with Perinci
libperl-critic-freenode-perl (0.033-1)
Perl::Critic policies inspired by #perl on freenode IRC
libperl-critic-perl (1.138-2)
Perl module to critique code for best practices
libperl-critic-policy-variables-prohibitlooponhash-perl (0.008-1)
Perl::Critic policy to avoid writing loops on hashes
libperl-critic-pulp-perl (99-1)
add-on policies for Perl::Critic
libperl-destruct-level-perl (0.02-2+b10 [s390x], 0.02-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.02-2+b7 [mips64el, ppc64el])
Perl module to change Perl's destruction level
libperl-dev (5.32.1-4+deb11u3)
Perl library: development files
libperl-metrics-simple-perl (0.19-1)
module to provide metrics of Perl code
libperl-minimumversion-fast-perl (0.18-2)
Find a minimum required version of perl for Perl code
libperl-minimumversion-perl (1.38-2)
module to determine minimum required version of perl required
libperl-osnames-perl (0.122-1)
Perl module to list possible $^O ($OSNAME) values with description
libperl-ostype-perl (= 1.010)
本虛擬套件由這些套件填實: perl
libperl-prereqscanner-notquitelite-perl (0.9913-1)
Perl module for scanning Perl code for prerequisites
libperl-prereqscanner-perl (1.023-1)
module for extracting prerequisites from Perl code
libperl-version-perl (1.013-2)
module to parse and manipulate Perl version strings
libperl4-corelibs-perl (0.004-2)
libraries historically supplied with Perl 4
libperl4caml-ocaml (0.9.5-5.1)
Use Perl code in OCaml programs, runtime library
libperl4caml-ocaml-509k6
本虛擬套件由這些套件填實: libperl4caml-ocaml
libperl4caml-ocaml-dev (0.9.5-5.1)
Use Perl code in OCaml programs, development files
libperl4caml-ocaml-dev-509k6
本虛擬套件由這些套件填實: libperl4caml-ocaml-dev
libperl4caml-ocaml-dev-nkjk1
本虛擬套件由這些套件填實: libperl4caml-ocaml-dev
libperl4caml-ocaml-dev-nwa25
本虛擬套件由這些套件填實: libperl4caml-ocaml-dev
libperl4caml-ocaml-dev-wkff2
本虛擬套件由這些套件填實: libperl4caml-ocaml-dev
libperl4caml-ocaml-doc (0.9.5-5.1)
Use Perl code in OCaml programs, documentation
libperl4caml-ocaml-nkjk1
本虛擬套件由這些套件填實: libperl4caml-ocaml
libperl4caml-ocaml-nwa25
本虛擬套件由這些套件填實: libperl4caml-ocaml
libperl4caml-ocaml-wkff2
本虛擬套件由這些套件填實: libperl4caml-ocaml
libperl5.32 (5.32.1-4+deb11u3)
shared Perl library
libperl6-caller-perl (0.100-3.1)
Perl6-like OO caller() interface for Perl 5
libperl6-export-attrs-perl (0.000006-1)
Perl 6 'is export(...)' trait as a Perl 5 attribute
libperl6-export-perl (0.009-1.1)
implementation of the Perl 6 'is export(...)' trait
libperl6-form-perl (0.090-1.1)
Perl 5 implementation of the Perl 6 'form' built-in
libperl6-junction-perl (1.60000-1.1)
module providing Perl6-style Junction operators in Perl5
libperl6-say-perl (0.16-1)
module to print without newline needed
libperl6-slurp-perl (0.051005-1.1)
module implementing the Perl 6 'slurp' built-in
libperlanet-perl (2.0.5-1)
program for creating programs that aggregate web feeds
libperlbal-perl (1.80-3.1)
Perlbal libraries; embed perlbal into another app
libperlbal-xs-httpheaders-perl (0.20-2+b12 [s390x], 0.20-2+b10 [amd64, armel, armhf, i386, mipsel], 0.20-2+b8 [arm64, ppc64el], 0.20-2+b7 [mips64el])
Perlbal extension for processing HTTP headers faster
libperldoc-search-perl (0.01-3.1)
Index and Search local Perl Documentation
libperlio-eol-perl (0.17-1+b3)
PerlIO layer for normalizing line endings
libperlio-gzip-perl (0.19-1+b7)
module providing a PerlIO layer to gzip/gunzip
libperlio-layers-perl (0.012-2)
Perl module to query a filehandle's capabilities
libperlio-utf8-strict-perl (0.008-1+b1)
fast and correct UTF-8 Perl IO module
libperlio-via-dynamic-perl (0.14-1.1)
module to create dynamic PerlIO layers
libperlio-via-symlink-perl (0.05-3.1)
PerlIO layer for creating symlinks
libperlio-via-timeout-perl (0.32-1)
PerlIO layer that adds read & write timeout to a handle
libperlmenu-perl (4.0-5.1)
Menu and Template (curses-based) UI for Perl
libperlx-assert-perl (0.905-1)
yet another assertion keyword
libperlx-define-perl (0.101-4)
cute syntax for defining constants
libperlx-maybe-perl (1.201-1.1)
return a pair only if they are both defined
libperlx-maybe-xs-perl (1.001-2+b10 [s390x], 1.001-2+b8 [amd64, armel, armhf, i386, mipsel], 1.001-2+b7 [arm64, mips64el, ppc64el])
XS backend for PerlX::Maybe
libpetal-perl (2.26-1)
Perl Template Attribute Language - TAL for perl
libpetal-utils-perl (0.06-4)
Useful template modifiers for Petal
libpetsc-complex-dev (3.14.5+dfsg1-4)
Virtual package depending on latest PETSc development package
libpetsc-complex3.14 (3.14.5+dfsg1-4)
Shared libraries for version 3.14 of PETSc with Complex Numbers
libpetsc-complex3.14-dbg (3.14.5+dfsg1-4)
Debugging libraries for PETSc
libpetsc-complex3.14-dev (3.14.5+dfsg1-4)
Static libraries, shared links, header files for PETSc
libpetsc-real-dev (3.14.5+dfsg1-4)
Virtual package depending on latest PETSc development package
libpetsc-real3.14 (3.14.5+dfsg1-4)
Shared libraries for version 3.14 of PETSc
libpetsc-real3.14-dbg (3.14.5+dfsg1-4)
Debugging libraries for PETSc
libpetsc-real3.14-dev (3.14.5+dfsg1-4)
Static libraries, shared links, header files for PETSc
libpetsc3.14
本虛擬套件由這些套件填實: libpetsc-real3.14
libpetsc3.14-dev-common (3.14.5+dfsg1-4)
Common header and support dev files for PETSc
libpetsc3.14-dev-examples (3.14.5+dfsg1-4)
Static libraries, shared links, header files for PETSc
libpetsc64-3.14
本虛擬套件由這些套件填實: libpetsc64-real3.14
libpetsc64-complex-dev (3.14.5+dfsg1-4)
Latest 64-bit PETSc development package with complex numbers
libpetsc64-complex3.14 (3.14.5+dfsg1-4)
Shared libraries for version 3.14 of 64-bit PETSc with Complex Numbers
libpetsc64-complex3.14-dbg (3.14.5+dfsg1-4)
Debugging libraries for 64-bit PETSc with Complex Numbers
libpetsc64-complex3.14-dev (3.14.5+dfsg1-4)
Development files for 64-bit PETSc with complex numbers
libpetsc64-real-dev (3.14.5+dfsg1-4)
Virtual package depending on latest 64-bit PETSc development package
libpetsc64-real3.14 (3.14.5+dfsg1-4)
Shared libraries for version 3.14 of 64-bit PETSc
libpetsc64-real3.14-dbg (3.14.5+dfsg1-4)
Debugging libraries for PETSc
libpetsc64-real3.14-dev (3.14.5+dfsg1-4)
Static libraries, shared links, header files for 64-bit PETSc
libpff-dev (20180714-3)
library to access various ms outlook files formats (development)
libpff1 (20180714-3)
library to access various ms outlook files formats
libpfm4 (4.11.1+git32-gd0b85fb-1)
Library to program the performance monitoring events
libpfm4-dev (4.11.1+git32-gd0b85fb-1)
Development files for the libpfm4 library
libpfqueue-dev (0.5.6-9+b3)
interactive console-based tool to control MTA queues (development)
libpfqueue0 (0.5.6-9+b3)
interactive console-based tool to control MTA queues (library)
libpg-hstore-perl (1.06-3+b1)
Perl module for working with PostgreSQLs HSTORE data type
libpg-java
本虛擬套件由這些套件填實: libpostgresql-jdbc-java
libpg-java-doc
本虛擬套件由這些套件填實: libpostgresql-jdbc-java-doc
libpg-perl (1:2.1.1-9+b1)
PostgreSQL interface for Perl
libpgf-dev (6.14.12-3.2+b1)
Progressive Graphics File (PGF) library - development files
libpgf6 (6.14.12-3.2+b1)
Progressive Graphics File (PGF) library - runtime files
libpgf6-dbg (6.14.12-3.2+b1)
Progressive Graphics File (PGF) library - debugging symbols
libpgjava
本虛擬套件由這些套件填實: libpostgresql-jdbc-java
libpgm-5.3-0 (5.3.128~dfsg-2)
OpenPGM shared library
libpgm-dev (5.3.128~dfsg-2)
OpenPGM development files
libpgobject-perl (2.2.0-1)
base class for PG Object subclasses
libpgobject-simple-perl (3.000002-1.1)
minimalist stored procedure mapper based on LedgerSMB's DBObject
libpgobject-simple-role-perl (2.000002-1.1)
Moo/Moose mappers for minimalist PGObject framework
libpgobject-type-bigfloat-perl (2.001-1)
Math::BigFloat wrappers for PGObject classes
libpgobject-type-bytestring-perl (1.2.3-1)
wrapper for raw strings mapping to BYTEA columns
libpgobject-type-datetime-perl (2.000002-1)
DateTime Wrappers for PGObject
libpgobject-type-json-perl (2.000001-2)
JSON wrappers for PGObject
libpgobject-util-dbadmin-perl (1.4.0-1)
PostgreSQL Database Management Facilities for PGObject
libpgobject-util-dbchange-perl (0.050.4-1)
module to track applied change files in the PostgreSQL database
libpgobject-util-dbmethod-perl (1.00.003-1)
object mappings for the PGObject Framework
libpgobject-util-pseudocsv-perl (2-1.1)
PostgreSQL text representations parser
libpgocaml-ocaml (2.3-1+b9 [amd64], 2.3-1+b8 [arm64, armel, armhf, i386], 2.3-1+b7 [mips64el, mipsel, ppc64el, s390x])
OCaml type-safe bindings for PostgreSQL (runtime)
libpgocaml-ocaml-7jkq1
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgocaml-ocaml-bava8
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgocaml-ocaml-dev (2.3-1+b9 [amd64], 2.3-1+b8 [arm64, armel, armhf, i386], 2.3-1+b7 [mips64el, mipsel, ppc64el, s390x])
OCaml type-safe bindings for PostgreSQL (development)
libpgocaml-ocaml-dev-7jkq1
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-dev-bava8
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-dev-hlxt2
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-dev-lsol2
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-dev-n2hf2
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-dev-vihk4
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-dev-warc2
本虛擬套件由這些套件填實: libpgocaml-ocaml-dev
libpgocaml-ocaml-hlxt2
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgocaml-ocaml-lsol2
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgocaml-ocaml-n2hf2
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgocaml-ocaml-vihk4
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgocaml-ocaml-warc2
本虛擬套件由這些套件填實: libpgocaml-ocaml
libpgp-sign-perl (1.04-1)
Perl module to create detached PGP signatures
libpgplot-perl (1:2.24-1+b2)
perl interface to the pgplot plotting library
libpgplot0 (1.2.0-1)
PGPLOT replacement shared lib based on giza
libpgpool-dev (4.1.4-3)
pgpool control protocol library - headers
libpgpool0 (4.1.4-3)
pgpool control protocol library
libpgraphutil-smlnj (110.79-6)
Portable graph utility library for SML Compilation Manager
libpgsbox7 (7.4+ds-2)
Draw and label curvilinear coordinate grids with pgplot
libpgtcl (1:2.7.5-1)
Tcl client library binding for PostgreSQL
libpgtcl-dev (1:2.7.5-1)
Tcl client library binding for PostgreSQL - development files
libpgtypes3 (13.14-0+deb11u1) [security]
shared library libpgtypes for PostgreSQL 13
libphat-dev (1.6-2)
C++ library for boundary matrix reductions over Z/2Z
libphobos-dev
本虛擬套件由這些套件填實: libgphobos-dev
libphobos2-ldc-dev
本虛擬套件由這些套件填實: libphobos2-ldc-shared-dev
libphobos2-ldc-shared-dev (1:1.24.0-2)
LLVM D Compiler - Standard and runtime libraries, imports
libphobos2-ldc-shared94 (1:1.24.0-2)
LLVM D Compiler - Standard and runtime libraries
libphodav-2.0-0 (2.5-1)
WebDAV server implementation using libsoup
libphodav-2.0-common (2.5-1)
WebDAV server implementation using libsoup (common files)
libphodav-2.0-dev (2.5-1)
WebDAV server implementation using libsoup (development files)
libphonenumber-dev (8.12.16-4)
parsing/formatting/validating phone numbers - development files
libphonenumber8 (8.12.16-4)
parsing/formatting/validating phone numbers
libphonenumber8-java (8.12.16-4)
parsing/formatting/validating phone numbers - java
libphonon4qt5-4 (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - core library
libphonon4qt5-data (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - core library data
libphonon4qt5-dev (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - development files
libphonon4qt5experimental-dev (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - experimental development files
libphonon4qt5experimental4 (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - experimental library (internal)
libphp-adodb (5.20.19-1+deb11u1)
ADOdb is a PHP database abstraction layer library
libphp-embed (2:7.4+76)
HTML-embedded scripting language (Embedded SAPI library) (default)
libphp-embed
本虛擬套件由這些套件填實: libphp7.4-embed
libphp-jabber (0.4.3-6)
Object-oriented PHP interface for the Jabber/XMPP protocol
libphp-jpgraph (1.5.2-13.1)
Object oriented graph library for php
libphp-jpgraph-examples (1.5.2-13.1)
Object oriented graph library for php (examples)
libphp-phpmailer (6.2.0-2)
full featured email transfer class for PHP
libphp-predis
本虛擬套件由這些套件填實: php-nrk-predis
libphp-serialization-perl (0.34-2)
Perl module to manipulate serialized PHP data structures
libphp-simplepie (1.3.1+dfsg-5)
RSS and Atom feed parsing in PHP
libphp-snoopy (2.0.0-3)
Snoopy is a PHP class that simulates a web browser
libphp7.4-embed (7.4.33-1+deb11u5) [security]
HTML-embedded scripting language (Embedded SAPI library)
libphutil (0~git20200925-1)
Shared library for Arcanist and Phabricator
libphysfs-1.0-0
本虛擬套件由這些套件填實: libphysfs1
libphysfs-dev (3.0.2-5)
filesystem abstraction library for game programmers (development headers)
libphysfs1 (3.0.2-5)
filesystem abstraction library for game programmers
libpiano-dev (2020.11.28-1)
library to interface with Pandora radio -- development
libpiano0 (2020.11.28-1)
library to interface with Pandora radio -- runtime files
libpicard-java (2.24.1+dfsg-1)
Java library to manipulate SAM and BAM files
libpicard-java-doc (2.24.1+dfsg-1)
Documentation for the java picard library
libpiccolo-java (1.2-1.1)
toolkit for development of 2D structured graphics
libpicocli-java (3.9.6-3)
Tiny command line interpreter library for Java applications
libpicocontainer-java (2.15+repack-2)
Java library implementing the Dependency Injection pattern
libpicocontainer-java-doc (2.15+repack-2)
Java library implementing the Dependency Injection pattern -- docs
libpicocontainer1-java (1.3-2)
Java library implementing the Dependency Injection pattern
libpigpiod-if-dev (1.78-1)
Development headers for client libraries for Raspberry Pi GPIO control
libpigpiod-if1 (1.78-1)
Client library for Raspberry Pi GPIO control (deprecated)
libpigpiod-if2-1 (1.78-1)
Client library for Raspberry Pi GPIO control
libpillowfight-dev (0.3.0-4+b2)
Various image processing algorithms library - development files
libpillowfight0 (0.3.0-4+b2)
Various image processing algorithms library
libpils2 (1.0.12-20)
Reusable cluster libraries -- libpils2
libpils2-dev (1.0.12-20)
Reusable cluster development files -- libpils2
libpinyin
本虛擬套件由這些套件填實: libpinyin13
libpinyin-common-dev (2.6.0-1)
library to deal with PinYin / zhuyin - common development files
libpinyin-data (2.6.0-1)
Data for PinYin / zhuyin input method library
libpinyin-dev
本虛擬套件由這些套件填實: libpinyin13-dev
libpinyin-utils (2.6.0-1)
library to deal with PinYin - utilities
libpinyin13 (2.6.0-1)
library to deal with PinYin
libpinyin13-dev (2.6.0-1)
library to deal with PinYin - development files
libpipeline-dev (1.5.3-1)
Unix process pipeline manipulation library (development files)
libpipeline1 (1.5.3-1)
Unix process pipeline manipulation library
libpipewire-0.3-0 (0.3.19-4)
libraries for the PipeWire multimedia server
libpipewire-0.3-dev (0.3.19-4)
libraries for the PipeWire multimedia server - development
libpipewire-0.3-modules (0.3.19-4)
libraries for the PipeWire multimedia server - modules
libpithub-perl (0.01036-1)
Github v3 API
libpixelif-common (0.8.4-3.1)
Provides localization and font data for libpixelif
libpixelif-dev (0.8.4-3.1)
Interface translating fizmo output into pixel data
libpixelmed-codec-java (20200328-3)
JPEG selective block redaction codec for pixelmed
libpixelmed-imageio-java (20200328-3)
JPEG lossless decoder for pixelmed
libpixelmed-java (20200416-4)
DICOM implementation containing Image Viewer and a ECG Viewer
libpixelmed-java-doc (20200416-4)
DICOM implementation containing Image Viewer and a ECG Viewer - doc
libpixels-java (2.1.3+svn.42-2.1)
manipulation and filtering of images in Java
libpixie-java (1:1.1.6-3.1)
Java Vector Format Viewer Library
libpixman-1-0 (0.40.0-1.1~deb11u1)
pixel-manipulation library for X and cairo
libpixman-1-0-udeb (0.40.0-1.1~deb11u1)
pixel-manipulation library for X and cairo
libpixman-1-dev (0.40.0-1.1~deb11u1)
pixel-manipulation library for X and cairo (development files)
libpj-java (0.0~20150107+dfsg-4)
API and middleware for parallel programming in Java
libpkcs11
本虛擬套件由這些套件填實: libsofthsm2
libpkcs11-helper-dev
本虛擬套件由這些套件填實: libpkcs11-helper1-dev
libpkcs11-helper1 (1.27-1)
library that simplifies the interaction with PKCS#11
libpkcs11-helper1-dev (1.27-1)
library that simplifies the interaction with PKCS#11
libpkgconf (1.7.4~git20210206+dcf529b-3)
development files for pkgconf (transitional package)
libpkgconf
本虛擬套件由這些套件填實: libpkgconf-dev
libpkgconf-dev (1.7.4~git20210206+dcf529b-3)
development files for pkgconf
libpkgconf3 (1.7.4~git20210206+dcf529b-3)
shared library for pkgconf
libpkgconfig-perl (0.25026-1)
Pure-Perl Core-Only replacement for pkg-config
libplacebo-dev (2.72.2-1)
GPU-accelerated video/image rendering primitives (development files)
libplacebo72 (2.72.2-1)
GPU-accelerated video/image rendering primitives (shared library)
libplack-app-proxy-perl (0.29-1.1)
Plack::App::Proxy - middleware-aware proxy application for Plack
libplack-builder-conditionals-perl (0.05-1.1)
Plack::Builder extension for Conditionals
libplack-handler-anyevent-fcgi-perl (0.01-1.1)
asynchronous FCGI handler for PSGI using AnyEvent::FCGI
libplack-handler-fcgi-ev-perl (0.01-1.1)
asynchronous PSGI handler using FCGI::EV
libplack-middleware-cache-perl (0.19-1)
Plack middleware for caching responses of certain URLs
libplack-middleware-crossorigin-perl (0.014-1)
Plack middleware adding headers to allow CORS
libplack-middleware-csrfblock-perl (0.10-2)
Plack middleware to block CSRF (cross-site request forgery)
libplack-middleware-debug-perl (0.18+dfsg-1)
Plack middleware for displaying request/response debug information
libplack-middleware-deflater-perl (0.12-2)
middleware to compress response body with gzip or deflate
libplack-middleware-expires-perl (0.06-1.1)
mod_expires for plack
libplack-middleware-file-sass-perl (0.03-4.1)
Sass and SCSS support for all Plack-based PSGI frameworks
libplack-middleware-fixmissingbodyinredirect-perl (0.11-1.1)
Plack::Middleware which ensures redirect response have a body
libplack-middleware-header-perl (0.04-1.1)
Plack middleware to modify HTTP response headers
libplack-middleware-logany-perl (0.001-1.1)
use Log::Any to handle logging from your Plack app
libplack-middleware-logerrors-perl (0.003-1)
map psgi.errors to psgix.logger or other logger
libplack-middleware-logwarn-perl (0.001002-1.1)
converts warnings to log messages
libplack-middleware-methodoverride-perl (0.20-1)
Plack::Middleware to override REST methods via POST
libplack-middleware-removeredundantbody-perl (0.09-1)
Plack::Middleware which removes an unnecessary HTTP response body
libplack-middleware-reverseproxy-perl (0.16-1)
module supporting apps to run as a reverse proxy backend
libplack-middleware-session-perl (0.33-1)
Perl module for Plack middleware session management
libplack-middleware-status-perl (1.101150-2.1)
Perl module for Plack middleware mapping URLs to status codes
libplack-middleware-test-stashwarnings-perl (0.08-1.1)
module for testing plack application warnings
libplack-perl (1.0048-1)
interface between web servers and Perl web applications
libplack-request-withencoding-perl (0.14-1)
Perl module subclass of Plack::Request which supports encoding
libplack-test-agent-perl (1.5-1)
OO interface for testing low-level Plack/PSGI apps
libplack-test-anyevent-perl (0.08-1)
run Plack::Test on AnyEvent-based PSGI applications
libplack-test-externalserver-perl (0.02-1.1)
module for running HTTP tests on external live servers
libplanarity-dev (3.0.1.0-1)
Library of planarity-related graph algorithms (devel files)
libplanarity0 (3.0.1.0-1)
Library of planarity-related graph algorithms
libplank-common (0.11.89-3)
Library to build an elegant, simple, clean dock (shared files)
libplank-dev (0.11.89-3)
Library to build an elegant, simple, clean dock (development files)
libplank-doc (0.11.89-3)
Library to build an elegant, simple, clean dock (documentation)
libplank1 (0.11.89-3)
Library to build an elegant, simple, clean dock
libplasma-geolocation-interface5 (4:5.20.5-6)
Plasma Workspace for KF5 library
libplayerctl-dev (2.3.1-1)
utility to control media players via MPRIS (development files)
libplayerctl-doc (2.3.1-1)
utility to control media players via MPRIS (documentation)
libplayerctl2 (2.3.1-1)
utility to control media players via MPRIS (library)
libplb-dev (1.5~r1+repack1-5)
CFD solver based on the lattice Boltzmann method. Development files
libplb-doc (1.5~r1+repack1-5)
CFD solver based on the lattice Boltzmann method. Documentation
libplb1 (1.5~r1+repack1-5)
CFD solver based on the lattice Boltzmann method. Shared library
libplexus-ant-factory-java (1.0~alpha2.1-4)
Plexus Ant Factory
libplexus-archiver-java (3.6.0-2)
Archiver plugin for the Plexus compiler system
libplexus-bsh-factory-java (1.0~alpha7-4.1)
Plexus Beanshell Factory
libplexus-build-api-java (0.0.7-4)
Incremental build API for Plexus components
libplexus-cipher-java (1.8-2)
Plexus Cipher Component used by Maven
libplexus-classworlds-java (2.6.0-1)
Class loading utilities for the Plexus framework
libplexus-classworlds-java-doc (2.6.0-1)
Class loading utilities for the Plexus framework - documentation
libplexus-classworlds2-java (2.6.0-1)
Class loading utilities for the Plexus framework (transitional package)
libplexus-cli-java (1.2-7)
Easily create CLIs with Plexus components
libplexus-cli-java-doc (1.2-7)
Documentation for Plexus CLI
libplexus-compiler-java (2.8.8-2)
Plexus compiler system
libplexus-component-annotations-java (2.1.0-1)
Plexus Component Annotations
libplexus-component-metadata-java (2.1.0-1)
Component Metadata Maven plugin for Plexus
libplexus-container-default-java (2.1.0-1)
Plexus Inversion-of-control Container
libplexus-container-default1.5-java (2.1.0-1)
Plexus Inversion-of-control Container (transitional package)
libplexus-digest-java (1.1-6)
Digest utilities for the Plexus system
libplexus-i18n-java (1.0-beta-10-5)
a component to support internationalization of applications using Plexus
libplexus-interactivity-api-java (1.0-alpha-6-8)
interactivity API for the Plexus framework
libplexus-interactivity-api-java-doc (1.0-alpha-6-8)
API Documentation for plexus-interactivity-api
libplexus-interpolation-java (1.26-1)
Plexus Interpolation API
libplexus-io-java (3.2.0-1.1)
Plexus IO Components
libplexus-languages-java (0.9.10-2)
Plexus shared language features
libplexus-resources-java (1.1.0-2)
Plexus Resource Component
libplexus-sec-dispatcher-java (1.4-4)
Plexus Security Dispatcher Component used by Maven
libplexus-sec-dispatcher-java-doc (1.4-4)
Documentation for Plexus Security Dispatcher Component
libplexus-utils-java (= 1:1.5.15-5)
本虛擬套件由這些套件填實: libplexus-utils2-java
libplexus-utils-java-doc
本虛擬套件由這些套件填實: libplexus-utils2-java-doc
libplexus-utils2-java (3.3.0-1)
utilities for the Plexus framework
libplexus-utils2-java-doc (3.3.0-1)
utilities for the Plexus framework - documentation
libplexus-velocity-java (1.2-3.1)
Plexus component interface to velocity
libplexus-velocity-java-doc (1.2-3.1)
API Documentation for plexus-velocity
libplf-colony-dev (6.12-1)
fast C++ unordered container
libplib-dev (1.8.5-8+deb11u1)
Portability Libraries: Development package
libplib-doc (1:1.8.5-3.2)
Portability Libraries: documentation and examples
libplib1 (1.8.5-8+deb11u1)
Portability Libraries: Run-time package
libplist++-dev (2.2.0-6)
C++ library for handling Apple binary and XML property lists - dev files
libplist++3v5 (2.2.0-6)
C++ Library for handling Apple binary and XML property lists
libplist-dev (2.2.0-6)
Library for handling Apple binary and XML property lists - development files
libplist-doc (2.2.0-6)
Library for handling Apple binary and XML property lists - docs
libplist-utils (2.2.0-6)
Apple property list converter
libplist3 (2.2.0-6)
Library for handling Apple binary and XML property lists
libpll-dev (0.3.2-4)
Phylogenetic Likelihood Library (development)
libpll0 (0.3.2-4)
Phylogenetic Likelihood Library
libplot-dev (2.6-11)
GNU plotutils libraries (development files)
libplot-perl
本虛擬套件由這些套件填實: libgraphics-libplot-perl
libplot2c2 (2.6-11)
GNU plotutils libraries
libploticus0 (2.42-4.2)
script driven business graphics library
libploticus0-dev (2.42-4.2)
Development files for the ploticus library
libplpc2a
本虛擬套件由這些套件填實: plptools
libplplot-data (5.15.0+dfsg-19)
Scientific plotting library (data files)
libplplot-dev (5.15.0+dfsg-19)
Scientific plotting library (development files)
libplplot-java (5.15.0+dfsg-19)
Scientific plotting library (Java bindings)
libplplot-lua (5.15.0+dfsg-19)
Scientific plotting library (Lua bindings)
libplplot-ocaml (5.15.0+dfsg-19)
OCaml bindings for the scientific plotting library
libplplot-ocaml-0x130
本虛擬套件由這些套件填實: libplplot-ocaml
libplplot-ocaml-dev (5.15.0+dfsg-19)
OCaml support for the PLplot plotting library (development files)
libplplot-ocaml-dev-0x130
本虛擬套件由這些套件填實: libplplot-ocaml-dev
libplplot-ocaml-dev-jkso5
本虛擬套件由這些套件填實: libplplot-ocaml-dev
libplplot-ocaml-dev-rz3a4
本虛擬套件由這些套件填實: libplplot-ocaml-dev
libplplot-ocaml-dev-wa2x5
本虛擬套件由這些套件填實: libplplot-ocaml-dev
libplplot-ocaml-jkso5
本虛擬套件由這些套件填實: libplplot-ocaml
libplplot-ocaml-rz3a4
本虛擬套件由這些套件填實: libplplot-ocaml
libplplot-ocaml-wa2x5
本虛擬套件由這些套件填實: libplplot-ocaml
libplplot17 (5.15.0+dfsg-19)
Scientific plotting library
libplplotada3-dev (5.15.0+dfsg-19)
Ada support for PLplot, a plotting library (development files)
libplplotada4.1 (5.15.0+dfsg-19)
Ada support for PLplot, a plotting library
libplplotcxx15 (5.15.0+dfsg-19)
Scientific plotting library (C++ binding)
libplplotfortran0 (5.15.0+dfsg-19)
Scientific plotting library (fortran bindings)
libplplotqt2 (5.15.0+dfsg-19)
Scientific plotting library (Qt bindings)
libplplotwxwidgets1 (5.15.0+dfsg-19)
Scientific plotting library (wxWidgets bindings)
libplucene-perl (1.25-4)
Perl port of the Lucene search engine
libplumb2 (1.0.12-20)
Reusable cluster libraries -- libplumb2
libplumb2-dev (1.0.12-20)
Reusable cluster development files -- libplumb2
libplumbgpl2 (1.0.12-20)
Reusable cluster libraries -- libplumbgpl2
libplumbgpl2-dev (1.0.12-20)
Reusable cluster development files -- libplumbgpl2
libpluto-jpl-eph-dev (0.0~git20180228-1.1)
development files to interact with JPL ephemeres data
libpluto-lunar-dev (0.0~git20180825.e34c1d1-1+b1 [amd64], 0.0~git20180825.e34c1d1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
development files for astronomical Lunar library
libplymouth-dev (0.9.5-3)
graphical boot animation and logger - development files
libplymouth5 (0.9.5-3)
graphical boot animation and logger - shared libraries
libpmem-dev (1.10-2+deb11u1)
Persistent Memory low level support library, v1 headers
libpmem1 (1.10-2+deb11u1)
Persistent Memory low level support library, v1 runtime
libpmem1-debug (1.10-2+deb11u1)
Persistent Memory low level support library — debug build
libpmem2 (1.10-2+deb11u1)
Persistent Memory low level support library, v2 runtime
libpmem2-debug (1.10-2+deb11u1)
Persistent Memory low level support library — debug build
libpmem2-dev (1.10-2+deb11u1)
Persistent Memory low level support library, v2 headers
libpmemblk-dev (1.10-2+deb11u1)
development files for libpmemblk1
libpmemblk1 (1.10-2+deb11u1)
Persistent Memory block array support library
libpmemblk1-debug (1.10-2+deb11u1)
Persistent Memory block array support library — debug build
libpmemkv-dev (1.4-1)
key:value data store for persistent memory
libpmemkv1 (1.4-1)
key:value data store for persistent memory
libpmemlog-dev (1.10-2+deb11u1)
development files for libpmemlog1
libpmemlog1 (1.10-2+deb11u1)
Persistent Memory log file support library
libpmemlog1-debug (1.10-2+deb11u1)
Persistent Memory log file support library — debug build
libpmemobj-cpp-dev (1.12-1)
C++ bindings to libpmemobj
libpmemobj-cpp-doc (1.12-1)
C++ bindings to libpmemobj - documentation
libpmemobj-dev (1.10-2+deb11u1)
development files for libpmemobj1
libpmemobj1 (1.10-2+deb11u1)
Persistent Memory object store support library
libpmemobj1-debug (1.10-2+deb11u1)
Persistent Memory object store support library — debug build
libpmempool-dev (1.10-2+deb11u1)
development files for libpmempool1
libpmempool1 (1.10-2+deb11u1)
Persistent Memory pool management support library
libpmempool1-debug (1.10-2+deb11u1)
Persistent Memory pool management support library — debug build
libpmi0 (20.11.7+really20.11.4-2+deb11u1)
SLURM PMI library implementation
libpmi0-dev (20.11.7+really20.11.4-2+deb11u1)
SLURM PMI library implementation development files
libpmi2-0 (20.11.7+really20.11.4-2+deb11u1)
SLURM PMI2 library implementation
libpmi2-0-dev (20.11.7+really20.11.4-2+deb11u1)
SLURM PMI2 library implementation development files
libpmix-bin (4.0.0-4.1+deb11u1)
Process Management Interface (Exascale) library - tools
libpmix-dev (4.0.0-4.1+deb11u1)
Development files for the PMI Exascale library
libpmix2 (4.0.0-4.1+deb11u1)
Process Management Interface (Exascale) library
libpnetcdf-dev (1.12.2-1)
Development files for the parallel netCDF library
libpnetcdf0d (1.12.2-1)
Libraryfor reading and writing parallel NetCDF files
libpng++-dev (0.2.10-1)
C++ interface to the PNG (Portable Network Graphics) library
libpng-dev (1.6.37-3)
PNG library - development (version 1.6)
libpng-sixlegs-java (2.0-3)
Sixlegs Java PNG Decoder
libpng-sixlegs-java-doc (2.0-3)
Documentation for Sixlegs Java PNG Decoder
libpng-tools (1.6.37-3)
PNG library - tools (version 1.6)
libpng16-16 (1.6.37-3)
PNG library - runtime (version 1.6)
libpng16-16-udeb (1.6.37-3)
PNG library - minimal runtime library (version 1.6)
libpnglite-dev (0.1.17-2+b1)
lightweight C library for loading and writing PNG images
libpnglite0 (0.1.17-2+b1)
lightweight C library for loading and writing PNG images
libpocketsphinx-dev (0.8+5prealpha+1-13)
Speech recognition tool - front-end library development files
libpocketsphinx3 (0.8+5prealpha+1-13)
Speech recognition tool - front-end library
libpocl-dev (1.6-5)
development files for the pocl library
libpocl2 (1.6-5)
Portable Computing Language library
libpocl2-common (1.6-5)
common files for the pocl library
libpoco-dev (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Development files
libpoco-doc (1.3.6-1.1)
Documentation for POCO - The C++ Portable Components
libpococrypto70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Crypto library
libpocodata70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Data library
libpocodatamysql70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Data MySQL library
libpocodataodbc70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) ODBC library
libpocodatapostgresql70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Data PostgreSQL library
libpocodatasqlite70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Data SQLite library
libpocoencodings70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Encodings library
libpocofoundation70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Foundation library
libpocojson70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) JSON library
libpocojwt70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) JWT library
libpocomongodb70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Data MongoDB library
libpoconet70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Network library
libpoconetssl70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Network library with SSL
libpocoredis70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Redis library
libpocoutil70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Util library
libpocoxml70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) XML library
libpocozip70 (1.10.0-6+deb11u1)
C++ Portable Components (POCO) Zip library
libpod-2-docbook-perl (0.03-4)
module for converting Pod data to DocBook SGML
libpod-abstract-perl (0.20-3)
abstract document tree for Perl POD documents
libpod-constants-perl (0.19-2)
module for including constants from POD
libpod-coverage-perl (0.23-2)
checker for comprehensiveness of perl module documentation
libpod-coverage-trustpod-perl (0.100005-2)
module to support hints for Pod::Coverage
libpod-elemental-perl (0.103005-1)
module for manipulating POD elements
libpod-elemental-perlmunger-perl (0.200006-1.1)
Perl module that rewrites Perl documentation
libpod-elemental-transformer-list-perl (0.102000-1.1)
module to transform :list regions into =over/=back
libpod-escapes-perl (= 1.07)
本虛擬套件由這些套件填實: perl
libpod-eventual-perl (0.094001-1.1)
module to read a POD as a series of trivial events
libpod-index-perl (0.14-4)
index and search PODs using X<> entries
libpod-latex-perl (0.61-3)
module to convert Pod data to formatted LaTeX
libpod-markdown-perl (3.300000-1)
module to convert POD to the Markdown file format
libpod-minimumversion-perl (50-2)
module to determine minimum Perl version of POD directives
libpod-parser-perl (1.63-2)
modules for parsing/translating POD format documents
libpod-plainer-perl (1.04-2)
Perl extension for converting Pod to old-style Pod
libpod-pom-perl (2.01-3)
module providing a Pod Object Model
libpod-pom-view-restructured-perl (1.000003-1)
view for Pod::POM that outputs reStructuredText
libpod-projectdocs-perl (0.53-1)
module to generate CPAN like project documents from POD
libpod-pseudopod-perl (0.19-1)
framework for parsing PseudoPod
libpod-readme-perl (1.2.3-1)
Perl module to convert POD to README file
libpod-sax-perl (0.14-7)
Perl module for generating SAX events from POD
libpod-simple-perl (3.42-1)
framework for parsing files in the Pod markup language
libpod-simple-perl (= 3.40)
本虛擬套件由這些套件填實: perl
libpod-simple-wiki-perl (0.20-1)
Perl class for creating Pod to Wiki filters
libpod-spell-perl (1.20-2)
formatter to easily check the spelling of POD
libpod-strip-perl (1.100-1)
remove POD documentation from Perl code
libpod-tests-perl (1.20-1)
Perl extension for excts embedded tests and code examples from POD
libpod-tree-perl (1.31-1)
module for creating a static syntax tree for a POD
libpod-weaver-perl (4.015-1)
Perl module to weave together a Pod document from an outline
libpod-weaver-plugin-ensureuniquesections-perl (0.163250-1.1)
Pod::Weaver plugin to check for duplicate Pod section headers
libpod-weaver-section-contributors-perl (0.009-1.1)
Pod::Weaver plugin for a section listing contributors
libpod-weaver-section-generatesection-perl (1.06-1.1)
Pod::Weaver plugin to add Pod sections from a template text
libpod-weaver-section-legal-complicated-perl (1.22-1.1)
Pod::Weaver plugin for per module authors, copyright holders, and license
libpod-weaver-section-support-perl (1.013-1)
Dist::Zilla plugin to add a SUPPORT section to your POD
libpod-webserver-perl (3.11-1.1)
miniature web server for reading Pod in web browsers
libpod-wordlist-hanekomu-perl (1.132680-2.1)
collection of stop words to spell check POD documents
libpod-wsdl-perl (0.063-1.1)
creator of WSDL documents from (extended) POD
libpod-xhtml-perl (1.61-3)
module for translating POD to XHTML
libpod2-base-perl (0.043-2.1)
module for translations of Perl documentation
libpod2pdf-perl
本虛擬套件由這些套件填實: pod2pdf
libpodofo-dev (0.9.7+dfsg-2)
PoDoFo development files
libpodofo-utils (0.9.7+dfsg-2)
PoDoFo utilities
libpodofo0.9.7 (0.9.7+dfsg-2)
PoDoFo - library to work with the PDF file format
libpoe-component-client-dns-perl (1:1.054-1.1)
POE Component for performing asynchronous DNS queries
libpoe-component-client-http-perl (0.949-2)
POE Component for running asynchronous HTTP transactions
libpoe-component-client-ident-perl (1.07-2.3)
a POE component that provides non-blocking ident lookups
libpoe-component-client-keepalive-perl (0.2720-1.1)
POE component that manages and keeps alive client connections
libpoe-component-client-mpd-perl (2.001-3)
client library for MPD (Music Player Daemon)
libpoe-component-client-ping-perl (1.177-1)
ICMP ping client component for POE
libpoe-component-dbiagent-perl (0.26-3.1)
POE Component for running asynchronous DBI calls
libpoe-component-irc-perl (6.90+dfsg-1)
POE Component for manipulating IRC sessions
libpoe-component-jabber-perl (3.00-4.1)
pOE Component for communicating over Jabber
libpoe-component-jobqueue-perl (0.5710-1)
POE component to manage queues and worker pools
libpoe-component-pcap-perl (0.04-3.1)
POE Interface to Net::Pcap
libpoe-component-pool-thread-perl (0.015-2)
POE Managed Boss/Worker thread pool
libpoe-component-pubsub-perl (0.05-2.1)
generic publish/subscribe POE::Component
libpoe-component-resolver-perl (0.921-1)
POE Component for domain name resolution
libpoe-component-rssaggregator-perl (1.11-1.1)
POE component to watch multiple RSS feeds
libpoe-component-schedule-perl (0.95-3)
Schedule POE events using DateTime::Set iterators
libpoe-component-server-jsonrpc-perl (0.06-3)
POE tcp and http based JSON-RPC 1.0 server
libpoe-component-server-simplehttp-perl (2.28-1)
simple HTTP server for POE
libpoe-component-server-soap-perl (1.14-2.1)
POE component to publish event handlers via SOAP over HTTP
libpoe-component-sslify-perl (1.012-1.1)
module for SSL connection handling in POE Components
libpoe-component-syndicator-perl (0.06-1.1)
POE component base class which implements the Observer pattern
libpoe-filter-http-parser-perl (1.08-1)
POE filter for HTTP clients or servers
libpoe-filter-ircd-perl (2.44-2)
parser for the IRC protocol
libpoe-filter-ssl-perl (0.41-1+b3)
module to make SSL in POE easy and flexible
libpoe-filter-stomp-perl (0.04-2)
Perl extension for the POE Environment to provide a Stomp filter
libpoe-filter-xml-perl (1.140700-1.1)
POE Filter for parsing XML
libpoe-loop-tk-perl (1.305-1.1)
POE event loop implementation using Tk
libpoe-perl (2:1.3680-1)
event-driven component architecture for Perl
libpoe-test-loops-perl (1.360-1.1)
test framework for POE event loops
libpoet-perl (0.16-1.1)
modern Perl web framework for Mason developers
libpog-dev (0.5.3-3)
C++ library for generating LALR(1) parsers
libpolkit-agent-1-0 (0.105-31+deb11u1)
PolicyKit Authentication Agent API
libpolkit-agent-1-dev (0.105-31+deb11u1)
PolicyKit Authentication Agent API - development files
libpolkit-gobject-1-0 (0.105-31+deb11u1)
PolicyKit Authorization API
libpolkit-gobject-1-dev (0.105-31+deb11u1)
PolicyKit Authorization API - development files
libpolkit-qt5-1-1 (0.113.0-1)
PolicyKit-qt5-1 library
libpolkit-qt5-1-dev (0.113.0-1)
PolicyKit-qt5-1 development files
libpolled-camera-dev (1.12.0-3)
Robot OS polled_camera package - development
libpolled-camera0d (1.12.0-3)
Robot OS polled_camera package
libpolly-16-dev (1:16.0.6-15~deb11u2)
High-level loop and data-locality optimizer
libpolyclipping-dev (6.4.2-7)
Polygon and line clipping and offsetting library (development files)
libpolyclipping22 (6.4.2-7)
Polygon and line clipping and offsetting library (shared library)
libpolyglot-maven-java (0.8~tobrien+git20120905-10)
modules to enable Maven usage in others JVM languages
libpolyglot-maven-java-doc (0.8~tobrien+git20120905-10)
modules to enable Maven usage in others JVM languages - docs
libpolylib64-8 (5.22.5-4+dfsg)
Polyhedral library - long int version
libpolylib64-dev (5.22.5-4+dfsg)
Development files for the long int version of PolyLib
libpolymake
本虛擬套件由這些套件填實: libpolymake4.3
libpolymake-dev (4.3-4)
Tool for algorithmic discrete geometry -- development package
libpolymake-dev-common (4.3-4)
Tool for algorithmic discrete geometry -- common dev package
libpolymake4.3 (4.3-4)
Tool for algorithmic discrete geometry -- library package
libpolyml-dev (5.7.1-4)
development files for Poly/ML, a compiler for Standard ML
libpolyml9 (5.7.1-4)
runtime files for Poly/ML, a compiler for Standard ML
libpomegranate-clojure (1.1.0+really-2)
dependency resolution and repository handling library for Clojure
libpomp-dev (1.1+dfsg-9)
OpenMP Pragma And Region Instrumentor - development files
libpomp0 (1.1+dfsg-9)
OpenMP Pragma And Region Instrumentor - shared library
libpomp2-dev (2.0.5-2)
OpenMP Pragma And Region Instrumentor - development files
libpomp2-doc (2.0.5-2)
OpenMP Pragma And Region Instrumentor - documentation
libponapi-client-perl (0.002012-1)
client to a JSON:API v1.0 service
libpoppler-cil (0.0.3-4.1)
PDF rendering library -- CLI bindings
libpoppler-cil-dev (0.0.3-4.1)
PDF rendering library -- CLI development files
libpoppler-cpp-dev (20.09.0-3.1+deb11u1)
PDF rendering library -- development files (CPP interface)
libpoppler-cpp0v5 (20.09.0-3.1+deb11u1)
PDF rendering library (CPP shared library)
libpoppler-dev (20.09.0-3.1+deb11u1)
PDF rendering library -- development files
libpoppler-glib-dev (20.09.0-3.1+deb11u1)
PDF rendering library -- development files (GLib interface)
libpoppler-glib-doc (20.09.0-3.1+deb11u1)
PDF rendering library -- documentation for the GLib interface
libpoppler-glib8 (20.09.0-3.1+deb11u1)
PDF rendering library (GLib-based shared library)
libpoppler-private-dev (20.09.0-3.1+deb11u1)
PDF rendering library -- private development files
libpoppler-qt5-1 (20.09.0-3.1+deb11u1)
PDF rendering library (Qt 5 based shared library)
libpoppler-qt5-dev (20.09.0-3.1+deb11u1)
PDF rendering library -- development files (Qt 5 interface)
libpoppler102 (20.09.0-3.1+deb11u1)
PDF rendering library
libpopplerkit-dev (0.0.20051227svn-8.1)
GNUstep framework for rendering PDF content (development files)
libpopplerkit0 (0.0.20051227svn-8.1)
GNUstep framework for rendering PDF content (library files)
libpopt-dev (1.18-2)
lib for parsing cmdline parameters - development files
libpopt0 (1.18-2)
分析命令列參數的函式庫
libpopt0-udeb (1.18-2)
lib for parsing cmdline parameters
libportaudio-ocaml (0.2.1-1+b4 [armel, armhf], 0.2.1-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the portaudio library
libportaudio-ocaml-63k68
本虛擬套件由這些套件填實: libportaudio-ocaml
libportaudio-ocaml-70df6
本虛擬套件由這些套件填實: libportaudio-ocaml
libportaudio-ocaml-cgve4
本虛擬套件由這些套件填實: libportaudio-ocaml
libportaudio-ocaml-dev (0.2.1-1+b4 [armel, armhf], 0.2.1-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the portaudio library
libportaudio-ocaml-dev-63k68
本虛擬套件由這些套件填實: libportaudio-ocaml-dev
libportaudio-ocaml-dev-70df6
本虛擬套件由這些套件填實: libportaudio-ocaml-dev
libportaudio-ocaml-dev-cgve4
本虛擬套件由這些套件填實: libportaudio-ocaml-dev
libportaudio-ocaml-dev-oyhq3
本虛擬套件由這些套件填實: libportaudio-ocaml-dev
libportaudio-ocaml-oyhq3
本虛擬套件由這些套件填實: libportaudio-ocaml
libportaudio2 (19.6.0-1.1)
Portable audio I/O - shared library
libportaudiocpp0 (19.6.0-1.1)
Portable audio I/O C++ bindings - shared library
libportlet-api-2.0-spec-java (1.0-2.2)
Java Portlet Specification V2.0
libportlet-api-2.0-spec-java-doc (1.0-2.2)
Java Portlet Specification V2.0 - documentation
libportmidi-dev (1:217-6)
library for real-time MIDI input/output
libportmidi0 (1:217-6)
library for real-time MIDI input/output
libportsmf-dev (0.1~svn20101010-5)
Portable Standard Midi File Library (development files)
libportsmf0 (0.1~svn20101010-5)
Portable Standard Midi File Library
libposix-2008-perl (0.16-1+b3)
Perl interface to POSIX.1-2008
libposix-atfork-perl (0.02-1+b11 [s390x], 0.02-1+b9 [amd64, armel, armhf, i386, mipsel], 0.02-1+b7 [arm64, mips64el, ppc64el])
hook registrations at fork(2)
libposix-strftime-compiler-perl (0.44-1)
GNU C library compatible strftime for loggers and servers
libposix-strptime-perl (0.13-1+b7)
Perl extension to the POSIX date parsing strptime(3) function
libpostfix-parse-mailq-perl (1.005-1.1)
module to parse the postfix mail queue
libpostgis-java (1:2.4.0-2)
Geographic objects support for PostgreSQL -- JDBC support
libpostgresql-gst (3.2.5-1.3)
PostgreSQL bindings for GNU Smalltalk
libpostgresql-jdbc-java (42.2.15-1+deb11u1)
Java database (JDBC) driver for PostgreSQL
libpostgresql-jdbc-java-doc (42.2.15-1+deb11u1)
Java database (JDBC) driver for PostgreSQL (documentation)
libpostgresql-ocaml (4.6.3-1+b1)
OCaml bindings to PostgreSQL's libpq (runtime)
libpostgresql-ocaml-dev (4.6.3-1+b1)
OCaml bindings to PostgreSQL's libpq
libpostgresql-ocaml-dev-ee4v5
本虛擬套件由這些套件填實: libpostgresql-ocaml-dev
libpostgresql-ocaml-dev-fo9h5
本虛擬套件由這些套件填實: libpostgresql-ocaml-dev
libpostgresql-ocaml-dev-l3z22
本虛擬套件由這些套件填實: libpostgresql-ocaml-dev
libpostgresql-ocaml-dev-the27
本虛擬套件由這些套件填實: libpostgresql-ocaml-dev
libpostgresql-ocaml-ee4v5
本虛擬套件由這些套件填實: libpostgresql-ocaml
libpostgresql-ocaml-fo9h5
本虛擬套件由這些套件填實: libpostgresql-ocaml
libpostgresql-ocaml-l3z22
本虛擬套件由這些套件填實: libpostgresql-ocaml
libpostgresql-ocaml-the27
本虛擬套件由這些套件填實: libpostgresql-ocaml
libpostproc-dev (7:4.3.6-0+deb11u1)
FFmpeg library for post processing - development files
libpostproc55 (7:4.3.6-0+deb11u1)
FFmpeg library for post processing - runtime files
libpostscript-file-perl (2.23+dfsg-1)
module for creating Adobe PostScript files
libpostscript-perl (0.06-3.1)
Perl module to generate PostScript code
libpostscript-simple-perl (0.09-1.1)
Perl library for generating postscript files
libpostscriptbarcode (20200401-1.1)
Barcode Writer in Pure PostScript
libpotemkin-clojure (0.4.5-4)
collection of facades and workarounds for Clojure
libpotrace-dev (1.16-2)
development files for potrace library
libpotrace0 (1.16-2)
library for tracing bitmaps
libpowercap-dev (0.3.1-1)
Development files for libpowercap
libpowercap0 (0.3.1-1)
Shared library for accessing the powercap Linux kernel feature
libpowerdevilcore2 (4:5.20.5-2)
Global power saver settings ui library.
libpowerdevilui5 (4:5.20.5-2)
Global power saver settings ui library.
libpowerman0 (2.3.5-1+b2)
Client library for Powerman - Centralized PDU management
libpowerman0-dev (2.3.5-1+b2)
Development files for Powerman - Centralized PDU management
libppd-dev (2:0.10-7.3)
postscript PPD file library, development kit
libppd0 (2:0.10-7.3)
postscript PPD file library
libppi-html-perl (1.08-2.1)
module to highlight Perl code using PPI
libppi-perl (1.270-1)
module to parse, analyze and manipulate Perl code
libppi-xs-perl (0.910-1+b3)
Perl module to accelerate the Perl Parsing Interface (PPI)
libppix-documentname-perl (0.001003-1.1)
utility to extract a name from a PPI Document
libppix-editortools-perl (0.21-1)
set of tools for editors to use for manipulating Perl via PPI
libppix-quotelike-perl (0.015-1)
module to parse Perl string literals and string-literal-like things
libppix-regexp-perl (0.078-1)
module to parse regular expressions
libppix-utilities-perl (1.001000-2.1)
Perl module containing extensions to PPI
libppl-c-dev
本虛擬套件由這些套件填實: libppl-dev
libppl-c4 (1:1.2-8.1)
Parma Polyhedra Library (C interface)
libppl-dev (1:1.2-8.1)
Parma Polyhedra Library (development)
libppl-doc (1:1.2-8.1)
Parma Polyhedra Library: Documentation
libppl-swi (1:1.2-8.1)
Parma Polyhedra Library (SWI Prolog interface)
libppl0.12-dev
本虛擬套件由這些套件填實: libppl-dev
libppl14 (1:1.2-8.1)
Parma Polyhedra Library (runtime library)
libppx-bin-prot-ocaml (0.14.0-1+b3)
generation of bin_prot readers and writers from types (runtime)
libppx-bin-prot-ocaml-4y224
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-bin-prot-ocaml-7vat2
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-bin-prot-ocaml-dev (0.14.0-1+b3)
generation of bin_prot readers and writers from types (development)
libppx-bin-prot-ocaml-dev-4y224
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-dev-7vat2
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-dev-ftpt0
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-dev-k9re8
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-dev-mz044
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-dev-p1qx0
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-dev-p61n5
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml-dev
libppx-bin-prot-ocaml-ftpt0
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-bin-prot-ocaml-k9re8
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-bin-prot-ocaml-mz044
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-bin-prot-ocaml-p1qx0
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-bin-prot-ocaml-p61n5
本虛擬套件由這些套件填實: libppx-bin-prot-ocaml
libppx-compare-ocaml (0.14.0-1+b4 [i386], 0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
generation of comparison functions from OCaml types (runtime)
libppx-compare-ocaml-0wpu6
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-compare-ocaml-2i0r5
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-compare-ocaml-4l5q5
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-compare-ocaml-dev (0.14.0-1+b4 [i386], 0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
generation of comparison functions from OCaml types (development)
libppx-compare-ocaml-dev-0wpu6
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-dev-2i0r5
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-dev-4l5q5
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-dev-ggir6
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-dev-k3ky1
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-dev-wtz56
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-dev-y82h9
本虛擬套件由這些套件填實: libppx-compare-ocaml-dev
libppx-compare-ocaml-ggir6
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-compare-ocaml-k3ky1
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-compare-ocaml-wtz56
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-compare-ocaml-y82h9
本虛擬套件由這些套件填實: libppx-compare-ocaml
libppx-custom-printf-ocaml (0.14.0-1+b4 [i386], 0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
printf-style format-strings for user-defined string conversion (runtime)
libppx-custom-printf-ocaml-28bz3
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-custom-printf-ocaml-4pwq5
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-custom-printf-ocaml-9cqo7
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-custom-printf-ocaml-9rjr6
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-custom-printf-ocaml-btwl8
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-custom-printf-ocaml-dev (0.14.0-1+b4 [i386], 0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
printf-style format-strings for user-defined string conversion (dev)
libppx-custom-printf-ocaml-dev-28bz3
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-dev-4pwq5
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-dev-9cqo7
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-dev-9rjr6
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-dev-btwl8
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-dev-j5586
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-dev-yzwh1
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml-dev
libppx-custom-printf-ocaml-j5586
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-custom-printf-ocaml-yzwh1
本虛擬套件由這些套件填實: libppx-custom-printf-ocaml
libppx-derivers-ocaml-dev (1.2.1-1+b2)
interoperability of ppx-deriving and ppx-type-conv
libppx-derivers-ocaml-dev-d8299
本虛擬套件由這些套件填實: libppx-derivers-ocaml-dev
libppx-derivers-ocaml-dev-isd40
本虛擬套件由這些套件填實: libppx-derivers-ocaml-dev
libppx-derivers-ocaml-dev-nhqu2
本虛擬套件由這些套件填實: libppx-derivers-ocaml-dev
libppx-derivers-ocaml-dev-pjto5
本虛擬套件由這些套件填實: libppx-derivers-ocaml-dev
libppx-deriving-ocaml (4.5-1+b4 [i386], 4.5-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
type-driven code generation for OCaml (runtime files)
libppx-deriving-ocaml-7qli2
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-ocaml-dev (4.5-1+b4 [i386], 4.5-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
type-driven code generation for OCaml (dev files)
libppx-deriving-ocaml-dev-7qli2
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-dev-g19u1
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-dev-hrsx6
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-dev-o5p13
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-dev-r90a3
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-dev-y3nq8
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-dev-y85i2
本虛擬套件由這些套件填實: libppx-deriving-ocaml-dev
libppx-deriving-ocaml-g19u1
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-ocaml-hrsx6
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-ocaml-o5p13
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-ocaml-r90a3
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-ocaml-y3nq8
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-ocaml-y85i2
本虛擬套件由這些套件填實: libppx-deriving-ocaml
libppx-deriving-yojson-ocaml-dev (3.5.3-1+b3)
OCaml syntax extension for JSON serialization
libppx-deriving-yojson-ocaml-dev-1rqp7
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-deriving-yojson-ocaml-dev-hqv87
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-deriving-yojson-ocaml-dev-kj060
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-deriving-yojson-ocaml-dev-kxdu7
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-deriving-yojson-ocaml-dev-ltdy5
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-deriving-yojson-ocaml-dev-opt04
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-deriving-yojson-ocaml-dev-p9w43
本虛擬套件由這些套件填實: libppx-deriving-yojson-ocaml-dev
libppx-fields-conv-ocaml (0.14.1-1+b1)
generation of accessor and iteration functions for OCaml records (runtime)
libppx-fields-conv-ocaml-0but3
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-fields-conv-ocaml-82fi5
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-fields-conv-ocaml-dev (0.14.1-1+b1)
generation of accessor and iteration functions for OCaml records (dev)
libppx-fields-conv-ocaml-dev-0but3
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-dev-82fi5
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-dev-ld1o9
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-dev-pvvo1
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-dev-s7286
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-dev-vk1q4
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-dev-yyr99
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml-dev
libppx-fields-conv-ocaml-ld1o9
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-fields-conv-ocaml-pvvo1
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-fields-conv-ocaml-s7286
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-fields-conv-ocaml-vk1q4
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-fields-conv-ocaml-yyr99
本虛擬套件由這些套件填實: libppx-fields-conv-ocaml
libppx-here-ocaml (0.14.0-1+b4 [i386], 0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml extension node whose value is its source position (runtime)
libppx-here-ocaml-0wx48
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-here-ocaml-9wqk9
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-here-ocaml-dev (0.14.0-1+b4 [i386], 0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml extension node whose value is its source position (development)
libppx-here-ocaml-dev-0wx48
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-dev-9wqk9
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-dev-hd629
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-dev-qyjd7
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-dev-s1o97
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-dev-wbxk3
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-dev-wxyv4
本虛擬套件由這些套件填實: libppx-here-ocaml-dev
libppx-here-ocaml-hd629
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-here-ocaml-qyjd7
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-here-ocaml-s1o97
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-here-ocaml-wbxk3
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-here-ocaml-wxyv4
本虛擬套件由這些套件填實: libppx-here-ocaml
libppx-optcomp-ocaml (1:0.14.0-1+b4 [i386], 1:0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
optional compilation for OCaml (runtime files)
libppx-optcomp-ocaml-455p5
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-optcomp-ocaml-6j191
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-optcomp-ocaml-asr43
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-optcomp-ocaml-dev (1:0.14.0-1+b4 [i386], 1:0.14.0-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
optional compilation for OCaml (dev files)
libppx-optcomp-ocaml-dev-455p5
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-dev-6j191
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-dev-asr43
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-dev-golz3
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-dev-h6484
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-dev-u08e3
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-dev-ugad0
本虛擬套件由這些套件填實: libppx-optcomp-ocaml-dev
libppx-optcomp-ocaml-golz3
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-optcomp-ocaml-h6484
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-optcomp-ocaml-u08e3
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-optcomp-ocaml-ugad0
本虛擬套件由這些套件填實: libppx-optcomp-ocaml
libppx-sexp-conv-ocaml (1:0.14.1-1+b1)
generation of S-expressions from type definitions (runtime files)
libppx-sexp-conv-ocaml-54781
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-sexp-conv-ocaml-8yw67
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-sexp-conv-ocaml-dev (1:0.14.1-1+b1)
generation of S-expressions from type definitions (dev files)
libppx-sexp-conv-ocaml-dev-54781
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-dev-8yw67
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-dev-lbbb8
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-dev-n6uf8
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-dev-qbz74
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-dev-vz0a2
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-dev-x75a0
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml-dev
libppx-sexp-conv-ocaml-lbbb8
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-sexp-conv-ocaml-n6uf8
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-sexp-conv-ocaml-qbz74
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-sexp-conv-ocaml-vz0a2
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-sexp-conv-ocaml-x75a0
本虛擬套件由這些套件填實: libppx-sexp-conv-ocaml
libppx-tools-ocaml-dev (6.3-1)
tools for authors of OCaml syntactic tools
libppx-tools-ocaml-dev-2y7j4
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-ocaml-dev-gyej9
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-ocaml-dev-q28i3
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-ocaml-dev-qwdi4
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-ocaml-dev-rm381
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-ocaml-dev-x7pk7
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-ocaml-dev-z9qu3
本虛擬套件由這些套件填實: libppx-tools-ocaml-dev
libppx-tools-versioned-ocaml (5.4.0-1+b3 [i386], 5.4.0-1+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Tools for authors of OCaml syntactic tools (Runtime library)
libppx-tools-versioned-ocaml-1qvw3
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-tools-versioned-ocaml-a8sg6
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-tools-versioned-ocaml-brxi3
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-tools-versioned-ocaml-d07i9
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-tools-versioned-ocaml-dev (5.4.0-1+b3 [i386], 5.4.0-1+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Tools for authors of OCaml syntactic tools (Development package)
libppx-tools-versioned-ocaml-dev-1qvw3
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-dev-a8sg6
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-dev-brxi3
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-dev-d07i9
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-dev-hrxm5
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-dev-l05p7
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-dev-z1h94
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml-dev
libppx-tools-versioned-ocaml-doc (5.4.0-1)
Documentation for ppx-tools-versioned
libppx-tools-versioned-ocaml-hrxm5
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-tools-versioned-ocaml-l05p7
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-tools-versioned-ocaml-z1h94
本虛擬套件由這些套件填實: libppx-tools-versioned-ocaml
libppx-variants-conv-ocaml (0.14.1-1+b1)
generation of accessor and iteration functions for OCaml variants (runtime)
libppx-variants-conv-ocaml-04am6
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-variants-conv-ocaml-0ieq6
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-variants-conv-ocaml-6x1m3
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-variants-conv-ocaml-cgwk1
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-variants-conv-ocaml-d9ju2
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-variants-conv-ocaml-dev (0.14.1-1+b1)
generation of accessor and iteration functions for OCaml variants (dev)
libppx-variants-conv-ocaml-dev-04am6
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-dev-0ieq6
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-dev-6x1m3
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-dev-cgwk1
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-dev-d9ju2
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-dev-e3ai4
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-dev-n9ta2
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml-dev
libppx-variants-conv-ocaml-e3ai4
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-variants-conv-ocaml-n9ta2
本虛擬套件由這些套件填實: libppx-variants-conv-ocaml
libppx-visitors-ocaml-dev (20200210-3+b1)
OCaml syntax extension for object-oriented visitors
libppx-visitors-ocaml-dev-2ldd4
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-dev-ccdl4
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-dev-kdum0
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-dev-lcw37
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-dev-mf425
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-dev-s4iz0
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-dev-vmi00
本虛擬套件由這些套件填實: libppx-visitors-ocaml-dev
libppx-visitors-ocaml-doc (20200210-3)
Documentation of the visitors syntax extension for OCaml
libppxlib-ocaml-dev (0.15.0-1+b1)
meta-programming for OCaml
libppxlib-ocaml-dev-2rql7
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libppxlib-ocaml-dev-9a9z1
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libppxlib-ocaml-dev-age97
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libppxlib-ocaml-dev-mdnj0
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libppxlib-ocaml-dev-ne9p3
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libppxlib-ocaml-dev-wjeo5
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libppxlib-ocaml-dev-ypxs5
本虛擬套件由這些套件填實: libppxlib-ocaml-dev
libpq-dev (13.14-0+deb11u1) [security]
header files for libpq5 (PostgreSQL library)
libpq5 (13.14-0+deb11u1) [security]
PostgreSQL C client library
libpqtypes-dev (1.5.1-7)
parameterized queries libpq extension - development
libpqtypes0 (1.5.1-7)
parameterized queries libpq extension - shared library
libpqxx-6.4 (6.4.5-2)
C++ library to connect to PostgreSQL
libpqxx-dev (6.4.5-2)
C++ library to connect to PostgreSQL (development files)
libpqxx-doc (6.4.5-2)
C++ library to connect to PostgreSQL (documentation)
libpracticalxml-java (1.1.19-1.1)
practical XML handling routines
libpragmatic-perl (1.7-3)
Adds pragmata to Exporter
libpreferencepanes-dev (1.2.0-2+b6)
GNUstep preferences library - development files
libpreferencepanes1 (1.2.0-2+b6)
GNUstep preferences library - runtime library
libprefork-perl (1.05-1)
pragma for optimized module loading for forking or non-forking processes
libprelude-dev (5.2.0-3+deb11u1)
Security Information and Events Management system [ Development files ]
libprelude-doc (5.2.0-3+deb11u1)
Security Information and Events Management system [ Documentation ]
libprelude-lua (5.2.0-3+deb11u1)
Security Information and Events Management system [ Lua bindings ]
libprelude-perl (5.2.0-3+deb11u1)
Security Information and Events Management system [ Perl bindings ]
libprelude-ruby
本虛擬套件由這些套件填實: ruby-libprelude
libprelude28 (5.2.0-3+deb11u1)
Security Information and Events Management system [ Base library ]
libpreludecpp12 (5.2.0-3+deb11u1)
Security Information and Events Management system [ C++ library ]
libpreludedb-dev (5.2.0-1+b1)
Security Information and Events Management System [ Development files ]
libpreludedb-doc (5.2.0-1)
Security Information and Events Management System [ Documentation ]
libpreludedb7 (5.2.0-1+b1)
Security Information and Events Management System [ Base library ]
libpreludedb7-mysql (5.2.0-1+b1)
Security Information and Events Management System [ MySQL library ]
libpreludedb7-pgsql (5.2.0-1+b1)
Security Information and Events Management System [ PGSQL library ]
libpreludedb7-sqlite (5.2.0-1+b1)
Security Information and Events Management System [ SQLite library ]
libpreludedbcpp2 (5.2.0-1+b1)
Security Information and Events Management System [ C++ library ]
libpresage-data (0.9.1-2.2)
intelligent predictive text entry platform (data files)
libpresage-dev (0.9.1-2.2)
intelligent predictive text entry platform (development files)
libpresage-doc (0.9.1-2.2)
intelligent predictive text entry platform (documentation)
libpresage1-dbg (0.9.1-2.2)
intelligent predictive text entry platform (shared library debugging symbols)
libpresage1v5 (0.9.1-2.2)
intelligent predictive text entry platform (shared library)
libpri-dev (1.6.0-2)
Primary Rate ISDN specification development files
libpri1.4 (1.6.0-2)
Primary Rate ISDN specification library
libprimesieve
本虛擬套件由這些套件填實: libprimesieve9
libprimesieve-dev (7.6+ds-1)
fast prime number generator C/C++ library -- libdev
libprimesieve-dev
本虛擬套件由這些套件填實: libprimesieve-dev
libprimesieve-dev-common (7.6+ds-1)
fast prime number generator C/C++ library -- headers
libprimesieve-dev-common
本虛擬套件由這些套件填實: libprimesieve-dev-common
libprimesieve9 (7.6+ds-1)
fast prime number generator C/C++ library -- lib
libprimus-vk1 (1.6.1-1)
vulkan layer library for the primus-vk layer
libprintsys (0.6-13+b2)
printcap parser, helper for gnulpr's printfilters
libprintsys-dev (0.6-13+b2)
printcap parser, helper for gnulpr's printfilters, dev files
libprismatic-plumbing-clojure (0.5.5-2)
Clojure utility belt library
libprismatic-schema-clojure (1.1.12-1)
Clojure(Script) library for declarative data description and validation
libprivileges-drop-perl (1.03-2.1)
module to make it simple to drop all privileges
libprobe-perl-perl (0.03-1.1)
module to obtain information about the currently running Perl interpreter
libproc-background-perl (1.21-1)
generic interface for Unix and Win32 background process management
libproc-daemon-perl (0.23-1)
module for running scripts as daemons
libproc-fastspawn-perl (1.2-1+b3)
module to fork+exec, or spawn, a subprocess as quickly as possible
libproc-fork-perl (0.806-1.1)
Perl interface to the fork() system call
libproc-guard-perl (0.07-1.1)
process runner with RAII pattern
libproc-invokeeditor-perl (1.13-1.1)
Perl extension for starting a text editor
libproc-pid-file-perl (1.27-4.1)
Perl module for managing process id files
libproc-processtable-perl (0.59-2+b1)
Perl library for accessing process table information
libproc-queue-perl (1.23-1.1)
Perl module to limit the number of child processes
libproc-reliable-perl (1.16-2)
Perl module to run external processes reliably
libproc-simple-perl (1.32-1)
Perl interface to launch and control background processes
libproc-syncexec-perl (1.01-3)
module to spawn processes but report exec() errors properly
libproc-terminator-perl (0.5-2)
module to conveniently terminate processes
libproc-wait3-perl (0.05-1+b7)
Perl interface to the wait3() system call
libproc-waitstat-perl (1.00-5)
module to interpret and act on wait() status values
libprocess-cpp-dev (3.0.1-8+b1)
C++11 library for handling processes (dev headers and libraries)
libprocess-cpp-doc (3.0.1-8)
C++11 library for handling processes (documentation)
libprocess-cpp3 (3.0.1-8+b1)
C++11 library for handling processes (runtime libraries)
libprocesscore9 (4:5.20.5-1)
library for system monitoring - processcore shared library
libprocessing-core-java (1.2.1-2)
Java animation and interaction library
libprocessui9 (4:5.20.5-1)
library for system monitoring - processui shared library
libprocps-dev (2:3.3.17-5)
library for accessing process information from /proc
libprocps8 (2:3.3.17-5)
library for accessing process information from /proc
libprocyon-java (0.5.36-1)
Procyon Framework
libprogress-any-output-termprogressbarcolor-perl (0.249-1)
module to output progress to terminal as color bar
libprogress-any-perl (0.219-1)
Perl module to record progress to any output
libproguard-java (6.2.0-1)
Java class file shrinker, optimizer, and obfuscator (Library)
libproj-dev (7.2.1-1)
Cartographic projection library (development files)
libproj19 (7.2.1-1)
Cartographic projection library
libprojectm-dev (3.1.7-1.1)
Advanced Milkdrop-compatible music visualization library - dev
libprojectm3 (3.1.7-1.1)
Advanced Milkdrop-compatible music visualization library
libprometheus-tiny-perl (0.005-1)
tiny module to export monitoring metrics for Prometheus
libpromises3 (3.15.2-3)
libpromises library for cfengine3 binaries
libproperties-cpp-dev (0.0.2-6)
C++11 library providing properties/signals - dev headers
libproperties-cpp-doc (0.0.2-6)
Documentation files for libproperties-cpp-dev
libproperties-maven-plugin-java (1.0.0-2)
Maven Plugin to read and write property files from mojo.codehaus.org
libprotobuf-c-dev (1.3.3-1+b2)
Protocol Buffers C static library and headers (protobuf-c)
libprotobuf-c1 (1.3.3-1+b2)
Protocol Buffers C shared library (protobuf-c)
libprotobuf-dev (3.12.4-1+deb11u1)
protocol buffers C++ library (development files) and proto files
libprotobuf-java (3.12.4-1+deb11u1)
Java bindings for protocol buffers
libprotobuf-java-format-java (1.3-1.1)
Library to serialize protobuf messages to XML, JSON and HTML
libprotobuf-lite23 (3.12.4-1+deb11u1)
protocol buffers C++ library (lite version)
libprotobuf23 (3.12.4-1+deb11u1)
protocol buffers C++ library
libprotoc-dev (3.12.4-1+deb11u1)
protocol buffers compiler library (development files)
libprotoc23 (3.12.4-1+deb11u1)
protocol buffers compiler library
libprotocol-http2-perl (1.10-1)
HTTP/2 protocol implementation with client and server libraries
libprotocol-irc-perl (0.12-3)
IRC protocol handling
libprotocol-osc-perl (0.09-1)
module to implement (de)coding and processing of OSC packets
libprotocol-websocket-perl (0.26-2)
Perl library that implements WebSocket protocol
libprotozero-dev (1.7.0-1)
Minimalistic protocol buffer decoder and encoder in C++
libprotozero-doc (1.7.0-1)
Minimalistic protocol buffer decoder and encoder in C++ - docs
libproxool-java (0.9.1-11)
Java JDBC connection pool
libproxy-dev (0.4.17-1)
automatic proxy configuration management library (devel)
libproxy-tools (0.4.17-1)
automatic proxy configuration management library (tools)
libproxy-vole-java (1.0.3-3)
Proxy Vole
libproxy1-plugin-gsettings (0.4.17-1)
automatic proxy configuration management library (GSettings plugin)
libproxy1-plugin-kconfig (0.4.17-1)
automatic proxy configuration management library (KConfig plugin)
libproxy1-plugin-networkmanager (0.4.17-1)
automatic proxy configuration management library (Network Manager plugin)
libproxy1-plugin-webkit (0.4.17-1)
automatic proxy configuration management library (Webkit plugin)
libproxy1v5 (0.4.17-1)
automatic proxy configuration management library (shared)
libproxychains-dev (3.1-9)
proxy chains -- shared library (development)
libproxychains3 (3.1-9)
proxy chains -- shared library (runtime)
libproxychains4 (4.14-3)
runtime shared library for proxychains-ng
libprpc-perl (0.1005-22.1)
Perl extensions for writing pRPC servers and clients
libpseudo (1.9.0+git20200626+067950b-2)
advanced tool for simulating superuser privileges
libpsi3-dev (3.4.0-6+b5 [mips64el], 3.4.0-6+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Quantum Chemical Program Suite (Development Libraries)
libpsimd-dev (0.0~git20200517.072586a-2)
Portable 128-bit SIMD intrinsics
libpskc-dev (2.6.6-3)
Development files for the OATH Toolkit Libpskc library
libpskc0 (2.6.6-3)
OATH Toolkit Libpskc library
libpsl-dev (0.21.0-1.2)
Library for Public Suffix List (development headers)
libpsl5 (0.21.0-1.2)
Library for Public Suffix List (shared libraries)
libpsm-infinipath1 (3.3+20.604758e7-6.1)
PSM Messaging library for Intel Truescale adapters
libpsm-infinipath1-dbg (3.3+20.604758e7-6.1)
Debugging symbols for libpsm-infinipath1
libpsm-infinipath1-dev (3.3+20.604758e7-6.1)
Development files for libpsm-infinipath1
libpsm2-2 (11.2.185-1)
Intel PSM2 library
libpsm2-2-compat (11.2.185-1)
Compat library for Intel PSM2
libpsm2-dev (11.2.185-1)
Development files for Intel PSM2 library
libpsocksxx-dev (1.1.1-1)
psocksxx is a C++ wrapper for POSIX sockets (development files)
libpsocksxx-doc (1.1.1-1)
psocksxx is a C++ wrapper for POSIX sockets (documentation)
libpsocksxx0 (1.1.1-1)
psocksxx is a C++ wrapper for POSIX sockets
libpspell-dev (0.60.8-3)
Development files for applications with pspell support
libpst-dev (0.6.75-1)
library for reading Microsoft Outlook PST files (development files)
libpst4 (0.6.75-1)
library for reading Microsoft Outlook PST files
libpstoedit-dev (3.75-1)
PostScript to editable vector graphics library (development files)
libpstoedit0c2a (3.75-1)
PostScript to editable vector graphics library (runtime files)
libpstreams-dev (1.0.3-1)
C++ iostream interface to POSIX process I/O
libpsurface-dbg (2.0.0-2+b1)
piecewise linear bijections between triangulated surfaces -- debug symbols
libpsurface-dev (2.0.0-2+b1)
piecewise linear bijections between triangulated surfaces -- development files
libpsurface0v5 (2.0.0-2+b1)
piecewise linear bijections between triangulated surfaces -- shared library
libptexenc-dev (2020.20200327.54578-7+deb11u1)
TeX Live: ptex encoding library (development part)
libptexenc1 (2020.20200327.54578-7+deb11u1)
TeX Live: pTeX encoding library
libpth-dev (2.0.7-22)
GNU Portable Threads (development)
libpth20 (2.0.7-22)
GNU Portable Threads
libpthread-stubs0-dev (0.4-1)
pthread stubs not provided by native libc, development files
libpthreadpool-dev (0.0~git20200615.029c886-2)
pthread-based thread pool for C/C++
libpthreadpool0 (0.0~git20200615.029c886-2)
pthread-based thread pool for C/C++
libptmap-ocaml (2.0.5-1)
Maps over integers implemented as Patricia trees (Runtime library)
libptmap-ocaml-1b3k8
本虛擬套件由這些套件填實: libptmap-ocaml
libptmap-ocaml-be7y0
本虛擬套件由這些套件填實: libptmap-ocaml
libptmap-ocaml-dev (2.0.5-1)
Maps over integers implemented as Patricia trees (Development package)
libptmap-ocaml-dev-1b3k8
本虛擬套件由這些套件填實: libptmap-ocaml-dev
libptmap-ocaml-dev-be7y0
本虛擬套件由這些套件填實: libptmap-ocaml-dev
libptmap-ocaml-dev-sxv96
本虛擬套件由這些套件填實: libptmap-ocaml-dev
libptmap-ocaml-doc (2.0.5-1)
Documentation for ocaml-ptmap
libptmap-ocaml-sxv96
本虛擬套件由這些套件填實: libptmap-ocaml
libptscotch-6.1 (6.1.0-2)
MPI programs and libraries for graph, mesh and hypergraph partitioning
libptscotch-dev (6.1.0-2)
MPI programs and libraries for graph, mesh and hypergraph partitioning
libpugixml-dev (1.11.4-1)
Light-weight C++ XML processing library (development)
libpugixml1v5 (1.11.4-1)
Light-weight C++ XML processing library
libpugl-0-0 (0~svn32+dfsg0-3)
portable API for OpenGL graphical interfaces
libpugl-dbg (0~svn32+dfsg0-3)
portable API for OpenGL graphical interfaces (debugging symbols)
libpugl-dev (0~svn32+dfsg0-3)
portable API for OpenGL graphical interfaces (headers)
libpulse-dev (14.2-2)
PulseAudio client development headers and libraries
libpulse-java (2.4.7-2)
PulseAudio sound driver for Java
libpulse-jni (2.4.7-2)
PulseAudio sound driver for Java (JNI libraries)
libpulse-mainloop-glib0 (14.2-2)
PulseAudio client libraries (glib support)
libpulse-ocaml (0.1.3-1+b1)
OCaml interface to the PulseAudio sound server
libpulse-ocaml-dev (0.1.3-1+b1)
OCaml interface to the pulseaudio library
libpulse-ocaml-dev-er978
本虛擬套件由這些套件填實: libpulse-ocaml-dev
libpulse-ocaml-dev-go709
本虛擬套件由這些套件填實: libpulse-ocaml-dev
libpulse-ocaml-dev-jchj6
本虛擬套件由這些套件填實: libpulse-ocaml-dev
libpulse-ocaml-dev-ybij4
本虛擬套件由這些套件填實: libpulse-ocaml-dev
libpulse-ocaml-er978
本虛擬套件由這些套件填實: libpulse-ocaml
libpulse-ocaml-go709
本虛擬套件由這些套件填實: libpulse-ocaml
libpulse-ocaml-jchj6
本虛擬套件由這些套件填實: libpulse-ocaml
libpulse-ocaml-ybij4
本虛擬套件由這些套件填實: libpulse-ocaml
libpulse0 (14.2-2)
PulseAudio client libraries
libpulsedsp (14.2-2)
PulseAudio OSS pre-load library
libpuma-dev (1:2.3-4)
C/C++/AspectC++ Scanner and Parsers
libpuma-doc (1:2.3-4)
C/C++/AspectC++ Scanner and Parsers
libpuppetlabs-http-client-clojure (1.2.0-2)
Clojure wrapper around libhttpasyncclient-java
libpuppetlabs-i18n-clojure (0.9.0-2)
Clojure i18n library
libpuppetlabs-ring-middleware-clojure (1.3.0-2)
common Ring middleware for Puppet projects
libpurelibc-dev (1.0.4-1)
Overlay library for glibc that allows system call capturing - development
libpurelibc1 (1.0.4-1)
Overlay library for glibc that allows system call capturing
libpurify-dev (2.0.0-5+b4)
Routines for radio interferometric imaging (development files)
libpurify2.0 (2.0.0-5+b4)
Routines for radio interferometric imaging (shared lib)
libpurple-bin (2.14.1-1)
multi-protocol instant messaging library - extra utilities
libpurple-dev (2.14.1-1)
multi-protocol instant messaging library - development files
libpurple0 (2.14.1-1)
multi-protocol instant messaging library
libpuzzle-bin (0.11-3+b1)
quick similar image finder - runtime tool
libpuzzle-dev (0.11-3+b1)
quick similar image finder - development files
libpuzzle1 (0.11-3+b1)
quick similar image finder - shared library
libpvec-dev (1.0.4+dfsg-3)
Development files for the Power Vector Library
libpvec1 (1.0.4+dfsg-3)
Power Vector Library
libpvm3 (3.4.6-3)
Parallel Virtual Machine - shared libraries
libpwiz-dev (3.0.18342-4+b1)
library to perform proteomics data analyses (devel files)
libpwiz-doc (3.0.18342-4)
set of programs to perform proteomics data analyses (doc)
libpwiz-tools (3.0.18342-4+b1)
ProteoWizard command line tools
libpwiz3 (3.0.18342-4+b1)
library to perform proteomics data analyses (runtime)
libpwizlite-dev (3.0.3-1+b1)
Library to load mzML/mzXML files (dev files)
libpwizlite3 (3.0.3-1+b1)
Library to load mzML/mzXML files (runtime files)
libpwquality-common (1.4.4-1)
library for password quality checking and generation (data files)
libpwquality-dev (1.4.4-1)
Password quality checking and generation (development files)
libpwquality-tools (1.4.4-1)
tools for password quality checking and generation
libpwquality1 (1.4.4-1)
library for password quality checking and generation
libpxp-ocaml-dev (1.2.9-2+b4)
OCaml library that implements an XML-1.0 validating parser
libpxp-ocaml-dev-mewb9
本虛擬套件由這些套件填實: libpxp-ocaml-dev
libpxp-ocaml-dev-nliu8
本虛擬套件由這些套件填實: libpxp-ocaml-dev
libpxp-ocaml-dev-nlpl2
本虛擬套件由這些套件填實: libpxp-ocaml-dev
libpxp-ocaml-dev-pis38
本虛擬套件由這些套件填實: libpxp-ocaml-dev
libpyml-ocaml (20200518-2+b2)
OCaml bindings for Python (runtime)
libpyml-ocaml-36vt7
本虛擬套件由這些套件填實: libpyml-ocaml
libpyml-ocaml-dev (20200518-2+b2)
OCaml bindings for Python (development)
libpyml-ocaml-dev-36vt7
本虛擬套件由這些套件填實: libpyml-ocaml-dev
libpyml-ocaml-dev-nx0p0
本虛擬套件由這些套件填實: libpyml-ocaml-dev
libpyml-ocaml-dev-q4fw9
本虛擬套件由這些套件填實: libpyml-ocaml-dev
libpyml-ocaml-dev-rg7r8
本虛擬套件由這些套件填實: libpyml-ocaml-dev
libpyml-ocaml-nx0p0
本虛擬套件由這些套件填實: libpyml-ocaml
libpyml-ocaml-q4fw9
本虛擬套件由這些套件填實: libpyml-ocaml
libpyml-ocaml-rg7r8
本虛擬套件由這些套件填實: libpyml-ocaml
libpynac-dev (0.7.27-1)
Engine for symbolic geometric calculus for Python (development files)
libpynac18py3 (0.7.27-1)
Engine for symbolic geometric calculus for Python
libpypy-dev
本虛擬套件由這些套件填實: pypy-dev, pypy3-dev
libpyside2-dev (5.15.2-1)
Python bindings for Qt5 (development files)
libpyside2-py3
本虛擬套件由這些套件填實: libpyside2-py3-5.15
libpyside2-py3-5.15 (5.15.2-1)
Python 3 bindings for Qt5 (base files)
libpython-all-dbg (2.7.18-3)
package depending on all supported Python2 debugging packages
libpython-all-dev (2.7.18-3)
package depending on all supported Python2 development packages
libpython2-dbg (2.7.18-3)
debug build of the Python2 interpreter (version 2.7)
libpython2-dev (2.7.18-3)
header files and a static library for Python2
libpython2-stdlib (2.7.18-3)
interactive high-level object-oriented language (Python2)
libpython2.7 (2.7.18-8+deb11u1)
Shared Python runtime library (version 2.7)
libpython2.7-dbg (2.7.18-8+deb11u1)
Debug Build of the Python Interpreter (version 2.7)
libpython2.7-dev (2.7.18-8+deb11u1)
Header files and a static library for Python (v2.7)
libpython2.7-minimal (2.7.18-8+deb11u1)
Minimal subset of the Python language (version 2.7)
libpython2.7-stdlib (2.7.18-8+deb11u1)
Interactive high-level object-oriented language (standard library, version 2.7)
libpython2.7-testsuite (2.7.18-8+deb11u1)
Testsuite for the Python standard library (v2.7)
libpython3-all-dbg (3.9.2-3)
package depending on all supported Python 3 debugging packages
libpython3-all-dev (3.9.2-3)
package depending on all supported Python 3 development packages
libpython3-dbg (3.9.2-3)
debug build of the Python 3 Interpreter (version 3.9)
libpython3-dev (3.9.2-3)
header files and a static library for Python (default)
libpython3-stdlib (3.9.2-3)
interactive high-level object-oriented language (default python3 version)
libpython3.9 (3.9.2-1)
Shared Python runtime library (version 3.9)
libpython3.9-dbg (3.9.2-1)
Debug Build of the Python Interpreter (version 3.9)
libpython3.9-dev (3.9.2-1)
Header files and a static library for Python (v3.9)
libpython3.9-minimal (3.9.2-1)
Minimal subset of the Python language (version 3.9)
libpython3.9-stdlib (3.9.2-1)
Interactive high-level object-oriented language (standard library, version 3.9)
libpython3.9-testsuite (3.9.2-1)
Testsuite for the Python standard library (v3.9)
libpyzy-1.0-0v5 (1.0.1-7)
Chinese PinYin and Bopomofo conversion library
libpyzy-dev (1.0.1-7)
Development files of libpyzy
libqaccessibilityclient-qt5-0 (0.4.1-1+b1)
helper to make writing accessibility tools easier
libqaccessibilityclient-qt5-dev (0.4.1-1+b1)
helper to make writing accessibility tools easier -- development
libqalculate-dev (2.8.2-1.1)
Powerful and easy to use desktop calculator - development
libqalculate-doc (2.8.2-1.1)
Powerful and easy to use desktop calculator - documentation
libqalculate20 (2.8.2-1.1)
Powerful and easy to use desktop calculator - library
libqalculate20-data (2.8.2-1.1)
Powerful and easy to use desktop calculator - data
libqapt-dev (3.0.5-1)
Development headers for the QApt library
libqapt3 (3.0.5-1)
QApt library package
libqapt3-runtime (3.0.5-1)
Runtime components for the QApt library
libqb-dev (2.0.3-1)
high performance client server features library (devel files)
libqb-tools (2.0.3-1)
high performance client server features library (tools)
libqb100 (2.0.3-1)
high performance client server features library
libqbscore1.18 (1.18.0-5)
Qbs core library
libqca-qt5-2 (2.3.2-2)
libraries for the Qt Cryptographic Architecture
libqca-qt5-2-dev (2.3.2-2)
development files for the Qt Cryptographic Architecture
libqca-qt5-2-plugins (2.3.2-2)
QCA plugins for libqca2
libqca2-doc (2.3.2-2)
API documentation for the Qt Cryptographic Architecture
libqcheck-core-ocaml (0.15-1+b1)
QuickCheck inspired property-based testing for OCaml (Runtime library)
libqcheck-core-ocaml-32cu4
本虛擬套件由這些套件填實: libqcheck-core-ocaml
libqcheck-core-ocaml-3ff48
本虛擬套件由這些套件填實: libqcheck-core-ocaml
libqcheck-core-ocaml-dev (0.15-1+b1)
QuickCheck inspired property-based testing for OCaml (Development package)
libqcheck-core-ocaml-dev-32cu4
本虛擬套件由這些套件填實: libqcheck-core-ocaml-dev
libqcheck-core-ocaml-dev-3ff48
本虛擬套件由這些套件填實: libqcheck-core-ocaml-dev
libqcheck-core-ocaml-dev-etq95
本虛擬套件由這些套件填實: libqcheck-core-ocaml-dev
libqcheck-core-ocaml-dev-ke6l9
本虛擬套件由這些套件填實: libqcheck-core-ocaml-dev
libqcheck-core-ocaml-etq95
本虛擬套件由這些套件填實: libqcheck-core-ocaml
libqcheck-core-ocaml-ke6l9
本虛擬套件由這些套件填實: libqcheck-core-ocaml
libqcheck-ocaml (0.15-1+b1)
QuickCheck inspired property-based testing for OCaml (Runtime library)
libqcheck-ocaml-53o89
本虛擬套件由這些套件填實: libqcheck-ocaml
libqcheck-ocaml-bjs78
本虛擬套件由這些套件填實: libqcheck-ocaml
libqcheck-ocaml-dev (0.15-1+b1)
QuickCheck inspired property-based testing for OCaml (Development package)
libqcheck-ocaml-dev-53o89
本虛擬套件由這些套件填實: libqcheck-ocaml-dev
libqcheck-ocaml-dev-bjs78
本虛擬套件由這些套件填實: libqcheck-ocaml-dev
libqcheck-ocaml-dev-go4d8
本虛擬套件由這些套件填實: libqcheck-ocaml-dev
libqcheck-ocaml-dev-h8n53
本虛擬套件由這些套件填實: libqcheck-ocaml-dev
libqcheck-ocaml-doc (0.15-1)
Documentation for ocaml-qcheck
libqcheck-ocaml-go4d8
本虛擬套件由這些套件填實: libqcheck-ocaml
libqcheck-ocaml-h8n53
本虛擬套件由這些套件填實: libqcheck-ocaml
libqcheck-ounit-ocaml (0.15-1+b1)
QCheck integration with OUnit (Runtime library)
libqcheck-ounit-ocaml-8cm34
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml
libqcheck-ounit-ocaml-dev (0.15-1+b1)
QCheck integration with OUnit (Development package)
libqcheck-ounit-ocaml-dev-8cm34
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml-dev
libqcheck-ounit-ocaml-dev-i3m46
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml-dev
libqcheck-ounit-ocaml-dev-oyj12
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml-dev
libqcheck-ounit-ocaml-dev-ws2z6
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml-dev
libqcheck-ounit-ocaml-i3m46
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml
libqcheck-ounit-ocaml-oyj12
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml
libqcheck-ounit-ocaml-ws2z6
本虛擬套件由這些套件填實: libqcheck-ounit-ocaml
libqcow-dev (20201213-1)
QEMU Copy-On-Write image format access library -- development files
libqcow-utils (20201213-1)
QEMU Copy-On-Write image format access library -- Utilities
libqcow1 (20201213-1)
QEMU Copy-On-Write image format access library
libqcsxcad0 (0.0.35+git20190103.6a75e98+dfsg.1-3)
QT Gui Library for Visualization of Continuous Structure XML
libqcustomplot-dev (2.0.1+dfsg1-4)
Qt C++ widget for plotting - header
libqcustomplot-doc (2.0.1+dfsg1-4)
Qt C++ widget for plotting - documentation and examples
libqcustomplot2.0 (2.0.1+dfsg1-4)
Qt C++ widget for plotting
libqd-dev (2.3.22+dfsg.1-3)
Double-double and quad double types in C++ and F90
libqd0v5 (2.3.22+dfsg.1-3)
Double-double and quad double types in C++ and F90
libqdbm++-dev (1.8.78-10)
QDBM Database Libraries for C++ [development] (transitional package)
libqdbm-dev (1.8.78-10)
QDBM Database Libraries [development]
libqdbm-java (1.8.78-10)
QDBM Database Libraries for Java
libqdbm-perl (1.8.78-10)
QDBM Database Libraries for Perl
libqdbm14 (1.8.78-10)
QDBM Database Libraries without GDBM wrapper[runtime]
libqdbm3++c2 (1.8.78-10)
QDBM Database Libraries for C++ [runtime] (transitional package)
libqdox-java (1.12.1-3)
Quickly parses declarations and Javadoc from Java source
libqdox-java-doc (1.12.1-3)
Documentation for qdox (javadoc)
libqdox2-java (2.0.0-1)
quickly parses declarations and Javadoc from Java source
libqdox2-java-doc (2.0.0-1)
Documentation for QDox
libqdwizard-java (5.0.1-1.1)
simple Java Wizard API
libqes-dev (0.2.8+ds-1)
DNA sequence parsing library -- development
libqes0 (0.2.8+ds-1)
DNA sequence parsing library
libqfits-dev (6.2.0-8+b2)
Development files for libqfits
libqfits0 (6.2.0-8+b2)
Library offering easy access to FITS files
libqgis-3d3.10.14 (3.10.14+dfsg-1)
QGIS - shared 3d library
libqgis-analysis3.10.14 (3.10.14+dfsg-1)
QGIS - shared analysis library
libqgis-app3.10.14 (3.10.14+dfsg-1)
QGIS - shared app library
libqgis-core3.10.14 (3.10.14+dfsg-1)
QGIS - shared core library
libqgis-customwidgets (3.10.14+dfsg-1)
QGIS custom widgets for Qt Designer
libqgis-dev (3.10.14+dfsg-1)
QGIS - development files
libqgis-gui3.10.14 (3.10.14+dfsg-1)
QGIS - shared gui library
libqgis-native3.10.14 (3.10.14+dfsg-1)
QGIS - shared native gui library
libqgis-server3.10.14 (3.10.14+dfsg-1)
QGIS - shared server library
libqgisgrass7-3.10.14 (3.10.14+dfsg-1)
QGIS - shared grass library
libqgispython3.10.14 (3.10.14+dfsg-1)
QGIS - shared Python library
libqglviewer-dev-qt5 (2.6.3+dfsg2-9)
OpenGL 3D viewer library based on Qt5 - development files
libqglviewer-doc (2.6.3+dfsg2-9)
OpenGL 3D viewer library - documentation and examples
libqglviewer-headers (2.6.3+dfsg2-9)
OpenGL 3D viewer library - header files
libqglviewer2-qt5 (2.6.3+dfsg2-9)
OpenGL 3D viewer library based on Qt - runtime library
libqgpgme7 (1.14.0-1+b2)
library for GPGME integration with Qt
libqgpsmm-dev (3.22-4)
Global Positioning System - Qt wrapper for libgps (development)
libqgpsmm28 (3.22-4)
Global Positioning System - Qt wrapper for libgps
libqhttpengine-dev (0.1.0+dfsg1-1.1)
HTTP server for Qt applications - development files
libqhttpengine-doc (0.1.0+dfsg1-1.1)
HTTP server for Qt applications - documentation
libqhttpengine-examples (0.1.0+dfsg1-1.1)
HTTP server for Qt applications - examples
libqhttpengine0 (0.1.0+dfsg1-1.1)
HTTP server for Qt applications
libqhull-dev (2020.2-3)
calculate convex hulls and related structures (development files)
libqhull-doc (2020.2-3)
calculate convex hulls and related structures (documentation files)
libqhull-r8.0 (2020.2-3)
calculate convex hulls and related structures (reentrant shared library)
libqhull8.0 (2020.2-3)
calculate convex hulls and related structures (shared library)
libqhullcpp8.0 (2020.2-3)
calculate convex hulls and related structures (C++ shared library)
libqjdns-qt5-2 (2.0.3-1.1)
Simple DNS queries library - Qt5 wrapper
libqjdns-qt5-dbg (2.0.3-1.1)
Simple DNS queries library (Qt5) - debugging symbols
libqjdns-qt5-dev (2.0.3-1.1)
Simple DNS queries library Qt5 wrapper - development files
libqm-dsp-dev (1.7.1-4)
Development files (headers) for libqm-dsp library
libqm-dsp0 (1.7.1-4)
C++ library for audio signals analysis
libqmath3d-dev (0~1.0-4)
Useful 3dmaths functions from Qt3d v1.0
libqmath3d1 (0~1.0-4)
Useful 3dmaths functions from Qt3d v1.0
libqmenumodel-dev (0.8.0-2)
Qt binding for GMenuModel - development files
libqmenumodel0 (0.8.0-2)
Qt binding for GMenuModel - shared library
libqmi-glib-dev (1.26.10-0.1)
Header files for adding QMI support to applications that use glib
libqmi-glib-doc (1.26.10-0.1)
API documentation for libqmi-glib
libqmi-glib5 (1.26.10-0.1)
Support library to use the Qualcomm MSM Interface (QMI) protocol
libqmi-proxy (1.26.10-0.1)
Proxy to communicate with QMI ports
libqmi-utils (1.26.10-0.1)
Utilities to use the QMI protocol from the command line
libqmobipocket-dev (4:20.12.0-1)
development files for the QMobipocket library
libqmobipocket2 (4:20.12.0-1)
library for reading Mobipocket documents
libqnodeeditor-dev (2.1.7-1)
Qt-based library for graph-controlled data processing (dev)
libqnodeeditor2 (2.1.7-1)
Qt-based library for graph-controlled data processing
libqoauth-dev (2.0.1~1-3+b1 [mips64el], 2.0.1~1-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Qt5-based OAuth implementation development headers
libqoauth2 (2.0.1~1-3+b1 [mips64el], 2.0.1~1-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Qt5-based client implementation of the OAuth authorization scheme
libqpdf-dev (10.1.0-1)
development files for PDF transformation/inspection library
libqpdf28 (10.1.0-1)
runtime library for PDF transformation/inspection software
libqpid-proton-cpp-dev
本虛擬套件由這些套件填實: libqpid-proton-cpp12-dev
libqpid-proton-cpp12 (0.22.0-5.1)
C++ libraries for Qpid Proton
libqpid-proton-cpp12-dev (0.22.0-5.1)
C++ Development libraries for writing messaging apps with Qpid Proton
libqpid-proton-cpp12-dev-doc (0.22.0-5.1)
C++ developer documentation for Qpid Proton
libqpid-proton-dev
本虛擬套件由這些套件填實: libqpid-proton11-dev
libqpid-proton-dev-doc
本虛擬套件由這些套件填實: libqpid-proton11-dev-doc
libqpid-proton-dev-examples
本虛擬套件由這些套件填實: libqpid-proton11-dev-examples
libqpid-proton11 (0.22.0-5.1)
C libraries for Qpid Proton
libqpid-proton11-dev (0.22.0-5.1)
C Development libraries for writing messaging apps with Qpid Proton
libqpid-proton11-dev-doc (0.22.0-5.1)
Developer documentation for Qpid Proton
libqpid-proton11-dev-examples (0.22.0-5.1)
Example applications for writign messaging apps with Qpid Proton
libqpx-dev (0.8.1-1)
CD/DVD quality checker (development files)
libqpx0 (0.8.1-1)
CD/DVD quality checker (shared libraries)
libqqwing-dev (1.3.4-1.1+b1)
tool for generating and solving Sudoku puzzles (development)
libqqwing2v5 (1.3.4-1.1+b1)
tool for generating and solving Sudoku puzzles (library)
libqrcodegen-dev (1.6.0-1)
QR Code generator library in multiple languages - C development headers
libqrcodegen1 (1.6.0-1)
QR Code generator library in multiple languages - C version
libqrcodegencpp-dev (1.6.0-1)
QR Code generator library in multiple languages - C++ development headers
libqrcodegencpp1 (1.6.0-1)
QR Code generator library in multiple languages - C++ version
libqrencode-dev (4.1.1-1)
QR Code encoding library -- development
libqrencode4 (4.1.1-1)
QR Code encoding library
libqrupdate-dev (1.1.2-4)
Fast updates of QR and Cholesky decompositions -- static library
libqrupdate1 (1.1.2-4)
Fast updates of QR and Cholesky decompositions
libqsastime-dev (5.15.0+dfsg-19)
Time format conversion library (development files)
libqsastime0 (5.15.0+dfsg-19)
Time format conversion library
libqscintilla2-doc (2.11.6+dfsg-2)
API documentation for QScintilla 2
libqscintilla2-qt5-15 (2.11.6+dfsg-2)
Qt5 port of the Scintilla source code editing widget
libqscintilla2-qt5-designer (2.11.6+dfsg-2)
Qt5 Designer plugin for QScintilla 2
libqscintilla2-qt5-dev (2.11.6+dfsg-2)
Scintilla source code editing widget for Qt5, development files
libqscintilla2-qt5-l10n (2.11.6+dfsg-2)
Scintilla source code editing widget for Qt5, translation files
libqsopt-ex-dev (2.5.10.3-2)
Exact linear programming solver -- development files
libqsopt-ex2 (2.5.10.3-2)
Exact linear programming solver -- shared library
libqt5-ukui-style
本虛擬套件由這些套件填實: libqt5-ukui-style1
libqt5-ukui-style-dev (1.0.6-1)
Development files of libqt5-ukui-style1
libqt5-ukui-style1 (1.0.6-1)
UKUI platform theme and styles' shared library
libqt53danimation5 (5.15.2+dfsg-2)
Qt 3D animation module
libqt53dcore5 (5.15.2+dfsg-2)
Qt 3D module
libqt53dextras5 (5.15.2+dfsg-2)
Qt 3D extras
libqt53dinput5 (5.15.2+dfsg-2)
Qt 3D Input module
libqt53dlogic5 (5.15.2+dfsg-2)
Qt 3D logic module
libqt53dquick5 (5.15.2+dfsg-2)
Qt 3D Quick module
libqt53dquickanimation5 (5.15.2+dfsg-2)
Qt 3D Quick animation module
libqt53dquickextras5 (5.15.2+dfsg-2)
Qt 3D Quick extras
libqt53dquickinput5 (5.15.2+dfsg-2)
Qt 3D Quick input
libqt53dquickrender5 (5.15.2+dfsg-2)
Qt 3D Quick Renderer module
libqt53dquickscene2d5 (5.15.2+dfsg-2)
Qt 3D Quick scene module
libqt53drender5 (5.15.2+dfsg-2)
Qt 3D Renderer module
libqt5bluetooth5 (5.15.2-2)
Qt Connectivity Bluetooth module
libqt5bluetooth5-bin (5.15.2-2)
Qt Connectivity Bluetooth module helper binaries
libqt5charts5 (5.15.2-2)
Qt charts shared library
libqt5charts5-dev (5.15.2-2)
Qt charts development files
libqt5concurrent5 (5.15.2+dfsg-9)
Qt 5 concurrent module
libqt5contacts5 (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt PIM module, Contacts library
libqt5core5a (5.15.2+dfsg-9)
Qt 5 core module
libqt5datavisualization5 (5.15.2-2)
Qt 5 Data Visualization module
libqt5datavisualization5-dev (5.15.2-2)
APIs for data visualization functionality - development files
libqt5dbus5 (5.15.2+dfsg-9)
Qt 5 D-Bus module
libqt5deepintheme-plugin
本虛擬套件由這些套件填實: dde-qt5integration
libqt5designer5 (5.15.2-5)
Qt 5 designer module
libqt5designercomponents5 (5.15.2-5)
Qt 5 Designer components module
libqt5dxcb-plugin
本虛擬套件由這些套件填實: qt5dxcb-plugin
libqt5feedback5 (5.0~git20180329.a14bd0bb-3)
Qt Feedback module
libqt5gamepad5 (5.15.2-2)
Qt 5 gamepad module
libqt5gamepad5-dev (5.15.2-2)
Qt 5 gamepad module - development files
libqt5glib-2.0-0 (1.2.0-5.1)
C++ bindings library for GLib and GObject with a Qt-style API - Qt 5 build
libqt5gstreamer-1.0-0 (1.2.0-5.1)
C++ bindings library for GStreamer with a Qt-style API - Qt 5 build
libqt5gstreamer-dev (1.2.0-5.1)
Development headers for QtGStreamer - Qt 5 build
libqt5gstreamerquick-1.0-0 (1.2.0-5.1)
QtGStreamerQuick library - Qt 5 build
libqt5gstreamerui-1.0-0 (1.2.0-5.1)
QtGStreamerUi library - Qt 5 build
libqt5gstreamerutils-1.0-0 (1.2.0-5.1)
QtGStreamerUtils library - Qt 5 build
libqt5gui5 (5.15.2+dfsg-9)
Qt 5 GUI module
libqt5gui5-gles (5.15.2+dfsg-4)
Qt 5 GUI module — OpenGL ES variant
libqt5help5 (5.15.2-5)
Qt 5 help module
libqt5hunspellinputmethod5 (5.15.2+dfsg-2)
Qt virtual keyboard - helper library for Hunspell input method
libqt5keychain1 (0.10.0-1)
Qt API to store passwords (QT5 version)
libqt5location5 (5.15.2+dfsg-2)
Qt Location module
libqt5location5-plugin-mapboxgl (5.15.2+dfsg-2)
Qt Location module - Mapbox GL plugin
libqt5location5-plugins (5.15.2+dfsg-2)
Qt Location module - geolocation plugins
libqt5multimedia5 (5.15.2-3)
Qt 5 Multimedia module
libqt5multimedia5-plugins (5.15.2-3)
Qt 5 Multimedia module plugins
libqt5multimediagsttools5 (5.15.2-3)
GStreamer tools for Qt 5 Multimedia module
libqt5multimediaquick5 (5.15.2-3)
Qt 5 Multimedia Quick module
libqt5multimediawidgets5 (5.15.2-3)
Qt 5 Multimedia Widgets module
libqt5network5 (5.15.2+dfsg-9)
Qt 5 network module
libqt5networkauth5 (5.15.2-2)
online account access for Qt apps - Library
libqt5networkauth5-dev (5.15.2-2)
online account access for Qt apps - Development Files
libqt5nfc5 (5.15.2-2)
Qt Connectivity NFC module
libqt5opengl5 (5.15.2+dfsg-9)
Qt 5 OpenGL module
libqt5opengl5-desktop-dev (= 5.15.2+dfsg-9)
本虛擬套件由這些套件填實: libqt5opengl5-dev
libqt5opengl5-dev (5.15.2+dfsg-9)
Qt 5 OpenGL library development files
libqt5organizer5 (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt PIM module, Organizer library
libqt5pas-dev (2.6+2.0.8+dfsg-2)
Development files for Qt5Pas
libqt5pas1 (2.6+2.0.8+dfsg-2)
Qt5 interface bindings for Pascal
libqt5pdf5 (5.15.2+dfsg-3)
Qt 5 PDF library
libqt5pdfwidgets5 (5.15.2+dfsg-3)
Qt 5 PDF Widgets library
libqt5positioning5 (5.15.2+dfsg-2)
Qt Positioning module
libqt5positioning5-plugins (5.15.2+dfsg-2)
Qt Positioning module - position plugins
libqt5positioningquick5 (5.15.2+dfsg-2)
Qt Positioning module - Qt Quick module
libqt5printsupport5 (5.15.2+dfsg-9)
Qt 5 print support module
libqt5publishsubscribe5 (5.0~git20181230.e3332ee3-4+b3)
Qt Systems module - publish subscribe
libqt5qevercloud3 (3.0.3+ds-6)
Unofficial Evernote Cloud API library for Qt5
libqt5qml5 (5.15.2+dfsg-6)
Qt 5 QML module
libqt5qmlmodels5 (5.15.2+dfsg-6)
Qt 5 QML Models library
libqt5qmlworkerscript5 (5.15.2+dfsg-6)
Qt 5 QML Worker Script library
libqt5quick5 (5.15.2+dfsg-6)
Qt 5 Quick library
libqt5quick5-gles (5.15.2+dfsg-2)
Qt 5 Quick library — OpenGL ES variant
libqt5quickcontrols2-5 (5.15.2+dfsg-2)
Qt 5 Quick Controls 2 library
libqt5quickparticles5 (5.15.2+dfsg-6)
Qt 5 Quick particles module
libqt5quickparticles5-gles (5.15.2+dfsg-2)
Qt 5 Quick particles module — OpenGL ES variant
libqt5quickshapes5 (5.15.2+dfsg-6)
Qt 5 Quick Shapes module
libqt5quicktemplates2-5 (5.15.2+dfsg-2)
Qt 5 Quick Templates 2 library
libqt5quicktest5 (5.15.2+dfsg-6)
Qt 5 Quick Test library
libqt5quickwidgets5 (5.15.2+dfsg-6)
Qt 5 Quick Widgets library
libqt5remoteobjects5 (5.15.2-2)
Qt module for IPC
libqt5remoteobjects5-bin (5.15.2-2)
Qt module for IPC — the Replica Compiler (repc)
libqt5remoteobjects5-dev (5.15.2-2)
Qt module for IPC — development files
libqt5scintilla2-dev
本虛擬套件由這些套件填實: libqscintilla2-qt5-dev
libqt5script5 (5.15.2+dfsg-2)
Qt 5 script module
libqt5scripttools5 (5.15.2+dfsg-2)
Qt 5 script tools module
libqt5scxml5 (5.15.2-2)
Qt module for creating state machines from SCXML files library
libqt5scxml5-bin (5.15.2-2)
Qt module for creating state machines from SCXML files helper
libqt5scxml5-dev (5.15.2-2)
Qt module for creating state machines from SCXML files development
libqt5scxml5-private-dev (5.15.2-2)
Qt SCXML private development files
libqt5sensors5 (5.15.2-2)
Qt Sensors module
libqt5sensors5-dev (5.15.2-2)
Qt 5 Sensors development files
libqt5serialbus5 (5.15.2-2)
Qt serialbus - serial bus access shared library
libqt5serialbus5-bin (5.15.2-2)
Qt Serialbus module serial bus access helper binaries
libqt5serialbus5-dev (5.15.2-2)
Qt serialbus serial bus access development
libqt5serialbus5-plugins (5.15.2-2)
Qt serialbus - serial bus access plugins
libqt5serialport5 (5.15.2-2)
Qt 5 serial port support
libqt5serialport5-dev (5.15.2-2)
Qt 5 serial port development files
libqt5serviceframework5 (5.0~git20181230.e3332ee3-4+b3)
Qt Systems module - service framework
libqt5sql5 (5.15.2+dfsg-9)
Qt 5 SQL module
libqt5sql5-ibase (5.15.2+dfsg-9)
Qt 5 Firebird database driver
libqt5sql5-mysql (5.15.2+dfsg-9)
Qt 5 MySQL database driver
libqt5sql5-odbc (5.15.2+dfsg-9)
Qt 5 ODBC database driver
libqt5sql5-psql (5.15.2+dfsg-9)
Qt 5 PostgreSQL database driver
libqt5sql5-sqlite (5.15.2+dfsg-9)
Qt 5 SQLite 3 database driver
libqt5sql5-tds (5.15.2+dfsg-9)
Qt 5 FreeTDS database driver
libqt5svg5 (5.15.2-3)
Qt 5 SVG module
libqt5svg5-dev (5.15.2-3)
Qt 5 SVG module development files
libqt5systeminfo5 (5.0~git20181230.e3332ee3-4+b3)
Qt Systems module - system info
libqt5test5 (5.15.2+dfsg-9)
Qt 5 test module
libqt5texttospeech5 (5.15.2-2)
Speech library for Qt - libraries
libqt5texttospeech5-dev (5.15.2-2)
Speech library for Qt - development files
libqt5versit5 (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt PIM module, Versit library
libqt5versitorganizer5 (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt PIM module, Versit Organizer library
libqt5virtualkeyboard5 (5.15.2+dfsg-2)
Qt virtual keyboard - public shared library
libqt5virtualkeyboard5-dev (5.15.2+dfsg-2)
Qt virtual keyboard - development files
libqt5waylandclient5 (5.15.2-3)
QtWayland client library
libqt5waylandclient5-dev (5.15.2-3)
QtWayland client development files
libqt5waylandcompositor5 (5.15.2-3)
QtWayland compositor library
libqt5waylandcompositor5-dev (5.15.2-3)
QtWayland compositor development files
libqt5webchannel5 (5.15.2-2)
Web communication library for Qt
libqt5webchannel5-dev (5.15.2-2)
Web communication library for Qt - development files
libqt5webengine-data (5.15.2+dfsg-3)
Web content engine library for Qt - Data
libqt5webengine5 (5.15.2+dfsg-3)
Web content engine library for Qt
libqt5webenginecore5 (5.15.2+dfsg-3)
Web content engine library for Qt - Core
libqt5webenginewidgets5 (5.15.2+dfsg-3)
Web content engine library for Qt - Widget
libqt5webkit5 (5.212.0~alpha4-11)
Web content engine library for Qt
libqt5webkit5-dev (5.212.0~alpha4-11)
Web content engine library for Qt - development files
libqt5websockets5 (5.15.2-2)
Qt 5 Web Sockets module
libqt5websockets5-dev (5.15.2-2)
Qt 5 Web Sockets module - development files
libqt5webview5 (5.15.2-2)
display web content in a QML application - Library
libqt5webview5-dev (5.15.2-2)
display web content in a QML application - Development Files
libqt5widgets5 (5.15.2+dfsg-9)
Qt 5 widgets module
libqt5x11extras5 (5.15.2-2)
Qt 5 X11 extras
libqt5x11extras5-dev (5.15.2-2)
Qt 5 X11 extras development files
libqt5xdg
本虛擬套件由這些套件填實: libqt5xdg3
libqt5xdg-dev (3.6.0-1)
Development files for libqtxdg
libqt5xdg3 (3.6.0-1)
Implementation of the XDG Specifications for Qt (shared lib)
libqt5xdgiconloader
本虛擬套件由這些套件填實: libqt5xdgiconloader3
libqt5xdgiconloader-dev (3.6.0-1)
Development files for libqtxdgiconloader
libqt5xdgiconloader3 (3.6.0-1)
Implementation of the XDG Iconloader for Qt (shared lib)
libqt5xml5 (5.15.2+dfsg-9)
Qt 5 XML module
libqt5xmlpatterns5 (5.15.2-3)
Qt 5 XML patterns module
libqt5xmlpatterns5-dev (5.15.2-3)
Qt 5 XML patterns development files
libqtav-dev (1.13.0+ds-3+b2)
QtAV development files
libqtav-private-dev (1.13.0+ds-3+b2)
QtAV private development files
libqtav1 (1.13.0+ds-3+b2)
QtAV library
libqtavwidgets1 (1.13.0+ds-3+b2)
QtAV Widgets module
libqtcurve-utils2 (1.9-7+b2)
common library for QtCurve
libqtdbusmock1 (0.7+bzr49+repack1-5)
Library for mocking DBus interactions using Qt
libqtdbusmock1-common (0.7+bzr49+repack1-5)
Library for mocking DBus interactions using Qt (common files)
libqtdbusmock1-dev (0.7+bzr49+repack1-5)
Library for mocking DBus interactions using Qt (development files)
libqtdbustest1 (0.2+bzr42+repack1-11)
Library for testing DBus interactions using Qt
libqtdbustest1-dev (0.2+bzr42+repack1-11)
Library for testing DBus interactions using Qt (development files)
libqtermwidget5-0 (0.16.1-1)
Terminal emulator widget for Qt 5 (shared libraries)
libqtermwidget5-0-dev (0.16.1-1)
Terminal emulator widget for Qt 5 (development files)
libqtest-ocaml (2.11.1-1)
Inline (Unit) Tests for OCaml (Runtime library)
libqtest-ocaml-dev (2.11.1-1)
Inline (Unit) Tests for OCaml (Development package)
libqtest-ocaml-dev-m35y3
本虛擬套件由這些套件填實: libqtest-ocaml-dev
libqtest-ocaml-dev-xj5k0
本虛擬套件由這些套件填實: libqtest-ocaml-dev
libqtest-ocaml-doc (2.11.1-1)
Documentation for ocaml-qtest
libqtest-ocaml-m35y3
本虛擬套件由這些套件填實: libqtest-ocaml
libqtest-ocaml-xj5k0
本虛擬套件由這些套件填實: libqtest-ocaml
libqtmirserver-dev (0.6.1-7)
Developer files for QtMir server API
libqtmirserver1 (0.6.1-7)
QtMir server API shared library
libqtpropertybrowser-dev (4.1.2-4)
Qt Property Browser Library - development
libqtpropertybrowser4 (4.1.2-4)
Qt Property Browser Library - runtime
libqtspell-qt5-0 (0.9.0-1)
Spell checking for Qt5 text widgets library
libqtspell-qt5-dev (0.9.0-1)
Development files for libqtspell-qt5
libqtspell-qt5-html (0.9.0-1)
Spell checking for Qt5 text widgets documentation
libquadmath0 (10.2.1-6)
GCC Quad-Precision Math Library
libquadmath0-amd64-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library
libquadmath0-i386-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library
libquadmath0-ppc64el-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library
libquadmath0-x32-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library
libquadrule-dev (0~20121001-2+b1)
Development files for quadrule
libquadrule1 (0~20121001-2+b1)
Quadrature rules and numerical integration routines
libquantlib-1.0.0
本虛擬套件由這些套件填實: libquantlib0v5
libquantlib-1.1
本虛擬套件由這些套件填實: libquantlib0v5
libquantlib-1.2
本虛擬套件由這些套件填實: libquantlib0v5
libquantlib0-dev (1.21-1)
Quantitative Finance Library -- development package
libquantlib0v5 (1.21-1)
Quantitative Finance Library -- library package
libquantum-dev (1.1.1-8)
library for the simulation of a quantum computer (development files)
libquantum-entanglement-perl (0.32-3)
Quantum Mechanic entanglement of variables in perl
libquantum-superpositions-perl (2.03-1)
Quantum Mechanic-like superpositions for Perl
libquantum8 (1.1.1-8)
library for the simulation of a quantum computer
libquartz-java (1:1.8.6-6)
open source job scheduling system
libquartz2-java (2.3.0-3)
open source job scheduling system
libquazip-doc (0.9.1-1)
C++ wrapper for ZIP/UNZIP (documentation)
libquazip-qt5-1
本虛擬套件由這些套件填實: libquazip5-1
libquazip1-qt5
本虛擬套件由這些套件填實: libquazip5-1
libquazip5-1 (0.9.1-1)
C++ wrapper for ZIP/UNZIP
libquazip5-dev (0.9.1-1)
C++ wrapper for ZIP/UNZIP (development files)
libquickfix-dev (1.15.1+dfsg-4)
FIX protocol library - development files
libquickfix-doc (1.15.1+dfsg-4)
FIX protocol library - documentation
libquickfix17 (1.15.1+dfsg-4)
FIX protocol library - runtime files
libquicktime-dev (2:1.2.4-12+b4)
library for reading and writing Quicktime files (development)
libquicktime-doc (2:1.2.4-12)
library for reading and writing Quicktime files (documentation)
libquicktime2 (2:1.2.4-12+b4)
library for reading and writing Quicktime files
libquicktree-dev (2.5-5)
Neighbor-Joining algorithm for phylogenies (development)
libquoin-clojure (0.1.2-3)
Clojure utilities for writing template engines
libquorum-dev (3.1.2-2)
cluster engine Quorum library development
libquorum5 (3.1.2-2)
cluster engine Quorum library
libquota-perl (1.8.1+dfsg-1+b1)
Perl interface to file system quotas
libquotient-dev (0.6.6-1)
Qt5 library to write cross-platform clients for Matrix
libquotient0.6 (0.6.6-1)
Qt5 library to write cross-platform clients for Matrix
libquvi-0.9-0.9.3 (0.9.3-1.3)
library for parsing video download links (runtime libraries)
libquvi-0.9-dev (0.9.3-1.3)
library for parsing video download links (development package)
libquvi-0.9-doc (0.9.3-1.3)
library for parsing video download links (documentation package)
libquvi-scripts-0.9 (0.9.20131130-1.2)
library for parsing video download links (Lua scripts)
libqwt-doc (6.1.4-2)
Qt widgets library for technical applications (documentation)
libqwt-headers (6.1.4-2)
Qt widgets library for technical applications (header files)
libqwt-qt5-6 (6.1.4-2)
Qt widgets library for technical applications (runtime, qt5)
libqwt-qt5-dev (6.1.4-2)
Qt widgets library for technical applications (development, qt5)
libqwtmathml-qt5-6 (6.1.4-2)
Text Engine for Qwt (runtime, qt5)
libqwtmathml-qt5-dev (6.1.4-2)
Text Engine for Qwt (development, qt5)
libqwtplot3d-doc (0.2.7+svn191+gcc7-3)
3D plotting library based on Qt/OpenGL (documentation)
libqwtplot3d-qt5-0 (0.2.7+svn191+gcc7-3+b1)
3D plotting library based on Qt5/OpenGL (runtime)
libqwtplot3d-qt5-dev (0.2.7+svn191+gcc7-3+b1)
3D plotting library based on Qt5/OpenGL (development)
libqxmpp-dev (1.3.2-2)
Development files for the QXmpp library
libqxmpp-doc (1.3.2-2)
Documentation for the QXmpp library
libqxmpp3 (1.3.2-2)
QXmpp library for XMPP client and server applications
libqxp-0.0-0 (0.0.2-1+b1)
library for reading and converting QuarkXPress files
libqxp-dev (0.0.2-1+b1)
library for reading and converting QuarkXPress files -- development
libqxp-doc (0.0.2-1)
library for reading and converting QuarkXPress files -- documentation
libqxp-tools (0.0.2-1+b1)
library for reading and converting QuarkXPress files -- tools
libr3
本虛擬套件由這些套件填實: libr3-0
libr3-0 (1.3.4-1+b1)
High-performance URL router library
libr3-dev (1.3.4-1+b1)
High-performance URL router library (development files)
librabbitmq-client-java (5.0.0-1.1)
RabbitMQ Java client
librabbitmq-dev (0.10.0-1)
AMQP client library written in C - Dev Files
librabbitmq4 (0.10.0-1)
AMQP client library written in C
librabit-dev (0.0~git20200628.74bf00a-2)
Reliable Allreduce and Broadcast Interface (dev)
librabit0 (0.0~git20200628.74bf00a-2)
Reliable Allreduce and Broadcast Interface (libs)
librad0 (2.12.0-6+b1)
rapid application development library
librad0-tools (2.12.0-6+b1)
tools for rapid application development library
libradcli-dev (1.2.11-1+b2 [amd64], 1.2.11-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Enhanced RADIUS client library development files
libradcli4 (1.2.11-1+b2 [amd64], 1.2.11-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Enhanced RADIUS client library
librados-dev (14.2.21-1)
RADOS distributed object store client library (development files)
librados2 (14.2.21-1)
RADOS distributed object store client library
libradospp-dev (14.2.21-1)
RADOS distributed object store client C++ library (development files)
libradosstriper-dev (14.2.21-1)
RADOS striping interface (development files)
libradosstriper1 (14.2.21-1)
RADOS striping interface
libradsec-dev (0.0.5-5+b2)
RADIUS library with RADSEC support
libradsec0 (0.0.5-5+b2)
RADIUS library supporting RADSEC
libraft-dev (0.9.25-1+b1)
Raft Consensus protocol implementation - development files
libraft0 (0.9.25-1+b1)
Raft Consensus protocol implementation - shared library
librandom-numbers-dev (0.3.2-3)
Robot OS random numbers library - development files
librandom-numbers0d (0.3.2-3)
Robot OS random numbers library
librandom123-dev (1.14.0~rc2+dfsg-1)
parallel random numbers library
librandom123-doc (1.14.0~rc2+dfsg-1)
documentation and examples of parallel random numbers library
librandomx-dev (1.1.7-4)
proof of work (PoW) algorithm for CPUs - development headers
librandomx0 (1.1.7-4)
proof of work (PoW) algorithm for CPUs
librange-v3-dev (0.11.0-1)
range algorithms, views, and actions for C++14/17/20 - headers
librange-v3-doc (0.11.0-1)
range algorithms, views, and actions for C++14/17/20 - documentation
libranlip-dev (1.0-4.2+b1)
generates random variates with multivariate Lipschitz density
libranlip1c2 (1.0-4.2+b1)
generates random variates with multivariate Lipschitz density
librapidxml-dev (1.13-3)
fast XML DOM-style parser in C++ (development files)
librappor-aosp-java (9.0.0+r35-1.1)
Rappor java client library
libraptor2-0 (2.0.14-1.2)
Raptor 2 RDF syntax library
libraptor2-0-dbg (2.0.14-1.2)
Raptor RDF parser and serializer library - debugging symbols
libraptor2-dev (2.0.14-1.2)
Raptor 2 RDF syntax library development libraries and headers
libraptor2-doc (2.0.14-1.2)
Documentation for the Raptor 2 RDF syntax library
libraqm-dev (0.7.0-4)
Development files for Raqm library
libraqm0 (0.7.0-4)
Library for complex text layout
librarian-puppet (3.0.0-2)
bundler for your puppet modules
librarian-puppet-simple (0.0.5-4)
simple version of librarian-puppet with most of its commands
libraritan-rpc-perl (3.6.1+ds1-1)
Perl module for the Raritan JSON-RPC interface
librasqal-dev
本虛擬套件由這些套件填實: librasqal3-dev
librasqal3 (0.9.33-0.1)
Rasqal RDF query library
librasqal3-dbg (0.9.33-0.1)
Rasqal RDF Query Library - debugging symbols
librasqal3-dev (0.9.33-0.1)
Rasqal RDF query library development libraries and headers
librasqal3-doc (0.9.33-0.1)
Documentation for the Rasqal RDF Query library
librasterlite2-1 (1.1.0~beta1-2)
library for huge raster coverages using a SpatiaLite DBMS
librasterlite2-dev (1.1.0~beta1-2)
library for huge raster coverages using a SpatiaLite DBMS - headers
libratbag-tools (0.15-1)
configuration tools for gaming mice (transitional package)
libratpoints-2.1.3 (1:2.1.3-1+b2)
library for finding rational points on hyperelliptic curves
libratpoints-dev (1:2.1.3-1+b2)
development files for libratpoints
libraven0 (10.5.2-4)
Raven library for budgie-desktop
libraw-bin (0.20.2-1+deb11u1)
raw image decoder library (tools)
libraw-dev (0.20.2-1+deb11u1)
raw image decoder library (development files)
libraw-doc (0.20.2-1+deb11u1)
raw image decoder library (documentation)
libraw1394-11 (2.1.2-2)
library for direct access to IEEE 1394 bus (aka FireWire)
libraw1394-dev (2.1.2-2)
library for direct access to IEEE 1394 bus - development files
libraw1394-doc (2.1.2-2)
Reference manual and documentation about libraw1394
libraw1394-tools (2.1.2-2)
library for direct access to IEEE 1394 bus (aka FireWire)
libraw20 (0.20.2-1+deb11u1)
raw image decoder library
libraynes-fs-clojure (1.5.2-1)
filesystem utility library for Clojure
librazor2-perl
本虛擬套件由這些套件填實: razor
librbac-client-clojure (0.9.4-2)
lightweight API clients for PE services
librbd-dev (14.2.21-1)
RADOS block device client library (development files)
librbd1 (14.2.21-1)
RADOS block device client library
librbio2 (1:5.8.1+dfsg-2)
read/write sparse matrices in Rutherford/Boeing format
librbl-dev (2.11.0~beta2-4+deb11u1)
Real-time Blacklist (RBL) query library (development files)
librbl1 (2.11.0~beta2-4+deb11u1)
Real-time Blacklist (RBL) query library
librc-dev (0.42-2.1)
dependency based service manager (runtime development)
librc1 (0.42-2.1)
dependency based service manager (runtime library)
librcc-dev (0.2.12-0.1+b2)
Library for autoconvert codepages development files
librcc0 (0.2.12-0.1+b2)
Library for autoconvert codepages
librccgtk2-0 (0.2.12-0.1+b2)
Library for autoconvert codepages GTK2 interface
librcd-dev (0.1.13-3+b2)
Library for autodection charset of Russian text - dev files
librcd0 (0.1.13-3+b2)
Library for autodection charset of Russian text
librcs-perl (1.05-5.1)
Perl Object Class for Revision Control System (RCS)
librcsb-core-wrapper-dev
本虛擬套件由這些套件填實: librcsb-core-wrapper0-dev
librcsb-core-wrapper-doc (1.005-10)
documentation for librcsb-core-wrapper0
librcsb-core-wrapper0 (1.005-10)
C++ library providing OO API to information in mmCIF format
librcsb-core-wrapper0-dev (1.005-10)
development files for librcsb-core-wrapper0
librda-bin (0.0.5-1.1)
Remote Desktop Awareness (utility)
librda-common (0.0.5-1.1)
Remote Desktop Awareness (common files)
librda-dev (0.0.5-1.1)
Remote Desktop Awareness (development headers)
librda0 (0.0.5-1.1)
Remote Desktop Awareness (shared library)
librdf-acl-perl (0.104-1.1)
access control lists for the semantic web
librdf-aref-perl (0.28-1)
another RDF Encoding Form
librdf-dev
本虛擬套件由這些套件填實: librdf0-dev
librdf-doap-lite-perl (0.002-1.1)
write DOAP data quickly and easily
librdf-doap-perl (0.104-2)
object-oriented interface for DOAP data
librdf-endpoint-perl (0.11-1)
SPARQL Protocol Endpoint implementation
librdf-generator-http-perl (0.003-2)
Perl module to generate RDF from an HTTP request
librdf-generator-void-perl (0.16-3.1)
generate VoID descriptions based on data in an RDF model
librdf-helper-properties-perl (0.24-1.1)
shortcuts to retrieve certain information
librdf-icalendar-perl (0.005-1.1)
convert between RDF and iCalendar
librdf-ldf-perl (0.25-2)
Linked Data Fragments client
librdf-linkeddata-perl (1.940-1.1)
simple Linked Data implementation
librdf-ns-curated-perl (1.004-2)
curated set of RDF prefixes
librdf-ns-perl (20190227-1)
just use popular RDF namespace prefixes from prefix.cc
librdf-perl (1.0.17.1+dfsg-1.4+b1)
Perl language bindings for the Redland RDF library
librdf-prefixes-perl (0.005-1.1)
simple way to turn URIs into QNames
librdf-query-client-perl (0.114-2)
get data from W3C SPARQL Protocol 1.0 servers
librdf-query-perl (2.918-1.1)
complete SPARQL 1.1 Query and Update implementation for RDF::Trine
librdf-queryx-lazy-perl (0.003-1.1)
avoid all those boring PREFIX definitions
librdf-rdfa-generator-perl (0.200-1)
generate data for RDFa serialization
librdf-ruby (1.0.17.1+dfsg-1.4)
Ruby language bindings for the Redland RDF library (dummy)
librdf-storage-mysql (1.0.17-1.1+b1)
RDF library, MySQL backend
librdf-storage-postgresql (1.0.17-1.1+b1)
RDF library, PostGreSQL backend
librdf-storage-sqlite (1.0.17-1.1+b1)
RDF library, SQLite backend
librdf-storage-virtuoso (1.0.17-1.1+b1)
RDF library, Virtuoso backend
librdf-trin3-perl (0.206-2.1)
notation 3 extensions for RDF::Trine
librdf-trine-node-literal-xml-perl (0.16-2.1)
RDF Node class for XML Literals
librdf-trine-perl (1.019-2)
RDF Framework for Perl
librdf-trine-serializer-rdfa-perl (0.101-1.1)
RDFa Serializer for RDF::Trine
librdf-trinex-compatibility-attean-perl (0.100-1.1)
compatibility layer between Attean and RDF::Trine
librdf-trinex-functions-perl (0.005-1.1)
shortcut functions for RDF::Trine's object-oriented interface
librdf-trinex-serializer-mockturtlesoup-perl (0.006-1.1)
RDF/Turtle serializer pleasant for humans to look at
librdf-vcard-perl (0.012-1.1)
convert between RDF and vCard
librdf0 (1.0.17-1.1+b1)
Redland Resource Description Framework (RDF) library
librdf0-dev (1.0.17-1.1+b1)
Redland RDF library development libraries and headers
librdkafka++1 (1.6.0-1)
library implementing the Apache Kafka protocol (C++ bindings)
librdkafka-dev (1.6.0-1)
library implementing the Apache Kafka protocol (development headers)
librdkafka1 (1.6.0-1)
library implementing the Apache Kafka protocol
librdkit-dev (202009.4-1)
Collection of cheminformatics and machine-learning software (development files)
librdkit1 (202009.4-1)
Collection of cheminformatics and machine-learning software (shared libraries)
librdmacm-dev (33.2-1)
Development files for the librdmacm library
librdmacm1 (33.2-1)
Library for managing RDMA connections
librdp-readseq-java
本虛擬套件由這些套件填實: rdp-readseq
librdp-taxonomy-tree-java (1.2.0-4)
taxonomy tree library from Ribosomal Database Project (RDP)
libre-dev (1.1.0-1)
generic library for real-time communications (development files)
libre-engine-re2-perl (0.14-1)
RE2 regex engine
libre-ocaml-dev (1.9.0-1+b1)
regular expression library for OCaml
libre-ocaml-dev-a2tg9
本虛擬套件由這些套件填實: libre-ocaml-dev
libre-ocaml-dev-gr7a6
本虛擬套件由這些套件填實: libre-ocaml-dev
libre-ocaml-dev-n9288
本虛擬套件由這些套件填實: libre-ocaml-dev
libre-ocaml-dev-p6346
本虛擬套件由這些套件填實: libre-ocaml-dev
libre0 (1.1.0-1)
generic library for real-time communications with async IO support
libre2-9 (20210201+dfsg-1)
efficient, principled regular expression library
libre2-dev (20210201+dfsg-1)
efficient, principled regular expression library, development kit
libre2j-java (1.5+dfsg-2)
RE2/J: linear time regular expression matching in Java
libreact-ocaml (1.2.1-1+b1)
functional reactive programming in OCaml (plugins)
libreact-ocaml-dev (1.2.1-1+b1)
functional reactive programming in OCaml
libreact-ocaml-dev-ep863
本虛擬套件由這些套件填實: libreact-ocaml-dev
libreact-ocaml-dev-f89h3
本虛擬套件由這些套件填實: libreact-ocaml-dev
libreact-ocaml-dev-pdm50
本虛擬套件由這些套件填實: libreact-ocaml-dev
libreact-ocaml-dev-r83g1
本虛擬套件由這些套件填實: libreact-ocaml-dev
libreact-ocaml-ep863
本虛擬套件由這些套件填實: libreact-ocaml
libreact-ocaml-f89h3
本虛擬套件由這些套件填實: libreact-ocaml
libreact-ocaml-pdm50
本虛擬套件由這些套件填實: libreact-ocaml
libreact-ocaml-r83g1
本虛擬套件由這些套件填實: libreact-ocaml
libreactive-streams-java (1.0.3-1)
Standard for asynchronous stream processing with non-blocking backpressure
libreactivedata-ocaml (0.2.2-1+b1)
FRP with incremental changes in data structures (runtime)
libreactivedata-ocaml-4o6z2
本虛擬套件由這些套件填實: libreactivedata-ocaml
libreactivedata-ocaml-affe4
本虛擬套件由這些套件填實: libreactivedata-ocaml
libreactivedata-ocaml-bsxy7
本虛擬套件由這些套件填實: libreactivedata-ocaml
libreactivedata-ocaml-dev (0.2.2-1+b1)
FRP with incremental changes in data structures
libreactivedata-ocaml-dev-4o6z2
本虛擬套件由這些套件填實: libreactivedata-ocaml-dev
libreactivedata-ocaml-dev-affe4
本虛擬套件由這些套件填實: libreactivedata-ocaml-dev
libreactivedata-ocaml-dev-bsxy7
本虛擬套件由這些套件填實: libreactivedata-ocaml-dev
libreactivedata-ocaml-dev-u2xb2
本虛擬套件由這些套件填實: libreactivedata-ocaml-dev
libreactivedata-ocaml-u2xb2
本虛擬套件由這些套件填實: libreactivedata-ocaml
libreaderwriterqueue-dev (1.0.3-1)
single-producer, single-consumer lock-free queue for C++
libreadline-dev (8.1-1)
GNU readline and history libraries, development files
libreadline-java (0.8.0.1+dfsg-10)
GNU readline and BSD editline wrappers for Java
libreadline6-dev
本虛擬套件由這些套件填實: libreadline-dev
libreadline8 (8.1-1)
GNU readline 與 history 函式庫,執行時期函式庫
libreadonly-perl (2.050-3)
facility for creating read-only scalars, arrays and hashes
libreadonly-xs-perl
本虛擬套件由這些套件填實: libreadonly-perl
libreadonlyx-perl (1.04-2)
faster facility for creating read-only scalars, arrays, hashes
libreadosm-dev (1:1.1.0a+dfsg-1)
simple library to parse OpenStreetMap files - headers
libreadosm-doc (1:1.1.0a+dfsg-1)
simple library to parse OpenStreetMap files - documentation
libreadosm1 (1:1.1.0a+dfsg-1)
simple library to parse OpenStreetMap files
librec-dev (1.8-1)
recutils development files
librec1 (1.8-1)
library for accessing recfiles programmatically
librecad (2.1.3-1.3+deb11u1)
Computer-aided design (CAD) system
librecad-data (2.1.3-1.3+deb11u1)
Computer-aided design (CAD) system -- shared files
librecode-dev (3.6-24)
Development package for librecode0
librecode0 (3.6-24)
Shared library on which recode is based
librecommended-perl (0.003-1.1)
Load recommended Perl modules on demand when available
libredberry-pipe-java (1.0.0~alpha0-2)
implementation of concurrent pipelines
libredis-fast-perl (0.29+dfsg-1)
Perl binding for Redis database
libredis-perl (2:1.9980-2)
persistent key-value database with network interface (Perl client library)
libref-array-dev (0.6.1-2)
Development files for refcounted array for C
libref-array1 (0.6.1-2)
refcounted array for C
libref-util-perl (0.204-1)
set of utility functions for checking references
libref-util-xs-perl (0.117-1+b3)
XS implementation for Ref::Util
libreflectasm-java (1.05-4.1)
library that provides reflection by using code generation
libreflectasm-java-doc (1.05-4.1)
library that provides reflection by using code generation - doc
libreflections-java (0.9.12+dfsg-3)
Java runtime metadata analysis library
libregex-clojure (1.1.0-3)
Composable regexes for Clojure
libregexp-assemble-perl (0.36-1.1)
Perl module to merge several regular expressions
libregexp-common-email-address-perl (1.01-5)
Regexp::Common extension for matching e-mail addresses
libregexp-common-net-cidr-perl (0.03-1)
provide patterns for CIDR blocks
libregexp-common-perl (2017060201-1)
module with common regular expressions
libregexp-common-time-perl (0.16-1)
Regexp::Common extension for date and time matching
libregexp-debugger-perl (0.002006-1)
Perl module to visually debug regexes in-place
libregexp-grammars-perl (1.057-1)
Perl module to add grammatical parsing features to Perl 5.10 regexes
libregexp-ipv6-perl (0.03-3)
Regular expression for IPv6 addresses
libregexp-java (1.5-4)
Regular expression library for Java
libregexp-java-doc (1.5-4)
Documentation for the Regular expression library
libregexp-log-perl (0.06-3.1)
base class for log files regexp builders
libregexp-optimizer-perl (0.23-1.1)
modules for optimizing regular expressions
libregexp-pattern-license-perl (3.4.0-1)
regular expressions for legal licenses
libregexp-pattern-perl (0.2.14-1)
convention/framework for modules that contain collection of regexes
libregexp-reggrp-perl (1.002001-1.1)
Perl module for grouping regular expressions
libregexp-shellish-perl (0.93-2.1)
module for shell-like regular expressions
libregexp-stringify-perl (0.06-1.1)
Perl module to stringify a Regexp object
libregexp-trie-perl (0.02-2)
Perl module for building a trie-ized regular expression
libregexp-wildcards-perl (1.05-2)
converts wildcard expressions to Perl regular expressions
libregf-dev (20201007-2)
Windows NT Registry File (REGF) format access library -- development files
libregf-utils (20201007-2)
libregf -- Utilities
libregf1 (20201007-2)
Windows NT Registry File (REGF) format access library
libregfi-dev (1.0.1+svn287-9)
utility to analysis for Windows NT-based registry (devel files)
libregfi1 (1.0.1+svn287-9)
utility to analysis for Windows NT-based registry (shared library)
libreiser4-dev (1.2.1-3)
Reiser4's filesystem access and manipulation library. Devel files
librelative-perl (0.04-2.1)
Load modules with relative names
librelaxng-datatype-java (1.0+ds1-3.1)
Java datatype interface for RELAX NG
librelaxngcc-java (1.12-1.1)
RELAX NG Compiler Compiler
librelaxngcc-java-doc (1.12-1.1)
RELAX NG Compiler Compiler -- documentation
libreligion-islam-prayertimes-perl (1.02-2)
Perl module that calculates Muslim Prayers Times and Sunrise
librelp-dev (1.10.0-1)
Reliable Event Logging Protocol (RELP) library - development files
librelp0 (1.10.0-1)
Reliable Event Logging Protocol (RELP) library
librem-dev (0.6.0-4)
library for real-time audio and video processing (development)
librem0 (0.6.0-4)
toolkit library for real-time audio and video processing
librem5-tweaks (3)
Default configuration settings for the Librem-5
libremctl-dev (3.17-1)
Development files for Kerberos-authenticated command execution
libremctl1 (3.17-1)
Library for Kerberos-authenticated command execution
librenaissance-dev
本虛擬套件由這些套件填實: librenaissance0-dev
librenaissance0 (0.9.0-4.1)
GNUstep GUI Framework - library files
librenaissance0-dev (0.9.0-4.1)
GNUstep GUI Framework - development files
librenderdoc (1.11+dfsg-5)
Stand-alone graphics debugging tool -- library
librenderdoc-dev (1.11+dfsg-5)
Stand-alone graphics debugging tool -- development files
libreoffice (1:7.0.4-4+deb11u8)
office productivity suite (metapackage)
libreoffice-avmedia-backend-gstreamer (1:7.0.4-4+deb11u8)
transitional package for GStreamer backend for LibreOffice
libreoffice-base (1:7.0.4-4+deb11u8)
office productivity suite -- database
libreoffice-base-core (1:7.0.4-4+deb11u8)
office productivity suite -- shared library
libreoffice-base-drivers (1:7.0.4-4+deb11u8)
Database connectivity drivers for LibreOffice
libreoffice-base-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- database (no GUI variant)
libreoffice-bundled
本虛擬套件由這些套件填實: libreoffice-core-nogui
libreoffice-calc (1:7.0.4-4+deb11u8)
office productivity suite -- spreadsheet
libreoffice-calc-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- spreadsheet (no GUI variant)
libreoffice-canzeley-client (0.5.1-4.1)
LibreOffice Extension to organize a German Law Office
libreoffice-common (1:7.0.4-4+deb11u8)
office productivity suite -- arch-independent files
libreoffice-core (1:7.0.4-4+deb11u8)
office productivity suite -- arch-dependent files
libreoffice-core-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- arch-dependent files (no GUI variant)
libreoffice-dev (1:7.0.4-4+deb11u8)
office productivity suite -- SDK -- architecture-dependent parts
libreoffice-dev-common (1:7.0.4-4+deb11u8)
office productivity suite -- SDK -- architecture-independent parts
libreoffice-dev-doc (1:7.0.4-4+deb11u8)
office productivity suite -- SDK documentation
libreoffice-dev-gui (1:7.0.4-4+deb11u8)
office productivity suite -- "GUI" development stuff
libreoffice-dmaths (4.4.0.0+dfsg1-1)
Formula editing plug-in for LibreOffice Writer
libreoffice-draw (1:7.0.4-4+deb11u8)
office productivity suite -- drawing
libreoffice-draw-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- drawing (no GUI variant)
libreoffice-evolution (1:7.0.4-4+deb11u8)
office productivity suite -- Evolution addressbook support
libreoffice-gnome (1:7.0.4-4+deb11u8)
office productivity suite -- GNOME integration
libreoffice-grammarcheck
本虛擬套件由這些套件填實: libreoffice-lightproof-ru-ru, libreoffice-lightproof-en, libreoffice-lightproof-pt-br, libreoffice-lightproof-hu
libreoffice-grammarcheck-en-gb
本虛擬套件由這些套件填實: libreoffice-lightproof-en
libreoffice-grammarcheck-en-us
本虛擬套件由這些套件填實: libreoffice-lightproof-en
libreoffice-grammarcheck-en-za
本虛擬套件由這些套件填實: libreoffice-lightproof-en
libreoffice-grammarcheck-fi
本虛擬套件由這些套件填實: libreoffice-voikko
libreoffice-grammarcheck-hu
本虛擬套件由這些套件填實: libreoffice-lightproof-hu
libreoffice-grammarcheck-pt-br
本虛擬套件由這些套件填實: libreoffice-lightproof-pt-br
libreoffice-grammarcheck-ru
本虛擬套件由這些套件填實: libreoffice-lightproof-ru-ru
libreoffice-gtk-gnome
本虛擬套件由這些套件填實: libreoffice-gnome
libreoffice-gtk3 (1:7.0.4-4+deb11u8)
office productivity suite -- GTK+ 3 integration
libreoffice-help (= 7.0)
本虛擬套件由這些套件填實: libreoffice-help-hi, libreoffice-help-fi, libreoffice-help-et, libreoffice-help-es, libreoffice-help-eu, libreoffice-help-de, libreoffice-help-tr, libreoffice-help-fr, libreoffice-help-dz, libreoffice-help-id, libreoffice-help-ko, libreoffice-help-pt, libreoffice-help-zh-cn, libreoffice-help-it, libreoffice-help-sl, libreoffice-help-da, libreoffice-help-hu, libreoffice-help-vi, libreoffice-help-pt-br, libreoffice-help-nl, libreoffice-help-ja, libreoffice-help-sk, libreoffice-help-el, libreoffice-help-pl, libreoffice-help-km, libreoffice-help-en-gb, libreoffice-help-zh-tw, libreoffice-help-ru, libreoffice-help-cs, libreoffice-help-en-us, libreoffice-help-om, libreoffice-help-sv, libreoffice-help-ca, libreoffice-help-gl
libreoffice-help-ca (1:7.0.4-4+deb11u8)
office productivity suite -- Catalan help
libreoffice-help-common (1:7.0.4-4+deb11u8)
office productivity suite -- common files for LibreOffice help
libreoffice-help-cs (1:7.0.4-4+deb11u8)
office productivity suite -- Czech help
libreoffice-help-da (1:7.0.4-4+deb11u8)
office productivity suite -- Danish help
libreoffice-help-de (1:7.0.4-4+deb11u8)
office productivity suite -- German help
libreoffice-help-dz (1:7.0.4-4+deb11u8)
office productivity suite -- Dzongkha help
libreoffice-help-el (1:7.0.4-4+deb11u8)
office productivity suite -- Greek help
libreoffice-help-en-gb (1:7.0.4-4+deb11u8)
office productivity suite -- English_british help
libreoffice-help-en-us (1:7.0.4-4+deb11u8)
office productivity suite -- English_american help
libreoffice-help-es (1:7.0.4-4+deb11u8)
office productivity suite -- Spanish help
libreoffice-help-et (1:7.0.4-4+deb11u8)
office productivity suite -- Estonian help
libreoffice-help-eu (1:7.0.4-4+deb11u8)
office productivity suite -- Basque help
libreoffice-help-fi (1:7.0.4-4+deb11u8)
office productivity suite -- Finnish help
libreoffice-help-fr (1:7.0.4-4+deb11u8)
office productivity suite -- French help
libreoffice-help-gl (1:7.0.4-4+deb11u8)
office productivity suite -- Galician help
libreoffice-help-hi (1:7.0.4-4+deb11u8)
office productivity suite -- Hindi help
libreoffice-help-hu (1:7.0.4-4+deb11u8)
office productivity suite -- Hungarian help
libreoffice-help-id (1:7.0.4-4+deb11u8)
office productivity suite -- Indonesian help
libreoffice-help-it (1:7.0.4-4+deb11u8)
office productivity suite -- Italian help
libreoffice-help-ja (1:7.0.4-4+deb11u8)
office productivity suite -- Japanese help
libreoffice-help-km (1:7.0.4-4+deb11u8)
office productivity suite -- Khmer help
libreoffice-help-ko (1:7.0.4-4+deb11u8)
office productivity suite -- Korean help
libreoffice-help-nl (1:7.0.4-4+deb11u8)
office productivity suite -- Dutch help
libreoffice-help-om (1:7.0.4-4+deb11u8)
office productivity suite -- Oromo help
libreoffice-help-pl (1:7.0.4-4+deb11u8)
office productivity suite -- Polish help
libreoffice-help-pt (1:7.0.4-4+deb11u8)
office productivity suite -- Portuguese help
libreoffice-help-pt-br (1:7.0.4-4+deb11u8)
office productivity suite -- Portuguese_brazilian help
libreoffice-help-ru (1:7.0.4-4+deb11u8)
office productivity suite -- Russian help
libreoffice-help-sk (1:7.0.4-4+deb11u8)
office productivity suite -- Slovak help
libreoffice-help-sl (1:7.0.4-4+deb11u8)
office productivity suite -- Slovenian help
libreoffice-help-sv (1:7.0.4-4+deb11u8)
office productivity suite -- Swedish help
libreoffice-help-tr (1:7.0.4-4+deb11u8)
office productivity suite -- Turkish help
libreoffice-help-vi (1:7.0.4-4+deb11u8)
office productivity suite -- Vietnamese help
libreoffice-help-zh-cn (1:7.0.4-4+deb11u8)
office productivity suite -- Chinese_simplified help
libreoffice-help-zh-tw (1:7.0.4-4+deb11u8)
office productivity suite -- Chinese_traditional help
libreoffice-hyphenation-fi
本虛擬套件由這些套件填實: libreoffice-voikko
libreoffice-impress (1:7.0.4-4+deb11u8)
office productivity suite -- presentation
libreoffice-impress-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- presentation (no GUI variant)
libreoffice-java-common (1:7.0.4-4+deb11u8)
office productivity suite -- arch-independent Java support files
libreoffice-kde5 (1:7.0.4-4+deb11u8)
transitional package for LibreOffice "KDE 5" integration
libreoffice-kf5 (1:7.0.4-4+deb11u8)
office productivity suite -- KDE Frameworks 5 integration
libreoffice-l10n (= 7.0)
本虛擬套件由這些套件填實: libreoffice-l10n-sv, libreoffice-l10n-en-gb, libreoffice-l10n-mr, libreoffice-l10n-sr, libreoffice-l10n-ar, libreoffice-l10n-nb, libreoffice-l10n-pt-br, libreoffice-l10n-el, libreoffice-l10n-or, libreoffice-l10n-si, libreoffice-l10n-ne, libreoffice-l10n-hu, libreoffice-l10n-nr, libreoffice-l10n-ko, libreoffice-l10n-id, libreoffice-l10n-dz, libreoffice-l10n-tr, libreoffice-l10n-lt, libreoffice-l10n-te, libreoffice-l10n-et, libreoffice-l10n-gd, libreoffice-l10n-en-za, libreoffice-l10n-es, libreoffice-l10n-om, libreoffice-l10n-ss, libreoffice-l10n-am, libreoffice-l10n-kk, libreoffice-l10n-st, libreoffice-l10n-gl, libreoffice-l10n-as, libreoffice-l10n-ru, libreoffice-l10n-ja, libreoffice-l10n-nl, libreoffice-l10n-vi, libreoffice-l10n-ve, libreoffice-l10n-sl, libreoffice-l10n-ts, libreoffice-l10n-bg, libreoffice-l10n-ro, libreoffice-l10n-zh-cn, libreoffice-l10n-is, libreoffice-l10n-ga, libreoffice-l10n-ta, libreoffice-l10n-it, libreoffice-l10n-ast, libreoffice-l10n-lv, libreoffice-l10n-ml, libreoffice-l10n-kn, libreoffice-l10n-cy, libreoffice-l10n-bn, libreoffice-l10n-be, libreoffice-l10n-zh-tw, libreoffice-l10n-szl, libreoffice-l10n-br, libreoffice-l10n-zu, libreoffice-l10n-rw, libreoffice-l10n-af, libreoffice-l10n-ug, libreoffice-l10n-da, libreoffice-l10n-gu, libreoffice-l10n-fr, libreoffice-l10n-hr, libreoffice-l10n-kmr, libreoffice-l10n-oc, libreoffice-l10n-uz, libreoffice-l10n-uk, libreoffice-l10n-fi, libreoffice-l10n-hi, libreoffice-l10n-he, libreoffice-l10n-ca, libreoffice-l10n-tn, libreoffice-l10n-mk, libreoffice-l10n-gug, libreoffice-l10n-cs, libreoffice-l10n-pa-in, libreoffice-l10n-km, libreoffice-l10n-pl, libreoffice-l10n-ka, libreoffice-l10n-eo, libreoffice-l10n-nso, libreoffice-l10n-nn, libreoffice-l10n-sk, libreoffice-l10n-bs, libreoffice-l10n-tg, libreoffice-l10n-th, libreoffice-l10n-fa, libreoffice-l10n-xh, libreoffice-l10n-de, libreoffice-l10n-pt, libreoffice-l10n-mn, libreoffice-l10n-eu
libreoffice-l10n-af (1:7.0.4-4+deb11u8)
office productivity suite -- Afrikaans language package
libreoffice-l10n-am (1:7.0.4-4+deb11u8)
office productivity suite -- Amharic language package
libreoffice-l10n-ar (1:7.0.4-4+deb11u8)
office productivity suite -- Arabic language package
libreoffice-l10n-as (1:7.0.4-4+deb11u8)
office productivity suite -- Assamese language package
libreoffice-l10n-ast (1:7.0.4-4+deb11u8)
office productivity suite -- Asturian language package
libreoffice-l10n-be (1:7.0.4-4+deb11u8)
office productivity suite -- Belarusian language package
libreoffice-l10n-bg (1:7.0.4-4+deb11u8)
office productivity suite -- Bulgarian language package
libreoffice-l10n-bn (1:7.0.4-4+deb11u8)
office productivity suite -- Bengali language package
libreoffice-l10n-br (1:7.0.4-4+deb11u8)
office productivity suite -- Breton language package
libreoffice-l10n-bs (1:7.0.4-4+deb11u8)
office productivity suite -- Bosnian language package
libreoffice-l10n-ca (1:7.0.4-4+deb11u8)
office productivity suite -- Catalan language package
libreoffice-l10n-cs (1:7.0.4-4+deb11u8)
office productivity suite -- Czech language package
libreoffice-l10n-cy (1:7.0.4-4+deb11u8)
office productivity suite -- Welsh language package
libreoffice-l10n-da (1:7.0.4-4+deb11u8)
office productivity suite -- Danish language package
libreoffice-l10n-de (1:7.0.4-4+deb11u8)
office productivity suite -- German language package
libreoffice-l10n-dz (1:7.0.4-4+deb11u8)
office productivity suite -- Dzongkha language package
libreoffice-l10n-el (1:7.0.4-4+deb11u8)
office productivity suite -- Greek language package
libreoffice-l10n-en-gb (1:7.0.4-4+deb11u8)
office productivity suite -- English_british language package
libreoffice-l10n-en-us
本虛擬套件由這些套件填實: libreoffice-common
libreoffice-l10n-en-za (1:7.0.4-4+deb11u8)
office productivity suite -- English_southafrican language package
libreoffice-l10n-eo (1:7.0.4-4+deb11u8)
office productivity suite -- Esperanto language package
libreoffice-l10n-es (1:7.0.4-4+deb11u8)
office productivity suite -- Spanish language package
libreoffice-l10n-et (1:7.0.4-4+deb11u8)
office productivity suite -- Estonian language package
libreoffice-l10n-eu (1:7.0.4-4+deb11u8)
office productivity suite -- Basque language package
libreoffice-l10n-fa (1:7.0.4-4+deb11u8)
office productivity suite -- Farsi language package
libreoffice-l10n-fi (1:7.0.4-4+deb11u8)
office productivity suite -- Finnish language package
libreoffice-l10n-fr (1:7.0.4-4+deb11u8)
office productivity suite -- French language package
libreoffice-l10n-ga (1:7.0.4-4+deb11u8)
office productivity suite -- Gaelic language package
libreoffice-l10n-gd (1:7.0.4-4+deb11u8)
office productivity suite -- Scottish_gaelic language package
libreoffice-l10n-gl (1:7.0.4-4+deb11u8)
office productivity suite -- Galician language package
libreoffice-l10n-gu (1:7.0.4-4+deb11u8)
office productivity suite -- Gujarati language package
libreoffice-l10n-gug (1:7.0.4-4+deb11u8)
office productivity suite -- Guarani language package
libreoffice-l10n-he (1:7.0.4-4+deb11u8)
office productivity suite -- Hebrew language package
libreoffice-l10n-hi (1:7.0.4-4+deb11u8)
office productivity suite -- Hindi language package
libreoffice-l10n-hr (1:7.0.4-4+deb11u8)
office productivity suite -- Croatian language package
libreoffice-l10n-hu (1:7.0.4-4+deb11u8)
office productivity suite -- Hungarian language package
libreoffice-l10n-id (1:7.0.4-4+deb11u8)
office productivity suite -- Indonesian language package
libreoffice-l10n-in (1:7.0.4-4+deb11u8)
office productivity suite -- Indic language packages
libreoffice-l10n-is (1:7.0.4-4+deb11u8)
office productivity suite -- Icelandic language package
libreoffice-l10n-it (1:7.0.4-4+deb11u8)
office productivity suite -- Italian language package
libreoffice-l10n-ja (1:7.0.4-4+deb11u8)
office productivity suite -- Japanese language package
libreoffice-l10n-ka (1:7.0.4-4+deb11u8)
office productivity suite -- Georgian language package
libreoffice-l10n-kk (1:7.0.4-4+deb11u8)
office productivity suite -- Kazakh language package
libreoffice-l10n-km (1:7.0.4-4+deb11u8)
office productivity suite -- Khmer language package
libreoffice-l10n-kmr (1:7.0.4-4+deb11u8)
office productivity suite -- Kurmanji language package
libreoffice-l10n-kn (1:7.0.4-4+deb11u8)
office productivity suite -- Kannada language package
libreoffice-l10n-ko (1:7.0.4-4+deb11u8)
office productivity suite -- Korean language package
libreoffice-l10n-lt (1:7.0.4-4+deb11u8)
office productivity suite -- Lithuanian language package
libreoffice-l10n-lv (1:7.0.4-4+deb11u8)
office productivity suite -- Latvian language package
libreoffice-l10n-mk (1:7.0.4-4+deb11u8)
office productivity suite -- Macedonian language package
libreoffice-l10n-ml (1:7.0.4-4+deb11u8)
office productivity suite -- Malayalam language package
libreoffice-l10n-mn (1:7.0.4-4+deb11u8)
office productivity suite -- Mongolian language package
libreoffice-l10n-mr (1:7.0.4-4+deb11u8)
office productivity suite -- Marathi language package
libreoffice-l10n-nb (1:7.0.4-4+deb11u8)
office productivity suite -- Norwegian_bokmal language package
libreoffice-l10n-ne (1:7.0.4-4+deb11u8)
office productivity suite -- Nepalese language package
libreoffice-l10n-nl (1:7.0.4-4+deb11u8)
office productivity suite -- Dutch language package
libreoffice-l10n-nn (1:7.0.4-4+deb11u8)
office productivity suite -- Norwegian_nynorsk language package
libreoffice-l10n-nr (1:7.0.4-4+deb11u8)
office productivity suite -- Ndebele language package
libreoffice-l10n-nso (1:7.0.4-4+deb11u8)
office productivity suite -- Northern_sotho language package
libreoffice-l10n-oc (1:7.0.4-4+deb11u8)
office productivity suite -- Occitan language package
libreoffice-l10n-om (1:7.0.4-4+deb11u8)
office productivity suite -- Oromo language package
libreoffice-l10n-or (1:7.0.4-4+deb11u8)
office productivity suite -- Odia language package
libreoffice-l10n-pa-in (1:7.0.4-4+deb11u8)
office productivity suite -- Punjabi language package
libreoffice-l10n-pl (1:7.0.4-4+deb11u8)
office productivity suite -- Polish language package
libreoffice-l10n-pt (1:7.0.4-4+deb11u8)
office productivity suite -- Portuguese language package
libreoffice-l10n-pt-br (1:7.0.4-4+deb11u8)
office productivity suite -- Portuguese_brazilian language package
libreoffice-l10n-ro (1:7.0.4-4+deb11u8)
office productivity suite -- Romanian language package
libreoffice-l10n-ru (1:7.0.4-4+deb11u8)
office productivity suite -- Russian language package
libreoffice-l10n-rw (1:7.0.4-4+deb11u8)
office productivity suite -- Kinarwanda language package
libreoffice-l10n-si (1:7.0.4-4+deb11u8)
office productivity suite -- Sinhala language package
libreoffice-l10n-sk (1:7.0.4-4+deb11u8)
office productivity suite -- Slovak language package
libreoffice-l10n-sl (1:7.0.4-4+deb11u8)
office productivity suite -- Slovenian language package
libreoffice-l10n-sr (1:7.0.4-4+deb11u8)
office productivity suite -- Serbian language package
libreoffice-l10n-ss (1:7.0.4-4+deb11u8)
office productivity suite -- Swazi language package
libreoffice-l10n-st (1:7.0.4-4+deb11u8)
office productivity suite -- Southern_sotho language package
libreoffice-l10n-sv (1:7.0.4-4+deb11u8)
office productivity suite -- Swedish language package
libreoffice-l10n-szl (1:7.0.4-4+deb11u8)
office productivity suite -- Upper_silesian language package
libreoffice-l10n-ta (1:7.0.4-4+deb11u8)
office productivity suite -- Tamil language package
libreoffice-l10n-te (1:7.0.4-4+deb11u8)
office productivity suite -- Telugu language package
libreoffice-l10n-tg (1:7.0.4-4+deb11u8)
office productivity suite -- Tajik language package
libreoffice-l10n-th (1:7.0.4-4+deb11u8)
office productivity suite -- Thai language package
libreoffice-l10n-tn (1:7.0.4-4+deb11u8)
office productivity suite -- Tswana language package
libreoffice-l10n-tr (1:7.0.4-4+deb11u8)
office productivity suite -- Turkish language package
libreoffice-l10n-ts (1:7.0.4-4+deb11u8)
office productivity suite -- Tsonga language package
libreoffice-l10n-ug (1:7.0.4-4+deb11u8)
office productivity suite -- Uighur language package
libreoffice-l10n-uk (1:7.0.4-4+deb11u8)
office productivity suite -- Ukrainian language package
libreoffice-l10n-uz (1:7.0.4-4+deb11u8)
office productivity suite -- Uzbek language package
libreoffice-l10n-ve (1:7.0.4-4+deb11u8)
office productivity suite -- Venda language package
libreoffice-l10n-vi (1:7.0.4-4+deb11u8)
office productivity suite -- Vietnamese language package
libreoffice-l10n-xh (1:7.0.4-4+deb11u8)
office productivity suite -- Xhosa language package
libreoffice-l10n-za (1:7.0.4-4+deb11u8)
office productivity suite -- South African language packages
libreoffice-l10n-zh-cn (1:7.0.4-4+deb11u8)
office productivity suite -- Chinese_simplified language package
libreoffice-l10n-zh-tw (1:7.0.4-4+deb11u8)
office productivity suite -- Chinese_traditional language package
libreoffice-l10n-zu (1:7.0.4-4+deb11u8)
office productivity suite -- Zulu language package
(1:7.0.4-4+deb11u8)
Logo-like programming language for LibreOffice
libreoffice-lightproof-en (0.4.3+1.6-2)
Lightproof grammar checker for LibreOffice (English)
libreoffice-lightproof-hu (1.6.2+1.6-2)
Lightproof grammar checker for LibreOffice (Hungarian)
libreoffice-lightproof-pt-br (1:7.1.0~rc3-3)
Lightproof grammar checker for LibreOffice (Brazilian Portuguese)
libreoffice-lightproof-ru-ru (0.3.4+1.6-2)
Lightproof grammar checker for LibreOffice (Russian)
libreoffice-math (1:7.0.4-4+deb11u8)
office productivity suite -- equation editor
libreoffice-math-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- equation editor (no GUI variant)
libreoffice-mysql-connector (1:7.0.4-4+deb11u8)
transitional package for MariaDB/MySQL Connector extension for LibreOffice
libreoffice-nlpsolver (0.9+LibO7.0.4-4+deb11u8)
"Solver for Nonlinear Programming" extension for LibreOffice
libreoffice-nogui (1:7.0.4-4+deb11u8)
office productivity suite (metapackage, no GUI)
libreoffice-numbertext (1.0.7-1)
number to number name and currency name conversion LO Calc Add-In
libreoffice-officebean (1:7.0.4-4+deb11u8)
transitional package for libofficebean-java
libreoffice-ogltrans
本虛擬套件由這些套件填實: libreoffice-impress
libreoffice-parlatype (2.1-2)
LibreOffice Extension for Parlatype
libreoffice-plasma (1:7.0.4-4+deb11u8)
office productivity suite -- some Plasma integration
libreoffice-qt5 (1:7.0.4-4+deb11u8)
office productivity suite -- Qt 5 integration
libreoffice-report-builder (1:7.0.4-4+deb11u8)
LibreOffice component for building database reports
libreoffice-report-builder-bin (1:7.0.4-4+deb11u8)
LibreOffice component for building database reports -- libraries
libreoffice-report-builder-bin-nogui (1:7.0.4-4+deb11u8)
LibreOffice component for building database reports -- libraries (no GUI variant)
libreoffice-reportdesigner
本虛擬套件由這些套件填實: libreoffice-report-builder
libreoffice-script-provider-bsh (1:7.0.4-4+deb11u8)
BeanShell script support provider for LibreOffice scripting framework
libreoffice-script-provider-js (1:7.0.4-4+deb11u8)
JavaScript script support provider for LibreOffice scripting framework
libreoffice-script-provider-python (1:7.0.4-4+deb11u8)
Python script support provider for LibreOffice scripting framework
libreoffice-sdbc-firebird (1:7.0.4-4+deb11u8)
Firebird SDBC driver for LibreOffice
libreoffice-sdbc-hsqldb (1:7.0.4-4+deb11u8)
HSQLDB SDBC driver for LibreOffice
libreoffice-sdbc-mysql (1:7.0.4-4+deb11u8)
MariaDB/MySQL SDBC driver for LibreOffice
libreoffice-sdbc-postgresql (1:7.0.4-4+deb11u8)
PostgreSQL SDBC driver for LibreOffice
libreoffice-smoketest-data (1:7.0.4-4+deb11u8)
data files for LibreOffices "smoketest"
libreoffice-spellcheck-fi
本虛擬套件由這些套件填實: libreoffice-voikko
libreoffice-style
本虛擬套件由這些套件填實: libreoffice-style-colibre, libreoffice-style-elementary, libreoffice-style-breeze, libreoffice-style-sukapura, libreoffice-style-karasa-jaga, libreoffice-style-sifr
libreoffice-style-breeze (1:7.0.4-4+deb11u8)
office productivity suite -- Breeze symbol style
libreoffice-style-colibre (1:7.0.4-4+deb11u8)
office productivity suite -- colibre symbol style
libreoffice-style-elementary (1:7.0.4-4+deb11u8)
office productivity suite -- Elementary symbol style
libreoffice-style-hicontrast
本虛擬套件由這些套件填實: libreoffice-style-sifr
libreoffice-style-karasa-jaga (1:7.0.4-4+deb11u8)
office productivity suite -- Karasa Jaga symbol style
libreoffice-style-sifr (1:7.0.4-4+deb11u8)
office productivity suite -- Sifr symbol style
libreoffice-style-sukapura (1:7.0.4-4+deb11u8)
office productivity suite -- Sukapura symbol style
libreoffice-subsequentcheckbase (1:7.0.4-4+deb11u8)
LibreOffice java test libraries
libreoffice-texmaths (0.43-2.1)
TexMaths is a LaTeX equation editor for LibreOffice
libreoffice-voikko (5.0-3+b1)
Spellchecker, grammar checker and hyphenator for LibreOffice
libreoffice-wiki-publisher (1.2.0+LibO7.0.4-4+deb11u8)
LibreOffice extension for working with MediaWiki articles
libreoffice-writer (1:7.0.4-4+deb11u8)
office productivity suite -- word processor
libreoffice-writer-nogui (1:7.0.4-4+deb11u8)
office productivity suite -- word processor (no GUI variant)
libreoffice-writer2latex (1.4-10)
Writer/Calc to LaTeX converter extension for LibreOffice
libreoffice-writer2xhtml (1.4-10)
Writer/Calc to XHTML converter extension for LibreOffice
libreofficekit-data (1:7.0.4-4+deb11u8)
common data for LOKDocView
libreofficekit-dev (1:7.0.4-4+deb11u8)
LibreOfficeKit -- headers
librep-dbg (0.92.5-3+b6)
debug symbols for librep
librep-dev (0.92.5-3+b6)
development libraries and headers for librep
librep-doc
本虛擬套件由這些套件填實: rep-doc
librep16 (0.92.5-3+b6)
embedded lisp command interpreter library
libreplacer-java (1.5.3-3)
Maven plugin to replace tokens in a given file with a value
libreplaygain-dev (1.0~r475-1+b2)
Calculate ReplayGain information - development files
libreplaygain1 (1.0~r475-1+b2)
Calculate ReplayGain information - library
libreply-perl (0.42-1.1)
lightweight extensible Perl REPL
librepo-dev (1.12.1-4)
librepo - development files
librepo-doc (1.12.1-4)
Documentation for the librepo library (common documentation)
librepo0 (1.12.1-4)
librepo - shared library
librepository-java (1.1.6-4)
abstraction library for accessing hierachic bulk content
librepository-java-doc (1.1.6-4)
abstraction library for accessing hierachic bulk content -- documentation
libres-ocaml-dev (5.0.1-1+b1)
OCaml library for automatically resizing contiguous data structure
libres-ocaml-dev-9rkl9
本虛擬套件由這些套件填實: libres-ocaml-dev
libres-ocaml-dev-b0oi8
本虛擬套件由這些套件填實: libres-ocaml-dev
libres-ocaml-dev-qi1f7
本虛擬套件由這些套件填實: libres-ocaml-dev
libres-ocaml-dev-wfp89
本虛擬套件由這些套件填實: libres-ocaml-dev
libresample-dev
本虛擬套件由這些套件填實: libresample1-dev
libresample1 (0.1.3-4+b2)
real-time audio resampling library - shared libraries
libresample1-dev (0.1.3-4+b2)
real-time audio resampling library - development files
libresid-builder-dev (2.1.1-15+b1)
SID chip emulation class based on resid
libresid-builder0c2a (2.1.1-15+b1)
SID chip emulation class based on resid
libresolv-wrapper (1.1.7-1)
wrapper for DNS name resolving or DNS faking
libresource-retriever-dev (1.12.6-3)
Robot OS resource_retriever library - development files
libresource-retriever0d (1.12.6-3)
Robot OS resource_retriever library
librest-0.7-0 (0.8.1-1.1)
REST service access library
librest-application-perl (0.992-4)
framework for building RESTful web-applications
librest-client-perl (273-1.1)
simple client for interacting with RESTful HTTP/HTTPS resources
librest-dev (0.8.1-1.1)
REST service access library (development headers)
librest-doc (0.8.1-1.1)
REST service access library (documentation)
librest-extras-0.7-0 (0.8.1-1.1)
REST service access library extra components
librest-extras-dev (0.8.1-1.1)
REST service access library extra components (development headers)
libresteasy3.0-java (3.0.26-2)
RESTEasy 3.0 -- Framework for RESTful Web services and Java applications
librestfuldb-perl (0.15.2+dfsg-1)
Web frontend for relational databases - Perl modules
librestinio-dev (0.6.13-1)
restinio implements an embedded HTTP/Websocket server
libresult-ocaml (1.5-1+b1)
compatibility Result module (runtime files)
libresult-ocaml-291l0
本虛擬套件由這些套件填實: libresult-ocaml
libresult-ocaml-dev (1.5-1+b1)
compatibility Result module (dev files)
libresult-ocaml-dev-291l0
本虛擬套件由這些套件填實: libresult-ocaml-dev
libresult-ocaml-dev-ki2r2
本虛擬套件由這些套件填實: libresult-ocaml-dev
libresult-ocaml-dev-ou5f2
本虛擬套件由這些套件填實: libresult-ocaml-dev
libresult-ocaml-ki2r2
本虛擬套件由這些套件填實: libresult-ocaml
libresult-ocaml-ou5f2
本虛擬套件由這些套件填實: libresult-ocaml
libresvg-dev (0.8.0-4)
SVG rendering library (development files)
libresvg0 (0.8.0-4)
SVG rendering library (shared library)
libresvg0-cairo
本虛擬套件由這些套件填實: libresvg0
libresvg0-qt
本虛擬套件由這些套件填實: libresvg0
libreswan (4.3-1+deb11u4)
Internet Key Exchange daemon
libretro-beetle-pce-fast (0.9.38.7+git20160609-2)
Libretro wrapper for the Beetle PCE FAST core
libretro-beetle-psx (0.9.38.6+git20151019-3)
Libretro wrapper for the Beetle PSX core
libretro-beetle-vb (0.9.36.1+git20160623-2)
Libretro wrapper for the Beetle VB core
libretro-beetle-wswan (0.9.35.1+git20160623-2)
Libretro wrapper for the Beetle WSWAN core
libretro-bsnes-mercury-accuracy (094+git20160126-3)
Libretro wrapper for bsnes-mercury accuracy core
libretro-bsnes-mercury-balanced (094+git20160126-3)
Libretro wrapper for bsnes-mercury balanced core
libretro-bsnes-mercury-performance (094+git20160126-3)
Libretro wrapper for bsnes-mercury performance core
libretro-core-info (1.3.6+git20160816-1)
Info files for Libretro cores
libretro-desmume (0.9.11+git20160819+dfsg1-2)
Libretro wrapper for DeSmuME
libretro-frontend
本虛擬套件由這些套件填實: gnome-games-app
libretro-gambatte (0.5.0+git20160522+dfsg1-2.1)
Libretro wrapper for Gambatte
libretro-gtk-1-0 (1.0.1-1+b1)
library for GTK+ libretro frontends
libretro-gtk-1-dev (1.0.1-1+b1)
library for GTK+ libretro frontends - development files
libretro-mgba (0.8.4+dfsg-2)
Libretro wrapper for mGBA
libretro-nestopia (1.50-2)
libretro wrapper for Nestopia
libretro-snes9x (1.53+git20160522-1) [non-free]
Libretro wrapper for Snes9x
libreturn-multilevel-perl (0.05-2)
Perl module to enable returning from a nested call stack
libreturn-type-perl (0.005-1.1)
specify a return type for a function (optionally with coercion)
librevenge-0.0-0 (0.0.4-6+b1)
Base Library for writing document interface filters
librevenge-dev (0.0.4-6+b1)
Base Library for writing document interface filters -- development
libreverseproxy-formfiller-perl (0.5-1.1)
ModPerl module to fill and submit any html form
librg-blast-parser-perl (0.03-7)
very fast NCBI BLAST parser - binding for Perl
librg-exception-perl (1.0.3-4)
RG::Exception Perl module
librg-reprof-bundle-perl (1.0.1-7)
protein secondary structure and accessibility predictor (perl module)
librg-utils-perl (1.0.43-7)
parsers and format conversion utilities used by (e.g.) profphd
librgw-dev (14.2.21-1)
RADOS client library (development files)
librgw2 (14.2.21-1)
RADOS Gateway client library
librgxg-dev (0.1.2-4)
development files and documentation for librgxg
librgxg0 (0.1.2-4)
C library to generate regular expressions
librhash-dev (1.4.1-2)
header files and a static library for librhash
librhash0 (1.4.1-2)
shared library for hash functions computing
librheolef-dev (7.1-6)
efficient Finite Element environment - development files
librheolef1 (7.1-6)
efficient Finite Element environment - shared library
librhino-java (1.7.7.2-3)
Libraries for rhino Java Script Engine
librhino-java-doc (1.7.7.2-3)
Documentation for rhino Java Script Engine
librhonabwy-dev (0.9.13-3+deb11u2)
JWK, JWKS, JWS, JWE and JWT library - development
librhonabwy0.9 (0.9.13-3+deb11u2)
JWK, JWKS, JWS, JWE and JWT library
librhythmbox-core10 (3.4.4-4)
support library for the rhythmbox music player
libriddley-clojure (0.2.0-2)
code walking library for Clojure
libridl-java (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- Java Uno runtime and base types and types access library (compatibility library)
libriemann-client-dev (1.10.4-2+b2)
Development files for the Riemann C client library
libriemann-client0 (1.10.4-2+b2)
C language client library for the Riemann event stream processor
librime-bin (1.6.1+dfsg1-1)
Rime Input Method Engine - utilities
librime-data (0.38.20190120-1)
Rime Input Method Engine, the schema data
librime-data-array30 (0.38.20190120-1)
RIME schema data - array30
librime-data-bopomofo (0.38.20190120-1)
RIME schema data - Bopomofo (a.k.a Zhu Yin)
librime-data-cangjie5 (0.38.20190120-1)
RIME schema data - Cangjie5
librime-data-combo-pinyin (0.38.20190120-1)
RIME schema data - Combo Pinyin (a.k.a Gong Bao Pin Yin)
librime-data-double-pinyin (0.38.20190120-1)
RIME schema data - Double Pinyin (a.k.a Zi Ran Ma Shuang Pin)
librime-data-emoji (0.38.20190120-1)
RIME schema data - Emoji
librime-data-ipa-xsampa (0.38.20190120-1)
RIME schema data - X-SAMPA
librime-data-ipa-yunlong (0.38.20190120-1)
RIME schema data - IPA Yunlong
librime-data-jyutping (0.38.20190120-1)
RIME schema data - jyutping (a.k.a Cantonese)
librime-data-luna-pinyin (0.38.20190120-1)
RIME schema data - Luna Pinyin
librime-data-pinyin-simp (0.38.20190120-1)
RIME schema data - Pinyin Simp (a.k.a Xiu Zheng Jian Hua Pin Yin)
librime-data-quick5 (0.38.20190120-1)
RIME schema data - quick5
librime-data-sampheng (0.38.20190120-1)
RIME schema data - sampheng (a.k.a Zhong Gu San Pin)
librime-data-scj6 (0.38.20190120-1)
RIME schema data - scj6 (a.k.a Fast Cangjie IM 6)
librime-data-soutzoe (0.38.20190120-1)
RIME schema data - soutzoe
librime-data-stenotype (0.38.20190120-1)
RIME schema data - stenotype
librime-data-stroke (0.38.20190120-1)
RIME schema data - Stroke
librime-data-stroke-simp
本虛擬套件由這些套件填實: librime-data-stroke
librime-data-stroke5
本虛擬套件由這些套件填實: librime-data-stroke
librime-data-terra-pinyin (0.38.20190120-1)
RIME schema data - Terra Pinyin (a.k.a Earth Pinyin)
librime-data-triungkox3p
本虛擬套件由這些套件填實: librime-data-sampheng
librime-data-wubi (0.38.20190120-1)
RIME schema data - Wubi
librime-data-wugniu (0.38.20190120-1)
RIME schema data - wugniu (a.k.a Shanghai Native Language)
librime-data-zyenpheng (0.38.20190120-1)
RIME schema data - zyenpheng (a.k.a Medieval Chinese)
librime-dev (1.6.1+dfsg1-1)
Rime Input Method Engine, the core library - development files
librime1 (1.6.1+dfsg1-1)
Rime Input Method Engine - core library
librime1-dev
本虛擬套件由這些套件填實: librime-dev
librinci-perl (1.1.96-1)
module that provides a language-neutral metadata for your code
libring-anti-forgery-clojure (1.1.0-1.1)
Ring middleware to prevent CSRF attacks
libring-basic-authentication-clojure (1.1.0-2)
ring middleware to enforce basic authentication
libring-codec-clojure (1.1.2-1)
Clojure library for encoding and decoding data
libring-core-clojure (1.6.2-4)
Clojure web applications library
libring-defaults-clojure (0.3.1-1.1)
Ring middleware that provides sensible defaults
libring-headers-clojure (0.3.0-1.1)
Ring middleware for common response headers
libring-jetty-adapter-clojure (1.6.2-4)
Clojure web applications library - Jetty adapter
libring-json-clojure (0.4.0-2)
ring middleware functions for handling JSON requests and responses
libring-mock-clojure (0.3.2-2)
library for creating mock Ring request maps
libring-servlet-clojure (1.6.2-4)
Clojure web applications library - servlet utilities
libring-ssl-clojure (0.3.0-1.1)
Ring middleware for managing HTTPS requests
librivescript-perl (2.0.3-1)
simple trigger/response language primarily used for chatbots
librlog-dev (1.4-4+b2)
flexible message logging library - development files
librlog5v5 (1.4-4+b2)
flexible message logging library
librlottie-dev (0.1+dfsg-2)
library for rendering vector based animations and art (development headers)
librlottie0-1 (0.1+dfsg-2)
library for rendering vector based animations and art
librm-dev (2.1.4-1)
FritzBox router manager library -- development files
librm0 (2.1.4-1)
FritzBox router manager library
librmail-ruby-doc
本虛擬套件由這些套件填實: ruby-rubymail-doc
librna-perl (2.4.17+dfsg-2) [non-free]
ViennaRNA perl wrappers
librnd-dev (2.3.1-1)
pcb-rnd library, headers.
librnd2 (2.3.1-1)
pcb-rnd library, binaries.
librngom-java (2.3.0.1-10)
Java library for parsing RELAX NG grammars
libroar-compat-tools (1.0~beta12-4)
drop-in replacements for other sound libraries (tools package)
libroar-compat2 (1.0~beta12-4)
drop-in replacements for other sound libraries
libroar-dev (1.0~beta12-4)
header files and documentation for the RoarAudio libraries
libroar-plugins-universal (1.0~beta12-4)
Collection of host-independent plugins for libroar
libroar2 (1.0~beta12-4)
foundation libraries for the RoarAudio sound server and clients
libroaring-dev (0.2.66+ds-2)
Portable Roaring bitmaps in C (and C++) (headers)
libroaring0 (0.2.66+ds-2)
Portable Roaring bitmaps in C (and C++)
librobert-hooke-clojure (1.3.0-4)
Function wrapper library for Clojure
librobot-state-publisher-dev (1.15.1-4)
development files for Robot OS robot_state_publisher library
librobot-state-publisher-solver1d (1.15.1-4)
Robot OS robot_state_publisher solver library
librobottestingframework-dev (2.0.1+ds1-2)
Robot Testing Framework - development files
librobottestingframework-dll2 (2.0.1+ds1-2)
Robot Testing Framework - RTF_dll library
librobottestingframework-doc (2.0.1+ds1-2)
Robot Testing Framework - documentation
librobottestingframework-lua2 (2.0.1+ds1-2)
Robot Testing Framework - RTF_lua library
librobottestingframework-ruby2 (2.0.1+ds1-2)
Robot Testing Framework - RTF_ruby library
librobottestingframework2 (2.0.1+ds1-2)
Robot Testing Framework - RTF library
librobust-http-client-java (1.2-4)
robust HTTP client library for Java
librobust-http-client-java-doc (1.2-4)
Documentation for librobust-http-client-java
librocksdb-dev (6.11.4-3+deb11u1)
persistent Key-Value Store for Flash and RAM Storage (development)
librocksdb6.11 (6.11.4-3+deb11u1)
persistent Key-Value Store for Flash and RAM Storage
libroken18-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - roken support library
librole-basic-perl (0.13-2.1)
simple implementation of roles
librole-commons-perl (0.104-1.1)
roles that can be commonly used, for the mutual benefit of all
librole-hasmessage-perl (0.006-1.1)
Moose roles to summarize the message of an object
librole-identifiable-perl (0.007-1)
Moose roles to identify things
librole-rest-client-perl (0.23-1)
REST Client Role
librole-tiny-perl (2.002004-1)
Perl module for minimalist role composition
libroman-perl (1.24-1.1)
Perl module for converting between Roman and Arabic numerals
libromana-perligata-perl (0.55-1.3)
perl module for writing in Latin
librome-java (1.12.2-1)
Java library to handle Atom and RSS feeds
librope-ocaml (0.6.2-3+b1)
ropes ("heavyweight strings") for OCaml (Runtime library)
librope-ocaml-dev (0.6.2-3+b1)
ropes ("heavyweight strings") for OCaml (Development package)
librope-ocaml-dev-fg0a7
本虛擬套件由這些套件填實: librope-ocaml-dev
librope-ocaml-dev-lyf57
本虛擬套件由這些套件填實: librope-ocaml-dev
librope-ocaml-dev-wqro7
本虛擬套件由這些套件填實: librope-ocaml-dev
librope-ocaml-dev-yqh60
本虛擬套件由這些套件填實: librope-ocaml-dev
librope-ocaml-fg0a7
本虛擬套件由這些套件填實: librope-ocaml
librope-ocaml-lyf57
本虛擬套件由這些套件填實: librope-ocaml
librope-ocaml-wqro7
本虛擬套件由這些套件填實: librope-ocaml
librope-ocaml-yqh60
本虛擬套件由這些套件填實: librope-ocaml
libropkg-perl (0.4-1.3)
general purpose classes for simba
libros-rosgraph-msgs-dev (1.11.3-2)
Messages relating to the Robot OS Computation Graph
librosbag-dev (1.15.9+ds1-7+deb11u1)
Tools for recording from and playing back to Robot OS topics
librosbag-storage-dev (1.15.9+ds1-7+deb11u1)
Development files for librosbag_storage
librosbag-storage4d (1.15.9+ds1-7+deb11u1)
Robot OS library for rosbag_storage
librosbag4d (1.15.9+ds1-7+deb11u1)
Library for recording from and playing back to Robot OS topics
librosconsole-bridge-dev (0.5.4-2)
Robot OS library for connecting console logging types - development files
librosconsole-bridge0d (0.5.4-2)
Robot OS library for connecting console logging types
librosconsole-dev (1.14.3-2)
Development files for librosconsole
librosconsole3d (1.14.3-2)
library for librosconsole
libroscpp-core-dev (0.7.2-6)
Development files for Robot OS roscpp-core
libroscpp-dev (1.15.9+ds1-7+deb11u1)
Robot OS development files for libroscpp
libroscpp-msg-dev (1.15.9+ds1-7+deb11u1)
Robot OS header for roscpp messages
libroscpp-serialization0d (0.7.2-6)
Robot OS library for roscpp serialization
libroscpp3d (1.15.9+ds1-7+deb11u1)
Robot OS client library
librose-datetime-perl (0.540-1.1)
collection of Perl modules for manipulating DateTime dates
librose-db-object-perl (1:0.819-1)
framework providing an extensible high-performance ORM
librose-db-perl (0.783-1)
Perl DBI wrapper and abstraction layer
librose-object-perl (0.860-1.1)
simple Perl object base class
librose-uri-perl (1.02-1.1)
module for an easy manipulation of URI components
libroslib-dev (1.15.7-2)
development files for roslib (Robot OS)
libroslib0d (1.15.7-2)
library for roslib (Robot OS)
libroslz4-1d (1.15.9+ds1-7+deb11u1)
library implementing lz4 for Robot OS
libroslz4-dev (1.15.9+ds1-7+deb11u1)
development files for libroslz4
librospack-dev (2.6.2-5)
Robot OS package information library header files
librospack0d (2.6.2-5)
Robot OS package information library
librostest-dev (1.15.9+ds1-7+deb11u1)
Development files for rostest (Robot OS)
librostime1d (0.7.2-6)
Robot OS library for time and duration
librostlab-blast-dev
本虛擬套件由這些套件填實: librostlab-blast0-dev
librostlab-blast-doc (1.0.1-10)
very fast C++ library for parsing the output of NCBI BLAST programs (doc)
librostlab-blast0-dev (1.0.1-10)
very fast C++ library for parsing the output of NCBI BLAST programs (devel)
librostlab-blast0v5 (1.0.1-10)
very fast C++ library for parsing the output of NCBI BLAST programs
librostlab-dev
本虛擬套件由這些套件填實: librostlab3-dev
librostlab-doc (1.0.20-10)
C++ library for computational biology (documentation)
librostlab3 (1.0.20-10)
C++ library for computational biology
librostlab3-dev (1.0.20-10)
C++ library for computational biology (development)
librouter-simple-perl (0.17-1.1)
simple HTTP router for web applications
libroutino-dev (3.3.3-1)
Routino library development headers
libroutino-slim0 (3.3.3-1)
Routino slim routing library
libroutino0 (3.3.3-1)
Routino routing library
librpc-ocaml-dev
本虛擬套件由這些套件填實: libocamlnet-ocaml-dev
librpc-xml-perl (0.82-1)
Perl implementation of the XML-RPC protocol
librplay-perl (3.3.2-18)
rplay network audio system - perl modules
librplay3 (3.3.2-18)
rplay network audio system - shared libraries
librplay3-dev (3.3.2-18)
rplay network audio system - development libraries
librpm-dev (4.16.1.2+dfsg1-3)
RPM shared library, development kit
librpm9 (4.16.1.2+dfsg1-3)
RPM shared library
librpmbuild9 (4.16.1.2+dfsg1-3)
RPM build shared library
librpmem-dev (1.10-2+deb11u1)
development files for librpmem1
librpmem1 (1.10-2+deb11u1)
Persistent Memory remote access support library
librpmem1-debug (1.10-2+deb11u1)
Persistent Memory remote access support library — debug build
librpmio9 (4.16.1.2+dfsg1-3)
RPM IO shared library
librpmsign9 (4.16.1.2+dfsg1-3)
RPM signing shared library
librrd-dev (1.7.2-3+b7)
time-series data storage and display system (development)
librrd8 (1.7.2-3+b7)
time-series data storage and display system (runtime library)
librrdp-perl (1.7.2-3)
time-series data storage and display system (Perl interface, piped)
librrds-perl (1.7.2-3+b7)
time-series data storage and display system (Perl interface, shared)
librrdtool-oo-perl (0.36-2)
object-oriented interface to RRDTool
librresult-ocaml (0.6.0-1+b2 [amd64], 0.6.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
result value combinators for OCaml (runtime)
librresult-ocaml-dev (0.6.0-1+b2 [amd64], 0.6.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
result value combinators for OCaml (dev)
librresult-ocaml-dev-gxq60
本虛擬套件由這些套件填實: librresult-ocaml-dev
librresult-ocaml-dev-s2vn8
本虛擬套件由這些套件填實: librresult-ocaml-dev
librresult-ocaml-dev-s9jj8
本虛擬套件由這些套件填實: librresult-ocaml-dev
librresult-ocaml-dev-y6ok1
本虛擬套件由這些套件填實: librresult-ocaml-dev
librresult-ocaml-gxq60
本虛擬套件由這些套件填實: librresult-ocaml
librresult-ocaml-s2vn8
本虛擬套件由這些套件填實: librresult-ocaml
librresult-ocaml-s9jj8
本虛擬套件由這些套件填實: librresult-ocaml
librresult-ocaml-y6ok1
本虛擬套件由這些套件填實: librresult-ocaml
librsb-dev (1.2.0.9+real+dfsg-1)
recursive sparse blocks matrix computations library (development)
librsb-doc (1.2.0.9+real+dfsg-1)
recursive sparse blocks matrix computations library (documentation)
librsb-tools (1.2.0.9+real+dfsg-1)
recursive sparse blocks matrix computations library (tools)
librsb0 (1.2.0.9+real+dfsg-1)
recursive sparse blocks matrix computations library
librscode-dev (1.3-6)
development libraries and headers for RSCODE
librscode1 (1.3-6)
library implementing a Reed-Solomon error correction algorithm
librss-ocaml (2.2.2-1+b1)
RSS 2.0 parser and printer for OCaml (runtime)
librss-ocaml-d43u9
本虛擬套件由這些套件填實: librss-ocaml
librss-ocaml-dev (2.2.2-1+b1)
RSS 2.0 parser and printer for OCaml (development)
librss-ocaml-dev-d43u9
本虛擬套件由這些套件填實: librss-ocaml-dev
librss-ocaml-dev-jfdv1
本虛擬套件由這些套件填實: librss-ocaml-dev
librss-ocaml-dev-o0qc2
本虛擬套件由這些套件填實: librss-ocaml-dev
librss-ocaml-dev-wipy8
本虛擬套件由這些套件填實: librss-ocaml-dev
librss-ocaml-jfdv1
本虛擬套件由這些套件填實: librss-ocaml
librss-ocaml-o0qc2
本虛擬套件由這些套件填實: librss-ocaml
librss-ocaml-wipy8
本虛擬套件由這些套件填實: librss-ocaml
librsskit-dev (0.4-1+b3)
GNUstep RSS framework (development files)
librsskit0d (0.4-1+b3)
GNUstep RSS framework (runtime library)
librsskit0d-dbg (0.4-1+b3)
GNUstep RSS framework (debugging symbols)
librsvg2-2 (2.50.3+dfsg-1+deb11u1)
SAX-based renderer library for SVG files (runtime)
librsvg2-bin (2.50.3+dfsg-1+deb11u1)
command-line utility to convert SVG files
librsvg2-common (2.50.3+dfsg-1+deb11u1)
SAX-based renderer library for SVG files (extra runtime)
librsvg2-dev (2.50.3+dfsg-1+deb11u1)
SAX-based renderer library for SVG files (development)
librsvg2-doc (2.50.3+dfsg-1+deb11u1)
SAX-based renderer library for SVG files (documentation)
librsync-dev (2.3.1-1)
rsync remote-delta algorithm library (development)
librsync2 (2.3.1-1)
rsync remote-delta algorithm library
librsyntaxtextarea-java (2.5.8-1)
Java library for syntax highlighting text component
librsyntaxtextarea-java-doc (2.5.8-1)
Java library for syntax highlighting text component (documentation)
librt-client-rest-perl (1:0.60-1)
RESTful Perl interface to RT installations
librt-extension-commandbymail-perl (3.00-1.1)
Allow RT status and other commands by email
librtas-dev (2.0.0-2+b1)
userspace RTAS library development files
librtas2 (2.0.0-2+b1)
userspace RTAS library
librtasevent-dev (2.0.0-2+b1)
RTAS events library development files
librtasevent2 (2.0.0-2+b1)
RTAS events library
librtaudio-dev (5.1.0~ds1-1)
C++ library for realtime audio input/ouput (development files)
librtaudio-doc (5.1.0~ds1-1)
C++ library for realtime audio input/ouput (documentation files)
librtaudio6 (5.1.0~ds1-1)
C++ library for realtime audio input/ouput
librte-acl21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-acl runtime library)
librte-baseband-acc100-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-baseband-acc100 runtime library)
librte-baseband-fpga-5gnr-fec21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-baseband-fpga-5gnr-fec runtime library)
librte-baseband-fpga-lte-fec21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-baseband-fpga-lte-fec runtime library)
librte-baseband-null21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-baseband-null runtime library)
librte-baseband-turbo-sw21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-baseband-turbo-sw runtime library)
librte-bbdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bbdev runtime library)
librte-bitratestats21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bitratestats runtime library)
librte-bpf21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bpf runtime library)
librte-bus-dpaa21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bus-dpaa runtime library)
librte-bus-fslmc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bus-fslmc runtime library)
librte-bus-ifpga21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bus-ifpga runtime library)
librte-bus-pci21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bus-pci runtime library)
librte-bus-vdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bus-vdev runtime library)
librte-bus-vmbus21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-bus-vmbus runtime library)
librte-cfgfile21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-cfgfile runtime library)
librte-cmdline21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-cmdline runtime library)
librte-common-cpt21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-cpt runtime library)
librte-common-dpaax21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-dpaax runtime library)
librte-common-iavf21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-iavf runtime library)
librte-common-mlx5-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-mlx5 runtime library)
librte-common-octeontx2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-octeontx2 runtime library)
librte-common-octeontx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-octeontx library)
librte-common-qat21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-qat runtime library)
librte-common-sfc-efx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-common-sfc-efx runtime library)
librte-compress-isal21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-compress-isal runtime library)
librte-compress-octeontx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-compress-octeontx runtime library)
librte-compress-zlib21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-compress-zlib runtime library)
librte-compressdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-compressdev runtime library)
librte-crypto-aesni-gcm21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-aesni-gcm runtime library)
librte-crypto-aesni-mb21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-aesni-mb runtime library)
librte-crypto-bcmfs21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-bcmfs runtime library)
librte-crypto-caam-jr21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-caam-jr runtime library)
librte-crypto-ccp21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-ccp runtime library)
librte-crypto-dpaa-sec21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-dpaa-sec runtime library)
librte-crypto-dpaa2-sec21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-dpaa2-sec runtime library)
librte-crypto-kasumi21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-kasumi runtime library)
librte-crypto-nitrox21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-nitrox runtime library)
librte-crypto-null21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-null runtime library)
librte-crypto-octeontx2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (crypto for octeontx2)
librte-crypto-octeontx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-octeontx runtime library)
librte-crypto-openssl21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-openssl runtime library)
librte-crypto-scheduler21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-scheduler runtime library)
librte-crypto-snow3g21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-snow3g runtime library)
librte-crypto-virtio21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-virtio runtime library)
librte-crypto-zuc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-crypto-zuc runtime library)
librte-cryptodev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-cryptodev runtime library)
librte-distributor21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-distributor runtime library)
librte-eal21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-eal runtime library)
librte-efd21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-efd runtime library)
librte-ethdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (libethdev runtime library)
librte-event-dlb2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-dlb2 runtime library)
librte-event-dlb21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-dlb runtime library)
librte-event-dpaa2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-dpaa2 runtime library)
librte-event-dpaa21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-dpaa runtime library)
librte-event-dsw21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-dsw runtime library)
librte-event-octeontx2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (events for octeontx2 pmd)
librte-event-octeontx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-octeontx runtime library)
librte-event-opdl21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-opdl runtime library)
librte-event-skeleton21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-skeleton runtime library)
librte-event-sw21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-event-sw runtime library)
librte-eventdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-eventdev runtime library)
librte-fib21 (20.11.10-1~deb11u1)
Data Plane Development Kit (libfib runtime library)
librte-flow-classify21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-flow-classify runtime library)
librte-graph21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-graph runtime library)
librte-gro21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-gro runtime library)
librte-gso21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-gso runtime library)
librte-hash21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-hash runtime library)
librte-ip-frag21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-ip-frag runtime library)
librte-ipsec21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-ipsec runtime library)
librte-jobstats21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-jobstats runtime library)
librte-kni21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-kni runtime library)
librte-kvargs21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-kvargs runtime library)
librte-latencystats21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-latencystats runtime library)
librte-lpm21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-lpm runtime library)
librte-mbuf21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mbuf2 runtime library)
librte-member21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-member runtime library)
librte-mempool-bucket21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-bucket runtime library)
librte-mempool-dpaa2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-dpaa2 runtime library)
librte-mempool-dpaa21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-dpaa runtime library)
librte-mempool-octeontx2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-octeontx2 runtime library)
librte-mempool-octeontx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-octeontx runtime library)
librte-mempool-ring21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-ring runtime library)
librte-mempool-stack21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool-stack runtime library)
librte-mempool21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-mempool runtime library)
librte-meta-all (20.11.10-1~deb11u1)
Data Plane Development Kit (all sub-libraries)
librte-meta-allpmds (20.11.10-1~deb11u1)
Data Plane Development Kit (all poll mode drivers)
librte-meta-baseband (20.11.10-1~deb11u1)
Data Plane Development Kit (baseband libraries)
librte-meta-bus (20.11.10-1~deb11u1)
Data Plane Development Kit (bus libraries)
librte-meta-compress (20.11.10-1~deb11u1)
Data Plane Development Kit (compress libraries)
librte-meta-crypto (20.11.10-1~deb11u1)
Data Plane Development Kit (crypto libraries)
librte-meta-event (20.11.10-1~deb11u1)
Data Plane Development Kit (event libraries)
librte-meta-mempool (20.11.10-1~deb11u1)
Data Plane Development Kit (mempool libraries)
librte-meta-net (20.11.10-1~deb11u1)
Data Plane Development Kit (net libraries)
librte-meta-raw (20.11.10-1~deb11u1)
Data Plane Development Kit (raw libraries)
librte-meter21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-meter runtime library)
librte-metrics21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-metrics runtime library)
librte-net-af-packet21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-af-packet runtime library)
librte-net-af-xdp21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-af-xdp runtime library)
librte-net-ark21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-ark runtime library)
librte-net-atlantic21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-atlantic runtime library)
librte-net-avp21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-avp runtime library)
librte-net-axgbe21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-axgbe runtime library)
librte-net-bnx2x21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-bnx2x runtime library)
librte-net-bnxt21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-bnxt runtime library)
librte-net-bond21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-bond runtime library)
librte-net-cxgbe21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-cxgbe runtime library)
librte-net-dpaa2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-dpaa2 runtime library)
librte-net-dpaa21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-dpaa runtime library)
librte-net-e1000-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-e1000 runtime library)
librte-net-ena21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-ena runtime library)
librte-net-enetc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-enetc runtime library)
librte-net-enic21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-enic runtime library)
librte-net-failsafe21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-failsafe runtime library)
librte-net-fm10k21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-fm10k runtime library)
librte-net-hinic21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-hinic runtime library)
librte-net-hns3-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-hns3 runtime library)
librte-net-i40e21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-i40e runtime library)
librte-net-iavf21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-iavf runtime library)
librte-net-ice21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-ice runtime library)
librte-net-igc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-igc runtime library)
librte-net-ipn3ke21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-ipn3ke runtime library)
librte-net-ixgbe21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-ixgbe runtime library)
librte-net-kni21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-kni runtime library)
librte-net-liquidio21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-liquidio runtime library)
librte-net-memif21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-memif runtime library)
librte-net-mlx4-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-mlx4 runtime library)
librte-net-mlx5-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-mlx5 runtime library)
librte-net-netvsc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-netvsc runtime library)
librte-net-nfp21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-nfp runtime library)
librte-net-null21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-null runtime library)
librte-net-octeontx2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-octeontx2 runtime library)
librte-net-octeontx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-octeontx runtime library)
librte-net-pcap21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-pcap runtime library)
librte-net-pfe21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-pfe runtime library)
librte-net-qede21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-qede runtime library)
librte-net-ring21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-ring runtime library)
librte-net-sfc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-sfc runtime library)
librte-net-softnic21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-softnic runtime library)
librte-net-tap21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-tap runtime library)
librte-net-thunderx21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-thunderx runtime library)
librte-net-txgbe21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-txgbe runtime library)
librte-net-vdev-netvsc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-vdev-netvsc runtime library)
librte-net-vhost21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-vhost runtime library)
librte-net-virtio21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-virtio runtime library)
librte-net-vmxnet3-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net-vmxnet3 runtime library)
librte-net21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-net runtime library)
librte-node21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-node runtime library)
librte-pci21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-pci runtime library)
librte-pdump21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-pdump runtime library)
librte-pipeline21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-pipeline runtime library)
librte-port21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-port runtime library)
librte-power21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-power runtime library)
librte-raw-dpaa2-cmdif21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-dpaa2-cmdif runtime library)
librte-raw-dpaa2-qdma21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-dpaa2-qdma runtime library)
librte-raw-ifpga21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-ifpga runtime library)
librte-raw-ioat21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-ioat runtime library)
librte-raw-ntb21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-ntb runtime library)
librte-raw-octeontx2-dma21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-octeontx2-dma runtime library)
librte-raw-octeontx2-ep21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-octeontx2-ep runtime library)
librte-raw-skeleton21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-raw-skeleton runtime library)
librte-rawdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-rawdev runtime library)
librte-rcu21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-rcu runtime library)
librte-regex-mlx5-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-regex-mlx5 runtime library)
librte-regex-octeontx2-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-regex-octeontx2 runtime library)
librte-regexdev21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-regexdev runtime library)
librte-reorder21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-reorder runtime library)
librte-rib21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librib runtime library)
librte-ring21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-ring runtime library)
librte-sched21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-sched runtime library)
librte-security21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-security runtime library)
librte-stack21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-stack runtime library)
librte-table21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-table runtime library)
librte-telemetry21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-telemetry runtime library)
librte-timer21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-timer runtime library)
librte-vdpa-ifc21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-vdpa-ifc runtime library)
librte-vdpa-mlx5-21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-vdpa-mlx5 runtime library)
librte-vhost21 (20.11.10-1~deb11u1)
Data Plane Development Kit (librte-vhost runtime library)
librtf-document-perl (0.64-11.1)
Perl extension for generating Rich Text Format (RTF) files
librtf-writer-perl (1.11-3)
module for creating RTF files
librtfilter-dev (1.1-4+b2)
realtime digital filtering library (development files)
librtfilter1 (1.1-4+b2)
realtime digital filtering library
librtfilter1-dbg (1.1-4+b2)
realtime digital filtering library (debugging symbols)
librtlsdr-dev (0.6.0-3)
Software defined radio receiver for Realtek RTL2832U (development)
librtlsdr0 (0.6.0-3)
Software defined radio receiver for Realtek RTL2832U (library)
librtmidi-dev (4.0.0-1)
C++ library for realtime MIDI input/ouput (development files)
librtmidi-doc (4.0.0-1)
C++ library for realtime MIDI input/ouput (documentation files)
librtmidi5 (4.0.0-1)
C++ library for realtime MIDI input/ouput
librtmp-dev (2.4+20151223.gitfa8646d.1-2+b2)
toolkit for RTMP streams (development files)
librtmp1 (2.4+20151223.gitfa8646d.1-2+b2)
toolkit for RTMP streams (shared library)
librtr-dev (0.7.0-1)
Small extensible RPKI-RTR-Client C library - development files
librtr-doc (0.7.0-1)
Small extensible RPKI-RTR-Client C library - documentation
librtr0 (0.7.0-1)
Small extensible RPKI-RTR-Client C library
librtsp-server-perl (0.06-2)
Lightweight RTSP/RTP streaming media server (library)
librttopo-dev (1.1.0-2)
Tuscany Region topology library - Development files
librttopo1 (1.1.0-2)
Tuscany Region topology library
librttr-core0.9.6 (0.9.6+dfsg1-4)
C++ reflection library
librttr-dev (0.9.6+dfsg1-4)
C++ reflection library (development headers)
librubberband-dev (1.9.0-1)
audio time-stretching and pitch-shifting library (development files)
librubberband2 (1.9.0-1)
audio time-stretching and pitch-shifting library
libruby (1:2.7+2)
Libraries necessary to run Ruby
libruby2.7 (2.7.4-1+deb11u1)
Libraries necessary to run Ruby 2.7
librudecgi-dev (5.0.0-1.1+b2)
C++ parser library for CGI applications - development libraries
librudecgi5 (5.0.0-1.1+b2)
C++ parser library for CGI applications - runtime
libruli-bin (0.36-2)
Library for easily querying DNS SRV records - sample programs
libruli-dev
本虛擬套件由這些套件填實: libruli4-dev
libruli4 (0.36-2)
Library for easily querying DNS SRV records
libruli4-dev (0.36-2)
Library for easily querying DNS SRV records - development files
librun-parts-perl (0.09-2.1)
Offers run-parts' functionality in Perl
librunapp-perl (0.13-2.1)
generic module to run web-applications
librunning-commentary-perl (0.000005-1.1)
Perl module to call system() with tracking messages
librust-actix-derive+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-0.5+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-0.5-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-0.5.0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-0.5.0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-actix-derive-dev
librust-actix-derive-dev (0.5.0-2)
Actor framework for Rust - Rust source code
librust-addr2line+alloc-dev (0.10.0-3)
Cross-platform symbolication library - feature "alloc"
librust-addr2line+cpp-demangle-dev (0.10.0-3)
Cross-platform symbolication library - feature "cpp_demangle"
librust-addr2line+default-dev (0.10.0-3)
Cross-platform symbolication library - feature "default"
librust-addr2line+object-dev (0.10.0-3)
Cross-platform symbolication library - feature "object"
librust-addr2line+rustc-demangle-dev (0.10.0-3)
Cross-platform symbolication library - feature "rustc-demangle"
librust-addr2line+std-dev (0.10.0-3)
Cross-platform symbolication library - feature "std"
librust-addr2line+std-object-dev (0.10.0-3)
Cross-platform symbolication library - feature "std-object"
librust-addr2line-0+alloc-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+alloc-dev
librust-addr2line-0+cpp-demangle-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+cpp-demangle-dev
librust-addr2line-0+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+default-dev
librust-addr2line-0+object-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+object-dev
librust-addr2line-0+rustc-demangle-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+rustc-demangle-dev
librust-addr2line-0+std-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+std-dev
librust-addr2line-0+std-object-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+std-object-dev
librust-addr2line-0-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line-dev
librust-addr2line-0.10+alloc-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+alloc-dev
librust-addr2line-0.10+cpp-demangle-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+cpp-demangle-dev
librust-addr2line-0.10+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+default-dev
librust-addr2line-0.10+object-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+object-dev
librust-addr2line-0.10+rustc-demangle-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+rustc-demangle-dev
librust-addr2line-0.10+std-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+std-dev
librust-addr2line-0.10+std-object-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+std-object-dev
librust-addr2line-0.10-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line-dev
librust-addr2line-0.10.0+alloc-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+alloc-dev
librust-addr2line-0.10.0+cpp-demangle-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+cpp-demangle-dev
librust-addr2line-0.10.0+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+default-dev
librust-addr2line-0.10.0+object-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+object-dev
librust-addr2line-0.10.0+rustc-demangle-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+rustc-demangle-dev
librust-addr2line-0.10.0+std-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+std-dev
librust-addr2line-0.10.0+std-object-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line+std-object-dev
librust-addr2line-0.10.0-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-addr2line-dev
librust-addr2line-dev (0.10.0-3)
Cross-platform symbolication library - Rust source code
librust-adler32+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1.2+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1.2+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1.2-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1.2.0+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1.2.0+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-1.2.0-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-adler32-dev
librust-adler32-dev (1.2.0-1)
Minimal Adler32 implementation for Rust - Rust source code
librust-aho-corasick+default-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick+std-dev (0.7.10-1)
Fast multiple substring searching - feature "std" and 1 more
librust-aho-corasick-0+default-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick-0+std-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick-0-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick-dev
librust-aho-corasick-0.7+default-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick-0.7+std-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick-0.7-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick-dev
librust-aho-corasick-0.7.10+default-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick-0.7.10+std-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick+std-dev
librust-aho-corasick-0.7.10-dev (= 0.7.10-1)
本虛擬套件由這些套件填實: librust-aho-corasick-dev
librust-aho-corasick-dev (0.7.10-1)
Fast multiple substring searching - Rust source code
librust-alsa-sys+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-0.2+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-0.2-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-0.2.0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-0.2.0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-alsa-sys-dev
librust-alsa-sys-dev (0.2.0-2)
FFI bindings for the ALSA project (Advanced Linux Sound Architecture) - Rust source code
librust-ansi-colours+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-1+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-1-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-1.0+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-1.0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-1.0.1+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-1.0.1-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-ansi-colours-dev
librust-ansi-colours-dev (1.0.1-2)
True-colour ↔ ANSI terminal palette converter - Rust source code
librust-ansi-term+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term+derive-serde-style-dev (0.12.1-1)
ANSI terminal styles and colors - feature "derive_serde_style" and 1 more
librust-ansi-term+serde-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term-0+derive-serde-style-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0+serde-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term-0.12+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term-0.12+derive-serde-style-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0.12+serde-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0.12-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term-0.12.1+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term-0.12.1+derive-serde-style-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0.12.1+serde-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term+derive-serde-style-dev
librust-ansi-term-0.12.1-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-ansi-term-dev
librust-ansi-term-dev (0.12.1-1)
ANSI terminal styles and colors - Rust source code
librust-antidote+default-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-1+default-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-1-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-1.0+default-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-1.0-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-1.0.0+default-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-1.0.0-dev (= 1.0.0-3)
本虛擬套件由這些套件填實: librust-antidote-dev
librust-antidote-dev (1.0.0-3)
Poison-free Mutex and RwLock types - Rust source code
librust-anyhow+default-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow+std-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1+default-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1+std-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1.0+default-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1.0+std-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1.0-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1.0.31+default-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1.0.31+std-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-1.0.31-dev (= 1.0.31-1)
本虛擬套件由這些套件填實: librust-anyhow-dev
librust-anyhow-dev (1.0.31-1)
Flexible concrete Error type built on std::error::Error - Rust source code
librust-anymap+bench-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap+default-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0+bench-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0+default-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0.12+bench-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0.12+default-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0.12-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0.12.1+bench-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0.12.1+default-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-0.12.1-dev (= 0.12.1-1+b1)
本虛擬套件由這些套件填實: librust-anymap-dev
librust-anymap-dev (0.12.1-1+b1)
Safe and convenient store for one value of each type - Rust source code
librust-approx+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx+num-complex-dev (0.3.2-1)
Approximate floating point equality comparisons and assertions - feature "num-complex"
librust-approx+std-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0+num-complex-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx+num-complex-dev
librust-approx-0+std-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0.3+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0.3+num-complex-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx+num-complex-dev
librust-approx-0.3+std-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0.3-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0.3.2+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0.3.2+num-complex-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx+num-complex-dev
librust-approx-0.3.2+std-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-0.3.2-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-approx-dev
librust-approx-dev (0.3.2-1)
Approximate floating point equality comparisons and assertions - Rust source code
librust-ar+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-ar-dev
librust-ar-dev (0.8.0-1)
Encoding/decoding Unix archive files - Rust source code
librust-arc-swap+default-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap+weak-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0+default-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0+weak-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0.4+default-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0.4+weak-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0.4-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0.4.8+default-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0.4.8+weak-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-0.4.8-dev (= 0.4.8-2)
本虛擬套件由這些套件填實: librust-arc-swap-dev
librust-arc-swap-dev (0.4.8-2)
Atomically swappable Arc - Rust source code
librust-argon2rs+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs+simd-dev (0.2.5-2)
Pure Rust password hashing library that runs on Argon2 - feature "simd"
librust-argon2rs-0+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs-0+simd-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs+simd-dev
librust-argon2rs-0-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs-0.2+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs-0.2+simd-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs+simd-dev
librust-argon2rs-0.2-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs-0.2.5+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs-0.2.5+simd-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs+simd-dev
librust-argon2rs-0.2.5-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-argon2rs-dev
librust-argon2rs-dev (0.2.5-2)
Pure Rust password hashing library that runs on Argon2 - Rust source code
librust-argparse+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-0+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-0-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-0.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-0.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-0.2.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-0.2.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-argparse-dev
librust-argparse-dev (0.2.2-1+b1)
Powerful command-line argument parsing library - Rust source code
librust-array-init+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-0+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-0-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-0.1+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-0.1-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-0.1.1+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-0.1.1-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-array-init-dev
librust-array-init-dev (0.1.1-1)
Safe wrapper for initializing fixed-size arrays - Rust source code
librust-array-macro+default-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-1+default-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-1-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-1.0+default-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-1.0-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-1.0.4+default-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-1.0.4-dev (= 1.0.4-2)
本虛擬套件由這些套件填實: librust-array-macro-dev
librust-array-macro-dev (1.0.4-2)
Array multiple elements constructor syntax - Rust source code
librust-arrayref+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-0+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-0-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-0.3+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-0.3-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-0.3.5+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-0.3.5-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-arrayref-dev
librust-arrayref-dev (0.3.5-1)
Macros to take array references of slices - Rust source code
librust-arrayvec+array-sizes-129-255-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec+array-sizes-33-128-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec+serde-dev (0.5.1-1)
Vector with fixed capacity, backed by an array - feature "serde"
librust-arrayvec+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0+array-sizes-129-255-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0+array-sizes-33-128-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0+serde-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec+serde-dev
librust-arrayvec-0+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5+array-sizes-129-255-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5+array-sizes-33-128-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5+serde-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec+serde-dev
librust-arrayvec-0.5+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5.1+array-sizes-129-255-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5.1+array-sizes-33-128-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5.1+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5.1+serde-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec+serde-dev
librust-arrayvec-0.5.1+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-0.5.1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-arrayvec-dev
librust-arrayvec-dev (0.5.1-1)
Vector with fixed capacity, backed by an array - Rust source code
librust-ascii+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii+serde-dev (0.9.2-1)
ASCII-only equivalents to `char`, `str` and `String` - feature "serde"
librust-ascii+serde-test-dev (0.9.2-1)
ASCII-only equivalents to `char`, `str` and `String` - feature "serde_test"
librust-ascii+std-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0+serde-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii+serde-dev
librust-ascii-0+serde-test-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii+serde-test-dev
librust-ascii-0+std-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0.9+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0.9+serde-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii+serde-dev
librust-ascii-0.9+serde-test-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii+serde-test-dev
librust-ascii-0.9+std-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0.9-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0.9.2+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0.9.2+serde-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii+serde-dev
librust-ascii-0.9.2+serde-test-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii+serde-test-dev
librust-ascii-0.9.2+std-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-0.9.2-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-ascii-dev
librust-ascii-canvas+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-2+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-2.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-2.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-2.0.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-2.0.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-canvas-dev
librust-ascii-canvas-dev (2.0.0-2)
Simple canvas for drawing lines and styled text and emitting to the terminal - Rust source code
librust-ascii-dev (0.9.2-1)
ASCII-only equivalents to `char`, `str` and `String` - Rust source code
librust-ascii-table+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-2+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-2.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-2.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-2.0.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-2.0.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-ascii-table-dev
librust-ascii-table-dev (2.0.0-2)
Print ASCII tables to the terminal - Rust source code
librust-assert-cli+default-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-0+default-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-0-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-0.6+default-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-0.6-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-0.6.3+default-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-0.6.3-dev (= 0.6.3-2)
本虛擬套件由這些套件填實: librust-assert-cli-dev
librust-assert-cli-dev (0.6.3-2)
test CLI applications - Rust source code
librust-async-trait+default-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait+support-old-nightly-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0+default-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0+support-old-nightly-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0.1+default-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0.1+support-old-nightly-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0.1-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0.1.24+default-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0.1.24+support-old-nightly-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-0.1.24-dev (= 0.1.24-1+b1)
本虛擬套件由這些套件填實: librust-async-trait-dev
librust-async-trait-dev (0.1.24-1+b1)
Type erasure for async trait methods - Rust source code
librust-atk+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk+dox-dev (0.7.0-1+b1)
Rust bindings for the ATK library - feature "dox"
librust-atk+embed-lgpl-docs-dev (0.7.0-1+b1)
Rust bindings for the ATK library - feature "embed-lgpl-docs" and 2 more
librust-atk+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk+v2-30-dev (0.7.0-1+b1)
Rust bindings for the ATK library - feature "v2_30"
librust-atk-0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk-0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+dox-dev
librust-atk-0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0+v2-30-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+v2-30-dev
librust-atk-0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk-0.7+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk-0.7+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+dox-dev
librust-atk-0.7+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0.7+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0.7+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0.7+v2-30-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+v2-30-dev
librust-atk-0.7-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk-0.7.0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk-0.7.0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+dox-dev
librust-atk-0.7.0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0.7.0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0.7.0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+embed-lgpl-docs-dev
librust-atk-0.7.0+v2-30-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk+v2-30-dev
librust-atk-0.7.0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-atk-dev
librust-atk-dev (0.7.0-1+b1)
Rust bindings for the ATK library - Rust source code
librust-atk-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys+v2-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0+v2-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9+v2-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9.0+v2-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-atk-sys-dev
librust-atk-sys-dev (0.9.0-2)
FFI bindings to libatk-1 - Rust source code
librust-atlatl+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl+serde-dev (0.1.2-1+b1)
Double-array tries - feature "serde"
librust-atlatl-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl-0+serde-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl+serde-dev
librust-atlatl-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl-0.1+serde-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl+serde-dev
librust-atlatl-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl-0.1.2+serde-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl+serde-dev
librust-atlatl-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-atlatl-dev
librust-atlatl-dev (0.1.2-1+b1)
Double-array tries - Rust source code
librust-atoi+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-0+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-0-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-0.2+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-0.2-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-0.2.3+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-0.2.3-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-atoi-dev
librust-atoi-dev (0.2.3-1+b1)
Parse integers directly from `[u8]` slices in safe code - Rust source code
librust-atty+default-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-0+default-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-0-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-0.2+default-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-0.2-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-0.2.14+default-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-0.2.14-dev (= 0.2.14-2)
本虛擬套件由這些套件填實: librust-atty-dev
librust-atty-dev (0.2.14-2)
Simple interface for querying atty - Rust source code
librust-autocfg+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-1.0+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-1.0-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-1.0.1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-1.0.1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-autocfg-dev
librust-autocfg-dev (1.0.1-1)
Automatic cfg for Rust compiler features - Rust source code
librust-automod+default-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-0+default-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-0-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-0.2+default-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-0.2-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-0.2.0+default-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-0.2.0-dev (= 0.2.0-1+b2)
本虛擬套件由這些套件填實: librust-automod-dev
librust-automod-dev (0.2.0-1+b2)
Pull in every source file in a directory as a module - Rust source code
librust-backtrace+addr2line-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "addr2line"
librust-backtrace+backtrace-sys-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "backtrace-sys" and 1 more
librust-backtrace+compiler-builtins-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "compiler_builtins"
librust-backtrace+core-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "core"
librust-backtrace+coresymbolication-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+cpp-demangle-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "cpp_demangle"
librust-backtrace+dbghelp-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+default-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "default"
librust-backtrace+dladdr-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+findshlibs-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "findshlibs"
librust-backtrace+gimli-symbolize-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "gimli-symbolize"
librust-backtrace+goblin-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "goblin"
librust-backtrace+kernel32-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+libbacktrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace+libunwind-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+memmap-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "memmap"
librust-backtrace+rustc-serialize-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "rustc-serialize" and 1 more
librust-backtrace+serde-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "serde" and 1 more
librust-backtrace+serialize-rustc-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace+serialize-serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace+std-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+unix-backtrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace+verify-winapi-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "verify-winapi"
librust-backtrace+winapi-dev (0.3.44-6)
Acquire a backtrace at runtime - feature "winapi"
librust-backtrace-0+addr2line-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+addr2line-dev
librust-backtrace-0+backtrace-sys-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace-0+compiler-builtins-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+compiler-builtins-dev
librust-backtrace-0+core-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+core-dev
librust-backtrace-0+coresymbolication-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+cpp-demangle-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+cpp-demangle-dev
librust-backtrace-0+dbghelp-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+default-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+default-dev
librust-backtrace-0+dladdr-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+findshlibs-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+findshlibs-dev
librust-backtrace-0+gimli-symbolize-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+gimli-symbolize-dev
librust-backtrace-0+goblin-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+goblin-dev
librust-backtrace-0+kernel32-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+libbacktrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace-0+libunwind-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+memmap-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+memmap-dev
librust-backtrace-0+rustc-serialize-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace-0+serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace-0+serialize-rustc-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace-0+serialize-serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace-0+std-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+unix-backtrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0+verify-winapi-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+verify-winapi-dev
librust-backtrace-0+winapi-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+winapi-dev
librust-backtrace-0-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+addr2line-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+addr2line-dev
librust-backtrace-0.3+backtrace-sys-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace-0.3+compiler-builtins-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+compiler-builtins-dev
librust-backtrace-0.3+core-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+core-dev
librust-backtrace-0.3+coresymbolication-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+cpp-demangle-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+cpp-demangle-dev
librust-backtrace-0.3+dbghelp-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+default-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+default-dev
librust-backtrace-0.3+dladdr-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+findshlibs-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+findshlibs-dev
librust-backtrace-0.3+gimli-symbolize-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+gimli-symbolize-dev
librust-backtrace-0.3+goblin-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+goblin-dev
librust-backtrace-0.3+kernel32-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+libbacktrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace-0.3+libunwind-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+memmap-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+memmap-dev
librust-backtrace-0.3+rustc-serialize-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace-0.3+serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace-0.3+serialize-rustc-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace-0.3+serialize-serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace-0.3+std-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+unix-backtrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3+verify-winapi-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+verify-winapi-dev
librust-backtrace-0.3+winapi-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+winapi-dev
librust-backtrace-0.3-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+addr2line-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+addr2line-dev
librust-backtrace-0.3.44+backtrace-sys-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace-0.3.44+compiler-builtins-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+compiler-builtins-dev
librust-backtrace-0.3.44+core-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+core-dev
librust-backtrace-0.3.44+coresymbolication-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+cpp-demangle-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+cpp-demangle-dev
librust-backtrace-0.3.44+dbghelp-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+default-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+default-dev
librust-backtrace-0.3.44+dladdr-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+findshlibs-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+findshlibs-dev
librust-backtrace-0.3.44+gimli-symbolize-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+gimli-symbolize-dev
librust-backtrace-0.3.44+goblin-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+goblin-dev
librust-backtrace-0.3.44+kernel32-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+libbacktrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+backtrace-sys-dev
librust-backtrace-0.3.44+libunwind-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+memmap-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+memmap-dev
librust-backtrace-0.3.44+rustc-serialize-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace-0.3.44+serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace-0.3.44+serialize-rustc-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+rustc-serialize-dev
librust-backtrace-0.3.44+serialize-serde-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+serde-dev
librust-backtrace-0.3.44+std-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+unix-backtrace-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-0.3.44+verify-winapi-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+verify-winapi-dev
librust-backtrace-0.3.44+winapi-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace+winapi-dev
librust-backtrace-0.3.44-dev (= 0.3.44-6)
本虛擬套件由這些套件填實: librust-backtrace-dev
librust-backtrace-dev (0.3.44-6)
Acquire a backtrace at runtime - Rust source code
librust-backtrace-sys+backtrace-sys-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys+compiler-builtins-dev (0.1.35-1)
Bindings to the libbacktrace gcc library - feature "compiler_builtins"
librust-backtrace-sys+core-dev (0.1.35-1)
Bindings to the libbacktrace gcc library - feature "core"
librust-backtrace-sys+default-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys+rustc-dep-of-std-dev (0.1.35-1)
Bindings to the libbacktrace gcc library - feature "rustc-dep-of-std"
librust-backtrace-sys-0+backtrace-sys-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0+compiler-builtins-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+compiler-builtins-dev
librust-backtrace-sys-0+core-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+core-dev
librust-backtrace-sys-0+default-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0+rustc-dep-of-std-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+rustc-dep-of-std-dev
librust-backtrace-sys-0-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0.1+backtrace-sys-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0.1+compiler-builtins-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+compiler-builtins-dev
librust-backtrace-sys-0.1+core-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+core-dev
librust-backtrace-sys-0.1+default-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0.1+rustc-dep-of-std-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+rustc-dep-of-std-dev
librust-backtrace-sys-0.1-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0.1.35+backtrace-sys-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0.1.35+compiler-builtins-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+compiler-builtins-dev
librust-backtrace-sys-0.1.35+core-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+core-dev
librust-backtrace-sys-0.1.35+default-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-0.1.35+rustc-dep-of-std-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys+rustc-dep-of-std-dev
librust-backtrace-sys-0.1.35-dev (= 0.1.35-1)
本虛擬套件由這些套件填實: librust-backtrace-sys-dev
librust-backtrace-sys-dev (0.1.35-1)
Bindings to the libbacktrace gcc library - Rust source code
librust-base-x+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-0+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-0-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-0.2+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-0.2-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-0.2.3+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-0.2.3-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-base-x-dev
librust-base-x-dev (0.2.3-1+b1)
Encode/decode any base - Rust source code
librust-base32+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-0.4+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-0.4-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-0.4.0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-0.4.0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-base32-dev
librust-base32-dev (0.4.0-1+b1)
Base32 encoder/decoder for Rust - Rust source code
librust-base64+alloc-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64+std-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0+alloc-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0+std-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12+alloc-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12+std-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12.1+alloc-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12.1+default-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12.1+std-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-0.12.1-dev (= 0.12.1-1)
本虛擬套件由這些套件填實: librust-base64-dev
librust-base64-dev (0.12.1-1)
Encodes and decodes base64 as bytes or utf8 - Rust source code
librust-bincode+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode+i128-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1+i128-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1.3+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1.3+i128-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1.3-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1.3.1+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1.3.1+i128-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-1.3.1-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-bincode-dev
librust-bincode-dev (1.3.1-1)
Compact binary serialization / deserialization library - Rust source code
librust-bindgen+clap-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "clap"
librust-bindgen+default-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "default"
librust-bindgen+env-logger-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "env_logger"
librust-bindgen+log-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "log"
librust-bindgen+logging-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "logging"
librust-bindgen+runtime-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "runtime"
librust-bindgen+static-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "static"
librust-bindgen+testing-only-docs-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen+testing-only-extra-assertions-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen+testing-only-libclang-3-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen+testing-only-libclang-4-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen+testing-only-libclang-5-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen+testing-only-libclang-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen+which-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - feature "which" and 1 more
librust-bindgen+which-rustfmt-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0+clap-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+clap-dev
librust-bindgen-0+default-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+default-dev
librust-bindgen-0+env-logger-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+env-logger-dev
librust-bindgen-0+log-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+log-dev
librust-bindgen-0+logging-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+logging-dev
librust-bindgen-0+runtime-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+runtime-dev
librust-bindgen-0+static-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+static-dev
librust-bindgen-0+testing-only-docs-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0+testing-only-extra-assertions-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0+testing-only-libclang-3-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0+testing-only-libclang-4-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0+testing-only-libclang-5-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0+testing-only-libclang-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0+which-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0+which-rustfmt-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+clap-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+clap-dev
librust-bindgen-0.55+default-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+default-dev
librust-bindgen-0.55+env-logger-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+env-logger-dev
librust-bindgen-0.55+log-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+log-dev
librust-bindgen-0.55+logging-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+logging-dev
librust-bindgen-0.55+runtime-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+runtime-dev
librust-bindgen-0.55+static-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+static-dev
librust-bindgen-0.55+testing-only-docs-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+testing-only-extra-assertions-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+testing-only-libclang-3-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+testing-only-libclang-4-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+testing-only-libclang-5-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+testing-only-libclang-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55+which-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0.55+which-rustfmt-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0.55-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+clap-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+clap-dev
librust-bindgen-0.55.1+default-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+default-dev
librust-bindgen-0.55.1+env-logger-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+env-logger-dev
librust-bindgen-0.55.1+log-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+log-dev
librust-bindgen-0.55.1+logging-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+logging-dev
librust-bindgen-0.55.1+runtime-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+runtime-dev
librust-bindgen-0.55.1+static-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+static-dev
librust-bindgen-0.55.1+testing-only-docs-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+testing-only-extra-assertions-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+testing-only-libclang-3-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+testing-only-libclang-4-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+testing-only-libclang-5-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+testing-only-libclang-9-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-0.55.1+which-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0.55.1+which-rustfmt-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen+which-dev
librust-bindgen-0.55.1-dev (= 0.55.1-3+b1)
本虛擬套件由這些套件填實: librust-bindgen-dev
librust-bindgen-dev (0.55.1-3+b1)
Automatically generates Rust FFI bindings to C and C++ libraries - Rust source code
librust-bit-set+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set+nightly-dev (0.5.0-1+b1)
Set of bits - feature "nightly"
librust-bit-set+std-dev (0.5.0-1+b1)
Set of bits - feature "std"
librust-bit-set-0+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set-0+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+nightly-dev
librust-bit-set-0+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set-0-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set-dev
librust-bit-set-0.5+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set-0.5+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+nightly-dev
librust-bit-set-0.5+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set-0.5-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set-dev
librust-bit-set-0.5.0+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set-0.5.0+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+nightly-dev
librust-bit-set-0.5.0+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set+std-dev
librust-bit-set-0.5.0-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-set-dev
librust-bit-set-dev (0.5.0-1+b1)
Set of bits - Rust source code
librust-bit-vec+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5.0+default-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5.0+nightly-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5.0+std-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-0.5.0-dev (= 0.5.0-1+b1)
本虛擬套件由這些套件填實: librust-bit-vec-dev
librust-bit-vec-dev (0.5.0-1+b1)
Vector of bits - Rust source code
librust-bitflags+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags+example-generated-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1+example-generated-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1.2+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1.2+example-generated-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1.2-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1.2.1+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1.2.1+example-generated-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-1.2.1-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-bitflags-dev
librust-bitflags-dev (1.2.1-1)
Macro to generate structures which behave like bitflags - Rust source code
librust-bitmaps+default-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps+std-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2+default-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2+std-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2.1+default-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2.1+std-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2.1-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2.1.0+default-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2.1.0+std-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-2.1.0-dev (= 2.1.0-1+b1)
本虛擬套件由這些套件填實: librust-bitmaps-dev
librust-bitmaps-dev (2.1.0-1+b1)
Fixed size boolean arrays - Rust source code
librust-blake2-rfc+bench-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc+default-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc+simd-asm-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc+simd-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc+simd-opt-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc+std-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0+bench-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0+default-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0+simd-asm-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0+simd-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0+simd-opt-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0+std-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2+bench-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2+default-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2+simd-asm-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2+simd-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2+simd-opt-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2+std-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18+bench-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18+default-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18+simd-asm-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18+simd-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18+simd-opt-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18+std-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-0.2.18-dev (= 0.2.18-3)
本虛擬套件由這些套件填實: librust-blake2-rfc-dev
librust-blake2-rfc-dev (0.2.18-3)
Pure Rust implementation of BLAKE2 based on RFC 7693 - Rust source code
librust-blobby+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-blobby-dev
librust-blobby-dev (0.1.1-1+b1)
Iterator over simple binary blob storage - Rust source code
librust-block+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-0+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-0-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-0.1+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-0.1-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-0.1.6+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-0.1.6-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-block-dev
librust-block-buffer+default-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-0+default-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-0-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-0.9+default-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-0.9-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-0.9.0+default-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-0.9.0-dev (= 0.9.0-4)
本虛擬套件由這些套件填實: librust-block-buffer-dev
librust-block-buffer-dev (0.9.0-4)
Fixed size buffer for block processing of data - Rust source code
librust-block-cipher-trait+blobby-dev (0.6.2-3)
Traits for description of block ciphers - feature "blobby" and 1 more
librust-block-cipher-trait+default-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait+dev-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait+std-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0+blobby-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait-0+default-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0+dev-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait-0+std-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0.6+blobby-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait-0.6+default-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0.6+dev-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait-0.6+std-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0.6-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0.6.2+blobby-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait-0.6.2+default-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0.6.2+dev-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait+blobby-dev
librust-block-cipher-trait-0.6.2+std-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-0.6.2-dev (= 0.6.2-3)
本虛擬套件由這些套件填實: librust-block-cipher-trait-dev
librust-block-cipher-trait-dev (0.6.2-3)
Traits for description of block ciphers - Rust source code
librust-block-dev (0.1.6-2)
Rust interface for Apple's C language extension of blocks - Rust source code
librust-block-modes+default-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes+std-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0+default-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0+std-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0.3+default-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0.3+std-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0.3-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0.3.3+default-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0.3.3+std-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-0.3.3-dev (= 0.3.3-3)
本虛擬套件由這些套件填實: librust-block-modes-dev
librust-block-modes-dev (0.3.3-3)
Block cipher modes of operation - Rust source code
librust-block-padding+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-0+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-0-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-0.2+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-0.2-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-0.2.1+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-0.2.1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-block-padding-dev
librust-block-padding-dev (0.2.1-1)
Padding and unpadding of messages divided into blocks - Rust source code
librust-box-drawing+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-box-drawing-dev
librust-box-drawing-dev (0.1.2-1+b1)
Very simple library containing constants for UTF-8 box drawing - Rust source code
librust-boxfnonce+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-boxfnonce-dev
librust-boxfnonce-dev (0.1.1-2)
Safe FnOnce boxing for rust stable - Rust source code
librust-bs58+alloc-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58+check-dev (0.4.0-1)
Another Base58 codec implementation - feature "check" and 1 more
librust-bs58+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58+sha2-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0+alloc-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0+check-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0+sha2-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58-0+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4+alloc-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4+check-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4+sha2-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58-0.4+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4.0+alloc-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4.0+check-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4.0+sha2-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58+check-dev
librust-bs58-0.4.0+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-bs58-dev
librust-bs58-dev (0.4.0-1)
Another Base58 codec implementation - Rust source code
librust-bstr+default-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "default"
librust-bstr+lazy-static-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "lazy_static"
librust-bstr+regex-automata-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "regex-automata"
librust-bstr+serde-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "serde" and 1 more
librust-bstr+serde1-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "serde1"
librust-bstr+serde1-nostd-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr+std-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "std"
librust-bstr+unicode-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - feature "unicode"
librust-bstr-0+default-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+default-dev
librust-bstr-0+lazy-static-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+lazy-static-dev
librust-bstr-0+regex-automata-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+regex-automata-dev
librust-bstr-0+serde-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr-0+serde1-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde1-dev
librust-bstr-0+serde1-nostd-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr-0+std-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+std-dev
librust-bstr-0+unicode-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+unicode-dev
librust-bstr-0-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr-dev
librust-bstr-0.2+default-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+default-dev
librust-bstr-0.2+lazy-static-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+lazy-static-dev
librust-bstr-0.2+regex-automata-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+regex-automata-dev
librust-bstr-0.2+serde-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr-0.2+serde1-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde1-dev
librust-bstr-0.2+serde1-nostd-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr-0.2+std-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+std-dev
librust-bstr-0.2+unicode-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+unicode-dev
librust-bstr-0.2-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr-dev
librust-bstr-0.2.12+default-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+default-dev
librust-bstr-0.2.12+lazy-static-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+lazy-static-dev
librust-bstr-0.2.12+regex-automata-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+regex-automata-dev
librust-bstr-0.2.12+serde-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr-0.2.12+serde1-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde1-dev
librust-bstr-0.2.12+serde1-nostd-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+serde-dev
librust-bstr-0.2.12+std-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+std-dev
librust-bstr-0.2.12+unicode-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr+unicode-dev
librust-bstr-0.2.12-dev (= 0.2.12-1)
本虛擬套件由這些套件填實: librust-bstr-dev
librust-bstr-dev (0.2.12-1)
String type that is not required to be valid UTF-8 - Rust source code
librust-buffered-reader+bzip2-dev (1.0.1-1)
Super-powered Reader - feature "bzip2" and 1 more
librust-buffered-reader+compression-bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader+compression-deflate-dev (1.0.1-1)
Super-powered Reader - feature "compression-deflate" and 1 more
librust-buffered-reader+compression-dev (1.0.1-1)
Super-powered Reader - feature "compression" and 1 more
librust-buffered-reader+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader+flate2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1+bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader-1+compression-bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader-1+compression-deflate-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1+compression-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader-1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader-1+flate2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader-dev
librust-buffered-reader-1.0+bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader-1.0+compression-bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader-1.0+compression-deflate-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1.0+compression-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader-1.0+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader-1.0+flate2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1.0-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader-dev
librust-buffered-reader-1.0.1+bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader-1.0.1+compression-bzip2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+bzip2-dev
librust-buffered-reader-1.0.1+compression-deflate-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1.0.1+compression-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader-1.0.1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-dev
librust-buffered-reader-1.0.1+flate2-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader+compression-deflate-dev
librust-buffered-reader-1.0.1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-buffered-reader-dev
librust-buffered-reader-dev (1.0.1-1)
Super-powered Reader - Rust source code
librust-bufstream+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream+futures-dev (0.1.4-1+b1)
Buffered I/O for streams - feature "futures"
librust-bufstream+tokio-dev (0.1.4-1+b1)
Buffered I/O for streams - feature "tokio"
librust-bufstream+tokio-io-dev (0.1.4-1+b1)
Buffered I/O for streams - feature "tokio-io"
librust-bufstream-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream-0+futures-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+futures-dev
librust-bufstream-0+tokio-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+tokio-dev
librust-bufstream-0+tokio-io-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+tokio-io-dev
librust-bufstream-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream-0.1+futures-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+futures-dev
librust-bufstream-0.1+tokio-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+tokio-dev
librust-bufstream-0.1+tokio-io-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+tokio-io-dev
librust-bufstream-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream-0.1.4+futures-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+futures-dev
librust-bufstream-0.1.4+tokio-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+tokio-dev
librust-bufstream-0.1.4+tokio-io-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream+tokio-io-dev
librust-bufstream-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-bufstream-dev
librust-bufstream-dev (0.1.4-1+b1)
Buffered I/O for streams - Rust source code
librust-build-const+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const+std-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0+std-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0.2+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0.2+std-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0.2-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0.2.1+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0.2.1+std-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-0.2.1-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-build-const-dev
librust-build-const-dev (0.2.1-1+b1)
Creating importable constants from build.rs or a script - Rust source code
librust-bumpalo+boxed-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo+collections-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo+default-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3+boxed-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3+collections-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3+default-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4+boxed-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4+collections-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4+default-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4.0+boxed-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4.0+collections-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4.0+default-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-3.4.0-dev (= 3.4.0-1)
本虛擬套件由這些套件填實: librust-bumpalo-dev
librust-bumpalo-dev (3.4.0-1)
Fast bump allocation arena for Rust - Rust source code
librust-byte-slice-cast+alloc-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast+std-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0+alloc-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0+std-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3+alloc-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3+std-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3.5+alloc-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3.5+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3.5+std-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-0.3.5-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-byte-slice-cast-dev
librust-byte-slice-cast-dev (0.3.5-1)
Safely cast bytes slices from/to slices of built-in fundamental numeric types - Rust source code
librust-byte-tools+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-byte-tools-dev
librust-byte-tools-dev (0.3.0-1)
Bytes related utility functions - Rust source code
librust-bytecount+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount+generic-simd-dev (0.6.0-1)
Count bytes or UTF-8 codepoints in a byte slice - feature "generic-simd" and 1 more
librust-bytecount+html-report-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount+packed-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount+runtime-dispatch-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0+generic-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount-0+html-report-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0+packed-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount-0+runtime-dispatch-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6+generic-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount-0.6+html-report-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6+packed-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount-0.6+runtime-dispatch-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6.0+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6.0+generic-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount-0.6.0+html-report-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6.0+packed-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount+generic-simd-dev
librust-bytecount-0.6.0+runtime-dispatch-simd-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-0.6.0-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-bytecount-dev
librust-bytecount-dev (0.6.0-1)
Count bytes or UTF-8 codepoints in a byte slice - Rust source code
librust-bytelines+default-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-2+default-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-2-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-2.2+default-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-2.2-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-2.2.2+default-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-2.2.2-dev (= 2.2.2-1+b1)
本虛擬套件由這些套件填實: librust-bytelines-dev
librust-bytelines-dev (2.2.2-1+b1)
Read input lines as byte slices for high efficiency - Rust source code
librust-byteorder+default-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder+i128-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder+std-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1+default-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1+i128-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1+std-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3+default-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3+i128-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3+std-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3.4+default-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3.4+i128-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3.4+std-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-1.3.4-dev (= 1.3.4-1)
本虛擬套件由這些套件填實: librust-byteorder-dev
librust-byteorder-dev (1.3.4-1)
Reading/writing numbers in big-endian and little-endian - Rust source code
librust-bytes+default-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes+either-dev (0.4.12-1)
Types and traits for working with bytes - feature "either"
librust-bytes+i128-dev (0.4.12-1)
Types and traits for working with bytes - feature "i128"
librust-bytes+serde-dev (0.4.12-1)
Types and traits for working with bytes - feature "serde"
librust-bytes-0+default-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes-0+either-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+either-dev
librust-bytes-0+i128-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+i128-dev
librust-bytes-0+serde-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+serde-dev
librust-bytes-0-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes-0.4+default-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes-0.4+either-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+either-dev
librust-bytes-0.4+i128-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+i128-dev
librust-bytes-0.4+serde-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+serde-dev
librust-bytes-0.4-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes-0.4.12+default-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes-0.4.12+either-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+either-dev
librust-bytes-0.4.12+i128-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+i128-dev
librust-bytes-0.4.12+serde-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes+serde-dev
librust-bytes-0.4.12-dev (= 0.4.12-1)
本虛擬套件由這些套件填實: librust-bytes-dev
librust-bytes-dev (0.4.12-1)
Types and traits for working with bytes - Rust source code
librust-bytesize+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize+serde-dev (1.0.1-1)
Utility for human-readable bytes representations - feature "serde"
librust-bytesize-1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize-1+serde-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize+serde-dev
librust-bytesize-1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize-1.0+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize-1.0+serde-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize+serde-dev
librust-bytesize-1.0-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize-1.0.1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize-1.0.1+serde-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize+serde-dev
librust-bytesize-1.0.1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-bytesize-dev
librust-bytesize-dev (1.0.1-1)
Utility for human-readable bytes representations - Rust source code
librust-bzip2+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2+futures-dev (0.4.1-1)
Bindings to libbzip2 (compression & decompression) - feature "futures"
librust-bzip2+tokio-dev (0.4.1-1)
Bindings to libbzip2 (compression & decompression) - feature "tokio"
librust-bzip2+tokio-io-dev (0.4.1-1)
Bindings to libbzip2 (compression & decompression) - feature "tokio-io"
librust-bzip2-0+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2-0+futures-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+futures-dev
librust-bzip2-0+tokio-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+tokio-dev
librust-bzip2-0+tokio-io-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+tokio-io-dev
librust-bzip2-0-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2-0.4+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2-0.4+futures-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+futures-dev
librust-bzip2-0.4+tokio-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+tokio-dev
librust-bzip2-0.4+tokio-io-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+tokio-io-dev
librust-bzip2-0.4-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2-0.4.1+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2-0.4.1+futures-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+futures-dev
librust-bzip2-0.4.1+tokio-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+tokio-dev
librust-bzip2-0.4.1+tokio-io-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2+tokio-io-dev
librust-bzip2-0.4.1-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-bzip2-dev
librust-bzip2-dev (0.4.1-1)
Bindings to libbzip2 (compression & decompression) - Rust source code
librust-bzip2-sys+default-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-0+default-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-0-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-0.1+default-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-0.1-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-0.1.9+default-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-0.1.9-dev (= 0.1.9-2)
本虛擬套件由這些套件填實: librust-bzip2-sys-dev
librust-bzip2-sys-dev (0.1.9-2)
libbzip2 bindings for compression and decompression - Rust source code
librust-c2-chacha+byteorder-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - feature "byteorder"
librust-c2-chacha+default-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - feature "default"
librust-c2-chacha+lazy-static-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - feature "lazy_static" and 1 more
librust-c2-chacha+rustcrypto-api-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - feature "rustcrypto_api"
librust-c2-chacha+simd-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - feature "simd"
librust-c2-chacha+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha+stream-cipher-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - feature "stream-cipher"
librust-c2-chacha-0+byteorder-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+byteorder-dev
librust-c2-chacha-0+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+default-dev
librust-c2-chacha-0+lazy-static-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha-0+rustcrypto-api-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+rustcrypto-api-dev
librust-c2-chacha-0+simd-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+simd-dev
librust-c2-chacha-0+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha-0+stream-cipher-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+stream-cipher-dev
librust-c2-chacha-0-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha-dev
librust-c2-chacha-0.2+byteorder-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+byteorder-dev
librust-c2-chacha-0.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+default-dev
librust-c2-chacha-0.2+lazy-static-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha-0.2+rustcrypto-api-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+rustcrypto-api-dev
librust-c2-chacha-0.2+simd-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+simd-dev
librust-c2-chacha-0.2+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha-0.2+stream-cipher-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+stream-cipher-dev
librust-c2-chacha-0.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha-dev
librust-c2-chacha-0.2.2+byteorder-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+byteorder-dev
librust-c2-chacha-0.2.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+default-dev
librust-c2-chacha-0.2.2+lazy-static-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha-0.2.2+rustcrypto-api-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+rustcrypto-api-dev
librust-c2-chacha-0.2.2+simd-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+simd-dev
librust-c2-chacha-0.2.2+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+lazy-static-dev
librust-c2-chacha-0.2.2+stream-cipher-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha+stream-cipher-dev
librust-c2-chacha-0.2.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-c2-chacha-dev
librust-c2-chacha-dev (0.2.2-1+b1)
ChaCha family of stream ciphers - Rust source code
librust-cairo-rs+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs+dox-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "dox"
librust-cairo-rs+embed-lgpl-docs-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "embed-lgpl-docs"
librust-cairo-rs+glib-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "glib"
librust-cairo-rs+glib-sys-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "glib-sys"
librust-cairo-rs+gobject-sys-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "gobject-sys"
librust-cairo-rs+gtk-rs-lgpl-docs-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "gtk-rs-lgpl-docs"
librust-cairo-rs+pdf-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "pdf"
librust-cairo-rs+png-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "png"
librust-cairo-rs+ps-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "ps"
librust-cairo-rs+purge-lgpl-docs-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "purge-lgpl-docs"
librust-cairo-rs+svg-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "svg"
librust-cairo-rs+use-glib-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "use_glib"
librust-cairo-rs+v1-14-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "v1_14"
librust-cairo-rs+v1-16-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "v1_16"
librust-cairo-rs+xcb-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "xcb"
librust-cairo-rs+xlib-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - feature "xlib"
librust-cairo-rs-0+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs-0+dox-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+dox-dev
librust-cairo-rs-0+embed-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+embed-lgpl-docs-dev
librust-cairo-rs-0+glib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+glib-dev
librust-cairo-rs-0+glib-sys-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+glib-sys-dev
librust-cairo-rs-0+gobject-sys-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+gobject-sys-dev
librust-cairo-rs-0+gtk-rs-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+gtk-rs-lgpl-docs-dev
librust-cairo-rs-0+pdf-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+pdf-dev
librust-cairo-rs-0+png-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+png-dev
librust-cairo-rs-0+ps-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+ps-dev
librust-cairo-rs-0+purge-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+purge-lgpl-docs-dev
librust-cairo-rs-0+svg-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+svg-dev
librust-cairo-rs-0+use-glib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs-0+v1-14-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+v1-14-dev
librust-cairo-rs-0+v1-16-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+v1-16-dev
librust-cairo-rs-0+xcb-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+xcb-dev
librust-cairo-rs-0+xlib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+xlib-dev
librust-cairo-rs-0-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs-dev
librust-cairo-rs-0.7+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs-0.7+dox-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+dox-dev
librust-cairo-rs-0.7+embed-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+embed-lgpl-docs-dev
librust-cairo-rs-0.7+glib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+glib-dev
librust-cairo-rs-0.7+glib-sys-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+glib-sys-dev
librust-cairo-rs-0.7+gobject-sys-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+gobject-sys-dev
librust-cairo-rs-0.7+gtk-rs-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+gtk-rs-lgpl-docs-dev
librust-cairo-rs-0.7+pdf-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+pdf-dev
librust-cairo-rs-0.7+png-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+png-dev
librust-cairo-rs-0.7+ps-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+ps-dev
librust-cairo-rs-0.7+purge-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+purge-lgpl-docs-dev
librust-cairo-rs-0.7+svg-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+svg-dev
librust-cairo-rs-0.7+use-glib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs-0.7+v1-14-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+v1-14-dev
librust-cairo-rs-0.7+v1-16-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+v1-16-dev
librust-cairo-rs-0.7+xcb-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+xcb-dev
librust-cairo-rs-0.7+xlib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+xlib-dev
librust-cairo-rs-0.7-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs-dev
librust-cairo-rs-0.7.1+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs-0.7.1+dox-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+dox-dev
librust-cairo-rs-0.7.1+embed-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+embed-lgpl-docs-dev
librust-cairo-rs-0.7.1+glib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+glib-dev
librust-cairo-rs-0.7.1+glib-sys-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+glib-sys-dev
librust-cairo-rs-0.7.1+gobject-sys-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+gobject-sys-dev
librust-cairo-rs-0.7.1+gtk-rs-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+gtk-rs-lgpl-docs-dev
librust-cairo-rs-0.7.1+pdf-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+pdf-dev
librust-cairo-rs-0.7.1+png-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+png-dev
librust-cairo-rs-0.7.1+ps-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+ps-dev
librust-cairo-rs-0.7.1+purge-lgpl-docs-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+purge-lgpl-docs-dev
librust-cairo-rs-0.7.1+svg-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+svg-dev
librust-cairo-rs-0.7.1+use-glib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+use-glib-dev
librust-cairo-rs-0.7.1+v1-14-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+v1-14-dev
librust-cairo-rs-0.7.1+v1-16-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+v1-16-dev
librust-cairo-rs-0.7.1+xcb-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+xcb-dev
librust-cairo-rs-0.7.1+xlib-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs+xlib-dev
librust-cairo-rs-0.7.1-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-cairo-rs-dev
librust-cairo-rs-dev (0.7.1-1+b1)
Rust bindings for the Cairo library - Rust source code
librust-cairo-sys-rs+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+dox-dev (0.9.0-2)
FFI bindings to libcairo - feature "dox"
librust-cairo-sys-rs+glib-sys-dev (0.9.0-2)
FFI bindings to libcairo - feature "glib-sys"
librust-cairo-sys-rs+pdf-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+png-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+ps-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+svg-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+use-glib-dev (0.9.0-2)
FFI bindings to libcairo - feature "use_glib"
librust-cairo-sys-rs+v1-14-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+v1-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+x11-dev (0.9.0-2)
FFI bindings to libcairo - feature "x11"
librust-cairo-sys-rs+xcb-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs+xlib-dev (0.9.0-2)
FFI bindings to libcairo - feature "xlib"
librust-cairo-sys-rs-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+dox-dev
librust-cairo-sys-rs-0+glib-sys-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+glib-sys-dev
librust-cairo-sys-rs-0+pdf-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+png-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+ps-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+svg-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+use-glib-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+use-glib-dev
librust-cairo-sys-rs-0+v1-14-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+v1-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+x11-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+x11-dev
librust-cairo-sys-rs-0+xcb-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0+xlib-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+xlib-dev
librust-cairo-sys-rs-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+dox-dev
librust-cairo-sys-rs-0.9+glib-sys-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+glib-sys-dev
librust-cairo-sys-rs-0.9+pdf-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+png-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+ps-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+svg-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+use-glib-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+use-glib-dev
librust-cairo-sys-rs-0.9+v1-14-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+v1-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+x11-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+x11-dev
librust-cairo-sys-rs-0.9+xcb-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9+xlib-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+xlib-dev
librust-cairo-sys-rs-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+dox-dev
librust-cairo-sys-rs-0.9.0+glib-sys-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+glib-sys-dev
librust-cairo-sys-rs-0.9.0+pdf-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+png-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+ps-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+svg-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+use-glib-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+use-glib-dev
librust-cairo-sys-rs-0.9.0+v1-14-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+v1-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+x11-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+x11-dev
librust-cairo-sys-rs-0.9.0+xcb-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-0.9.0+xlib-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs+xlib-dev
librust-cairo-sys-rs-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-cairo-sys-rs-dev
librust-cairo-sys-rs-dev (0.9.0-2)
FFI bindings to libcairo - Rust source code
librust-caps+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-0+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-0-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-0.3+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-0.3-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-0.3.3+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-0.3.3-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-caps-dev
librust-caps-dev (0.3.3-1)
Pure-Rust library to work with Linux capabilities - Rust source code
librust-cargo+default-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo+deny-warnings-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo+openssl-dev (0.43.1-4)
Package manager for Rust - feature "openssl" and 1 more
librust-cargo+pretty-env-logger-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo+vendored-openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0+default-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0+deny-warnings-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0+openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0+pretty-env-logger-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0+vendored-openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43+default-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43+deny-warnings-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43+openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0.43+pretty-env-logger-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43+vendored-openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0.43-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43.1+default-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43.1+deny-warnings-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43.1+openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0.43.1+pretty-env-logger-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-0.43.1+vendored-openssl-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo+openssl-dev
librust-cargo-0.43.1-dev (= 0.43.1-4)
本虛擬套件由這些套件填實: librust-cargo-dev
librust-cargo-dev (0.43.1-4)
Package manager for Rust - Rust source code
librust-cargo-lock+cli-dev (4.0.1-2+b1)
Self-contained Cargo.lock parser - feature "cli" and 1 more
librust-cargo-lock+default-dev (4.0.1-2+b1)
Self-contained Cargo.lock parser - feature "default"
librust-cargo-lock+dependency-tree-dev (4.0.1-2+b1)
Self-contained Cargo.lock parser - feature "dependency-tree" and 1 more
librust-cargo-lock+gumdrop-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock+petgraph-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4+cli-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock-4+default-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+default-dev
librust-cargo-lock-4+dependency-tree-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4+gumdrop-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock-4+petgraph-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock-dev
librust-cargo-lock-4.0+cli-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock-4.0+default-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+default-dev
librust-cargo-lock-4.0+dependency-tree-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4.0+gumdrop-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock-4.0+petgraph-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4.0-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock-dev
librust-cargo-lock-4.0.1+cli-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock-4.0.1+default-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+default-dev
librust-cargo-lock-4.0.1+dependency-tree-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4.0.1+gumdrop-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+cli-dev
librust-cargo-lock-4.0.1+petgraph-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock+dependency-tree-dev
librust-cargo-lock-4.0.1-dev (= 4.0.1-2+b1)
本虛擬套件由這些套件填實: librust-cargo-lock-dev
librust-cargo-lock-dev (4.0.1-2+b1)
Self-contained Cargo.lock parser - Rust source code
librust-cargo-metadata+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-0+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-0-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-0.9+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-0.9-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-0.9.1+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-0.9.1-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-cargo-metadata-dev
librust-cargo-metadata-dev (0.9.1-1)
Structured access to the output of `cargo metadata` - Rust source code
librust-cargo-platform+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-0+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-0-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-0.1+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-0.1-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-0.1.1+default-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-0.1.1-dev (= 0.1.1-1)
本虛擬套件由這些套件填實: librust-cargo-platform-dev
librust-cargo-platform-dev (0.1.1-1)
Cargo's representation of a target platform - Rust source code
librust-cassowary+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-0+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-0-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-0.3+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-0.3-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-0.3.0+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-0.3.0-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-cassowary-dev
librust-cassowary-dev (0.3.0-2)
The Cassowary linear constraint solving algorithm - Rust source code
librust-cast+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast+std-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast+x128-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0+std-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0+x128-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2+std-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2+x128-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2.3+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2.3+std-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2.3+x128-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-0.2.3-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-cast-dev
librust-cast-dev (0.2.3-1)
Ergonomic, checked cast functions for primitive types - Rust source code
librust-cc+default-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc+jobserver-dev (1.0.59-1)
Build C/C++/asm in build.rs - feature "jobserver" and 1 more
librust-cc+parallel-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1+default-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc-1+jobserver-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1+parallel-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc-1.0+default-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc-1.0+jobserver-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1.0+parallel-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1.0-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc-1.0.59+default-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc-1.0.59+jobserver-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1.0.59+parallel-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc+jobserver-dev
librust-cc-1.0.59-dev (= 1.0.59-1)
本虛擬套件由這些套件填實: librust-cc-dev
librust-cc-dev (1.0.59-1)
Build C/C++/asm in build.rs - Rust source code
librust-cexpr+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-cexpr-dev
librust-cexpr-dev (0.4.0-1)
C expression parser and evaluator - Rust source code
librust-cfg-if+core-dev (1.0.0-1)
Macro to ergonomically define an item depending on a large number of #[cfg] parameters - feature "core"
librust-cfg-if+core-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1+core-dev
librust-cfg-if+default-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-cfg-if+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-0+core-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1+core-dev
librust-cfg-if-0+default-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-cfg-if-0-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-cfg-if-0.1+core-dev (0.1.10-2)
Macro to ergonomically define an item depending on a large number of #[cfg] parameters - feature "core"
librust-cfg-if-0.1+default-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-cfg-if-0.1-dev (0.1.10-2)
Macro to ergonomically define an item depending on a large number of #[cfg] parameters - Rust source code
librust-cfg-if-0.1.10+core-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1+core-dev
librust-cfg-if-0.1.10+default-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-cfg-if-0.1.10-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-cfg-if-1+core-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if+core-dev
librust-cfg-if-1+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-1-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-1.0+core-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if+core-dev
librust-cfg-if-1.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-1.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-1.0.0+core-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if+core-dev
librust-cfg-if-1.0.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-1.0.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-cfg-if-dev
librust-cfg-if-dev (1.0.0-1)
Macro to ergonomically define an item depending on a large number of #[cfg] parameters - Rust source code
librust-cfg-if-dev (= 0.1.10-2)
本虛擬套件由這些套件填實: librust-cfg-if-0.1-dev
librust-chrono+--doctest-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono+--internal-bench-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono+alloc-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono+clock-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono+default-dev (0.4.19-1)
Date and time library for Rust - feature "default"
librust-chrono+oldtime-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono+rustc-serialize-dev (0.4.19-1)
Date and time library for Rust - feature "rustc-serialize"
librust-chrono+serde-dev (0.4.19-1)
Date and time library for Rust - feature "serde"
librust-chrono+std-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono+unstable-locales-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+--doctest-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+--internal-bench-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+alloc-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+clock-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+default-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+default-dev
librust-chrono-0+oldtime-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+rustc-serialize-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+rustc-serialize-dev
librust-chrono-0+serde-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+serde-dev
librust-chrono-0+std-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0+unstable-locales-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+--doctest-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+--internal-bench-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+alloc-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+clock-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+default-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+default-dev
librust-chrono-0.4+oldtime-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+rustc-serialize-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+rustc-serialize-dev
librust-chrono-0.4+serde-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+serde-dev
librust-chrono-0.4+std-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4+unstable-locales-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+--doctest-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+--internal-bench-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+alloc-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+clock-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+default-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+default-dev
librust-chrono-0.4.19+oldtime-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+rustc-serialize-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+rustc-serialize-dev
librust-chrono-0.4.19+serde-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono+serde-dev
librust-chrono-0.4.19+std-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19+unstable-locales-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-0.4.19-dev (= 0.4.19-1)
本虛擬套件由這些套件填實: librust-chrono-dev
librust-chrono-dev (0.4.19-1)
Date and time library for Rust - Rust source code
librust-cipher+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher+std-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0+std-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0.2+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0.2+std-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0.2-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0.2.5+default-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0.2.5+std-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-0.2.5-dev (= 0.2.5-2)
本虛擬套件由這些套件填實: librust-cipher-dev
librust-cipher-dev (0.2.5-2)
Traits for describing block ciphers and stream ciphers - Rust source code
librust-clang-sys+clang-10-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-3-5-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-3-6-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-3-7-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-3-8-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-3-9-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-4-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-5-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-6-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-7-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-8-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+clang-9-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys+libloading-dev (1.0.1-2)
Rust bindings for libclang - feature "libloading" and 1 more
librust-clang-sys+runtime-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys+static-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-10-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-3-5-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-3-6-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-3-7-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-3-8-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-3-9-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-4-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-5-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-6-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-7-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-8-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+clang-9-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1+libloading-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys-1+runtime-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys-1+static-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-10-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-3-5-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-3-6-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-3-7-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-3-8-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-3-9-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-4-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-5-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-6-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-7-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-8-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+clang-9-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0+libloading-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys-1.0+runtime-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys-1.0+static-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-10-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-3-5-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-3-6-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-3-7-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-3-8-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-3-9-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-4-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-5-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-6-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-7-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-8-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+clang-9-0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1+libloading-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys-1.0.1+runtime-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys+libloading-dev
librust-clang-sys-1.0.1+static-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-1.0.1-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-clang-sys-dev
librust-clang-sys-dev (1.0.1-2)
Rust bindings for libclang - Rust source code
librust-clap+ansi-term-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "ansi_term"
librust-clap+atty-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "atty"
librust-clap+color-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "color"
librust-clap+debug-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap+default-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "default"
librust-clap+doc-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap+lints-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap+nightly-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap+no-cargo-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap+strsim-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "strsim" and 1 more
librust-clap+suggestions-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap+term-size-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "term_size"
librust-clap+unstable-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap+vec-map-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "vec_map"
librust-clap+wrap-help-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "wrap_help"
librust-clap+yaml-dev (2.33.3-1)
Rust Command Line Argument Parser - feature "yaml" and 2 more
librust-clap+yaml-rust-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2+ansi-term-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+ansi-term-dev
librust-clap-2+atty-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+atty-dev
librust-clap-2+color-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+color-dev
librust-clap-2+debug-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2+default-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+default-dev
librust-clap-2+doc-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2+lints-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2+nightly-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2+no-cargo-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2+strsim-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap-2+suggestions-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap-2+term-size-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+term-size-dev
librust-clap-2+unstable-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2+vec-map-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+vec-map-dev
librust-clap-2+wrap-help-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+wrap-help-dev
librust-clap-2+yaml-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2+yaml-rust-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33+ansi-term-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+ansi-term-dev
librust-clap-2.33+atty-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+atty-dev
librust-clap-2.33+color-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+color-dev
librust-clap-2.33+debug-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33+default-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+default-dev
librust-clap-2.33+doc-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2.33+lints-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33+nightly-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33+no-cargo-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33+strsim-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap-2.33+suggestions-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap-2.33+term-size-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+term-size-dev
librust-clap-2.33+unstable-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33+vec-map-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+vec-map-dev
librust-clap-2.33+wrap-help-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+wrap-help-dev
librust-clap-2.33+yaml-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2.33+yaml-rust-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2.33-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33.3+ansi-term-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+ansi-term-dev
librust-clap-2.33.3+atty-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+atty-dev
librust-clap-2.33.3+color-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+color-dev
librust-clap-2.33.3+debug-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33.3+default-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+default-dev
librust-clap-2.33.3+doc-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2.33.3+lints-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33.3+nightly-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33.3+no-cargo-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33.3+strsim-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap-2.33.3+suggestions-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+strsim-dev
librust-clap-2.33.3+term-size-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+term-size-dev
librust-clap-2.33.3+unstable-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-2.33.3+vec-map-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+vec-map-dev
librust-clap-2.33.3+wrap-help-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+wrap-help-dev
librust-clap-2.33.3+yaml-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2.33.3+yaml-rust-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap+yaml-dev
librust-clap-2.33.3-dev (= 2.33.3-1)
本虛擬套件由這些套件填實: librust-clap-dev
librust-clap-dev (2.33.3-1)
Rust Command Line Argument Parser - Rust source code
librust-clicolors-control+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control+terminal-autoconfig-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1+terminal-autoconfig-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1.0+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1.0+terminal-autoconfig-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1.0-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1.0.1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1.0.1+terminal-autoconfig-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-1.0.1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-clicolors-control-dev
librust-clicolors-control-dev (1.0.1-1)
Common utility library to control CLI colorization - Rust source code
librust-cloudabi+bitflags-dev (0.0.3-1+b1)
Low level interface to CloudABI - feature "bitflags"
librust-cloudabi+default-dev (0.0.3-1+b1)
Low level interface to CloudABI - feature "default"
librust-cloudabi-0+bitflags-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi+bitflags-dev
librust-cloudabi-0+default-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi+default-dev
librust-cloudabi-0-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi-dev
librust-cloudabi-0.0+bitflags-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi+bitflags-dev
librust-cloudabi-0.0+default-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi+default-dev
librust-cloudabi-0.0-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi-dev
librust-cloudabi-0.0.3+bitflags-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi+bitflags-dev
librust-cloudabi-0.0.3+default-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi+default-dev
librust-cloudabi-0.0.3-dev (= 0.0.3-1+b1)
本虛擬套件由這些套件填實: librust-cloudabi-dev
librust-cloudabi-dev (0.0.3-1+b1)
Low level interface to CloudABI - Rust source code
librust-cmake+default-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-0+default-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-0-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-0.1+default-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-0.1-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-0.1.44+default-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-0.1.44-dev (= 0.1.44-1)
本虛擬套件由這些套件填實: librust-cmake-dev
librust-cmake-dev (0.1.44-1)
Build dependency for running cmake to build a native library - Rust source code
librust-color-quant+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-1+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-1-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-1.0+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-1.0-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-1.0.1+default-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-1.0.1-dev (= 1.0.1-2)
本虛擬套件由這些套件填實: librust-color-quant-dev
librust-color-quant-dev (1.0.1-2)
Color quantization library to reduce n colors to 256 colors - Rust source code
librust-colored+default-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored+no-color-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1+default-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1+no-color-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1.6+default-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1.6+no-color-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1.6-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1.6.1+default-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1.6.1+no-color-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-1.6.1-dev (= 1.6.1-1+b1)
本虛擬套件由這些套件填實: librust-colored-dev
librust-colored-dev (1.6.1-1+b1)
Most simple way to add colors in your terminal - Rust source code
librust-colored-json+default-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-2+default-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-2-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-2.1+default-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-2.1-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-2.1.0+default-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-2.1.0-dev (= 2.1.0-1)
本虛擬套件由這些套件填實: librust-colored-json-dev
librust-colored-json-dev (2.1.0-1)
Colorize JSON, for printing it out on the command line - Rust source code
librust-colorsys+default-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-0+default-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-0-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-0.5+default-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-0.5-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-0.5.7+default-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-0.5.7-dev (= 0.5.7-1)
本虛擬套件由這些套件填實: librust-colorsys-dev
librust-colorsys-dev (0.5.7-1)
Module for convert and transform colors - Rust source code
librust-commoncrypto+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-0.2+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-0.2-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-0.2.0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-0.2.0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-dev
librust-commoncrypto-dev (0.2.0-2)
Idiomatic Rust wrappers for Mac OS X's CommonCrypto library - Rust source code
librust-commoncrypto-sys+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-0.2+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-0.2-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-0.2.0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-0.2.0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-commoncrypto-sys-dev
librust-commoncrypto-sys-dev (0.2.0-2)
FFI bindings to Mac OS X's CommonCrypto library - Rust source code
librust-compiler-builtins+c-dev (0.1.26-3)
Compiler intrinsics used by the Rust compiler - feature "c" and 1 more
librust-compiler-builtins+cc-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins+compiler-builtins-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins+core-dev (0.1.26-3)
Compiler intrinsics used by the Rust compiler - feature "core"
librust-compiler-builtins+default-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins+mangled-names-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins+mem-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins+no-lang-items-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins+rustc-dep-of-std-dev (0.1.26-3)
Compiler intrinsics used by the Rust compiler - feature "rustc-dep-of-std"
librust-compiler-builtins-0+c-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins-0+cc-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins-0+compiler-builtins-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0+core-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+core-dev
librust-compiler-builtins-0+default-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0+mangled-names-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0+mem-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0+no-lang-items-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0+rustc-dep-of-std-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+rustc-dep-of-std-dev
librust-compiler-builtins-0-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1+c-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins-0.1+cc-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins-0.1+compiler-builtins-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1+core-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+core-dev
librust-compiler-builtins-0.1+default-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1+mangled-names-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1+mem-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1+no-lang-items-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1+rustc-dep-of-std-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+rustc-dep-of-std-dev
librust-compiler-builtins-0.1-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1.26+c-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins-0.1.26+cc-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+c-dev
librust-compiler-builtins-0.1.26+compiler-builtins-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1.26+core-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+core-dev
librust-compiler-builtins-0.1.26+default-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1.26+mangled-names-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1.26+mem-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1.26+no-lang-items-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-0.1.26+rustc-dep-of-std-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins+rustc-dep-of-std-dev
librust-compiler-builtins-0.1.26-dev (= 0.1.26-3)
本虛擬套件由這些套件填實: librust-compiler-builtins-dev
librust-compiler-builtins-dev (0.1.26-3)
Compiler intrinsics used by the Rust compiler - Rust source code
librust-console+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-console-dev
librust-console-dev (0.8.0-1)
Terminal and console abstraction for Rust - Rust source code
librust-console-error-panic-hook+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-0+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-0-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-0.1+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-0.1-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-0.1.6+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-0.1.6-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-console-error-panic-hook-dev
librust-console-error-panic-hook-dev (0.1.6-2)
wasm32-unknown-unknown log panic hook to `console.error` - Rust source code
librust-const-fn+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-0+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-0-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-0.4+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-0.4-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-0.4.3+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-0.4.3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-const-fn-dev
librust-const-fn-dev (0.4.3-2)
Attribute for easy generation of const functions with conditional compilations - Rust source code
librust-const-random+default-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-0+default-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-0-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-0.1+default-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-0.1-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-0.1.8+default-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-0.1.8-dev (= 0.1.8-1+b1)
本虛擬套件由這些套件填實: librust-const-random-dev
librust-const-random-dev (0.1.8-1+b1)
Provides compile time random number generation - Rust source code
librust-const-random-macro+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-0+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-0-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-0.1+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-0.1-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-0.1.8+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-0.1.8-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-const-random-macro-dev
librust-const-random-macro-dev (0.1.8-1)
Provides the procedural macro used by const-random - Rust source code
librust-constant-time-eq+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-0+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-0-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-0.1+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-0.1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-0.1.5+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-0.1.5-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-constant-time-eq-dev
librust-constant-time-eq-dev (0.1.5-1)
Compares two equal-sized byte strings in constant time - Rust source code
librust-content-inspector+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-0+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-0-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-0.2+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-0.2-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-0.2.4+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-0.2.4-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-content-inspector-dev
librust-content-inspector-dev (0.2.4-1+b1)
Fast inspection of binary buffers to guess/determine the encoding - Rust source code
librust-cookie-factory+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory+std-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0+std-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0.3+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0.3+std-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0.3-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0.3.1+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0.3.1+std-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-0.3.1-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-cookie-factory-dev
librust-cookie-factory-dev (0.3.1-1)
Nom inspired serialization library - Rust source code
librust-copyless+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-0+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-0-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-0.1+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-0.1-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-0.1.4+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-0.1.4-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-copyless-dev
librust-copyless-dev (0.1.4-2)
Eliminate memcpy calls when using the standard library - Rust source code
librust-core-foundation+chrono-dev (0.9.1-1)
Bindings to Core Foundation for macOS - feature "chrono" and 1 more
librust-core-foundation+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation+mac-os-10-7-support-dev (0.9.1-1)
Bindings to Core Foundation for macOS - feature "mac_os_10_7_support"
librust-core-foundation+mac-os-10-8-features-dev (0.9.1-1)
Bindings to Core Foundation for macOS - feature "mac_os_10_8_features"
librust-core-foundation+uuid-dev (0.9.1-1)
Bindings to Core Foundation for macOS - feature "uuid" and 1 more
librust-core-foundation+with-chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation+with-uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0+chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation-0+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation-0+mac-os-10-7-support-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+mac-os-10-7-support-dev
librust-core-foundation-0+mac-os-10-8-features-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+mac-os-10-8-features-dev
librust-core-foundation-0+uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0+with-chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation-0+with-uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation-0.9+chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation-0.9+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation-0.9+mac-os-10-7-support-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+mac-os-10-7-support-dev
librust-core-foundation-0.9+mac-os-10-8-features-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+mac-os-10-8-features-dev
librust-core-foundation-0.9+uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0.9+with-chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation-0.9+with-uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0.9-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation-0.9.1+chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation-0.9.1+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation-0.9.1+mac-os-10-7-support-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+mac-os-10-7-support-dev
librust-core-foundation-0.9.1+mac-os-10-8-features-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+mac-os-10-8-features-dev
librust-core-foundation-0.9.1+uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0.9.1+with-chrono-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+chrono-dev
librust-core-foundation-0.9.1+with-uuid-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation+uuid-dev
librust-core-foundation-0.9.1-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-dev
librust-core-foundation-dev (0.9.1-1)
Bindings to Core Foundation for macOS - Rust source code
librust-core-foundation-sys+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys+mac-os-10-7-support-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys+mac-os-10-8-features-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0+mac-os-10-7-support-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0+mac-os-10-8-features-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8+mac-os-10-7-support-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8+mac-os-10-8-features-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8.1+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8.1+mac-os-10-7-support-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8.1+mac-os-10-8-features-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-0.8.1-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-core-foundation-sys-dev
librust-core-foundation-sys-dev (0.8.1-1)
Bindings to Core Foundation for macOS - Rust source code
librust-cpal+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-0+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-0-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-0.10+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-0.10-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-0.10.0+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-0.10.0-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-cpal-dev
librust-cpal-dev (0.10.0-2)
Low-level cross-platform audio I/O library in pure Rust - Rust source code
librust-cpp+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-0+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-0-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-0.5+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-0.5-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-0.5.6+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-0.5.6-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-dev
librust-cpp-build+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0.5+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0.5+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0.5-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0.5.6+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0.5.6+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-0.5.6-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-build-dev
librust-cpp-build-dev (0.5.6-1+b1)
Cargo build script for the `cpp` crate - Rust source code
librust-cpp-common+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-0+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-0-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-0.5+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-0.5-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-0.5.6+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-0.5.6-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-common-dev
librust-cpp-common-dev (0.5.6-1+b1)
Implementation details crate for the `cpp` crate - Rust source code
librust-cpp-demangle+alloc-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle+default-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle+logging-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle+nightly-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle+run-libiberty-tests-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle+std-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0+alloc-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0+default-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0+logging-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0+nightly-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0+run-libiberty-tests-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0+std-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2+alloc-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2+default-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2+logging-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2+nightly-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2+run-libiberty-tests-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2+std-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13+alloc-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13+default-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13+logging-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13+nightly-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13+run-libiberty-tests-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13+std-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-0.2.13-dev (= 0.2.13-1)
本虛擬套件由這些套件填實: librust-cpp-demangle-dev
librust-cpp-demangle-dev (0.2.13-1)
Demangling C++ symbols - Rust source code
librust-cpp-dev (0.5.6-1+b1)
Inline C++ code closures - Rust source code
librust-cpp-macros+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0.5+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0.5+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0.5-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0.5.6+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0.5.6+docs-only-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-0.5.6-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-cpp-macros-dev
librust-cpp-macros-dev (0.5.6-1+b1)
Procedural macro implementation for the `cpp` crate - Rust source code
librust-cpp-syn+aster-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+cpp-synom-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+fold-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+full-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+parsing-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+unicode-xid-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn+visit-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+aster-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+cpp-synom-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+fold-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+full-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+parsing-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+unicode-xid-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0+visit-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+aster-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+cpp-synom-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+fold-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+full-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+parsing-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+unicode-xid-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12+visit-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+aster-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+cpp-synom-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+fold-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+full-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+parsing-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+unicode-xid-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0+visit-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-0.12.0-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-cpp-syn-dev
librust-cpp-syn-dev (0.12.0-4)
Internal rust-cpp nom parser for Rust source code - Rust source code
librust-cpp-synmap+default-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-0+default-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-0-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-0.3+default-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-0.3-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-0.3.0+default-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-0.3.0-dev (= 0.3.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synmap-dev
librust-cpp-synmap-dev (0.3.0-1+b2)
Sourcemap and full crate parsing support for `cpp_syn` - Rust source code
librust-cpp-synom+default-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-0+default-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-0-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-0.12+default-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-0.12-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-0.12.0+default-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-0.12.0-dev (= 0.12.0-1+b2)
本虛擬套件由這些套件填實: librust-cpp-synom-dev
librust-cpp-synom-dev (0.12.0-1+b2)
Stripped-down Nom parser used by cpp_syn - Rust source code
librust-cpuid-bool+default-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-0+default-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-0-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-0.1+default-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-0.1-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-0.1.2+default-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-0.1.2-dev (= 0.1.2-4)
本虛擬套件由這些套件填實: librust-cpuid-bool-dev
librust-cpuid-bool-dev (0.1.2-4)
Lightweight no-std compatible alternative to is_x86_feature_detected - Rust source code
librust-crates-io+default-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-0+default-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-0-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-0.31+default-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-0.31-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-0.31.1+default-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-0.31.1-dev (= 0.31.1-1)
本虛擬套件由這些套件填實: librust-crates-io-dev
librust-crates-io-dev (0.31.1-1)
Helpers for interacting with crates.io - Rust source code
librust-crc+default-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc+std-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1+default-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1+std-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1.8+default-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1.8+std-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1.8-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1.8.1+default-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1.8.1+std-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-1.8.1-dev (= 1.8.1-1+b1)
本虛擬套件由這些套件填實: librust-crc-dev
librust-crc-dev (1.8.1-1+b1)
CRC(16, 32, 64) with support of various standards - Rust source code
librust-crc32fast+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast+nightly-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1+nightly-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2+nightly-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2.0+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2.0+nightly-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2.0+std-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-1.2.0-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-crc32fast-dev
librust-crc32fast-dev (1.2.0-1)
Fast, SIMD-accelerated CRC32 (IEEE) checksum computation - Rust source code
librust-crossbeam+alloc-dev (0.7.2-3)
Tools for concurrent programming - feature "alloc"
librust-crossbeam+crossbeam-channel-dev (0.7.2-3)
Tools for concurrent programming - feature "crossbeam-channel"
librust-crossbeam+crossbeam-deque-dev (0.7.2-3)
Tools for concurrent programming - feature "crossbeam-deque"
librust-crossbeam+crossbeam-queue-dev (0.7.2-3)
Tools for concurrent programming - feature "crossbeam-queue"
librust-crossbeam+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam+default-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam+nightly-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam+std-dev (0.7.2-3)
Tools for concurrent programming - feature "std" and 1 more
librust-crossbeam-0+alloc-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+alloc-dev
librust-crossbeam-0+crossbeam-channel-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-channel-dev
librust-crossbeam-0+crossbeam-deque-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-deque-dev
librust-crossbeam-0+crossbeam-queue-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-queue-dev
librust-crossbeam-0+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0+default-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam-0+nightly-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0+std-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam-0-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam-dev
librust-crossbeam-0.3+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0.3+nightly-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0.3-dev (0.3.2-1+b1)
Support for concurrent and parallel programming - Rust source code
librust-crossbeam-0.3.2+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0.3.2+nightly-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0.3.2-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-0.7+alloc-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+alloc-dev
librust-crossbeam-0.7+crossbeam-channel-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-channel-dev
librust-crossbeam-0.7+crossbeam-deque-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-deque-dev
librust-crossbeam-0.7+crossbeam-queue-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-queue-dev
librust-crossbeam-0.7+default-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam-0.7+std-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam-0.7-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam-dev
librust-crossbeam-0.7.2+alloc-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+alloc-dev
librust-crossbeam-0.7.2+crossbeam-channel-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-channel-dev
librust-crossbeam-0.7.2+crossbeam-deque-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-deque-dev
librust-crossbeam-0.7.2+crossbeam-queue-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+crossbeam-queue-dev
librust-crossbeam-0.7.2+default-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam-0.7.2+std-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam+std-dev
librust-crossbeam-0.7.2-dev (= 0.7.2-3)
本虛擬套件由這些套件填實: librust-crossbeam-dev
librust-crossbeam-channel+default-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-0+default-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-0-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-0.4+default-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-0.4-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-0.4.4+default-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-0.4.4-dev (= 0.4.4-1)
本虛擬套件由這些套件填實: librust-crossbeam-channel-dev
librust-crossbeam-channel-dev (0.4.4-1)
Multi-producer multi-consumer channels for message passing - Rust source code
librust-crossbeam-deque+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-0+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-0-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-0.7+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-0.7-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-0.7.3+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-0.7.3-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-crossbeam-deque-dev
librust-crossbeam-deque-dev (0.7.3-1)
Concurrent work-stealing deque - Rust source code
librust-crossbeam-dev (0.7.2-3)
Tools for concurrent programming - Rust source code
librust-crossbeam-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-crossbeam-0.3-dev
librust-crossbeam-epoch+alloc-dev (0.8.2-1)
Epoch-based garbage collection - feature "alloc"
librust-crossbeam-epoch+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch+lazy-static-dev (0.8.2-1)
Epoch-based garbage collection - feature "lazy_static"
librust-crossbeam-epoch+sanitize-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch+std-dev (0.8.2-1)
Epoch-based garbage collection - feature "std" and 1 more
librust-crossbeam-epoch-0+alloc-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+alloc-dev
librust-crossbeam-epoch-0+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch-0+lazy-static-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+lazy-static-dev
librust-crossbeam-epoch-0+sanitize-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch-0+std-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch-0-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch-0.8+alloc-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+alloc-dev
librust-crossbeam-epoch-0.8+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch-0.8+lazy-static-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+lazy-static-dev
librust-crossbeam-epoch-0.8+sanitize-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch-0.8+std-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch-0.8-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch-0.8.2+alloc-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+alloc-dev
librust-crossbeam-epoch-0.8.2+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch-0.8.2+lazy-static-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+lazy-static-dev
librust-crossbeam-epoch-0.8.2+sanitize-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch-0.8.2+std-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch+std-dev
librust-crossbeam-epoch-0.8.2-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-crossbeam-epoch-dev
librust-crossbeam-epoch-dev (0.8.2-1)
Epoch-based garbage collection - Rust source code
librust-crossbeam-queue+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0+alloc-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0+std-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2+alloc-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2+std-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2.1+alloc-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2.1+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2.1+std-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-0.2.1-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-crossbeam-queue-dev
librust-crossbeam-queue-dev (0.2.1-2)
Concurrent queues - Rust source code
librust-crossbeam-utils+alloc-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils+lazy-static-dev (0.7.2-2)
Utilities for concurrent programming - feature "lazy_static" and 2 more
librust-crossbeam-utils+nightly-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils+std-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0+alloc-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0+lazy-static-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0+nightly-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0+std-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0.7+alloc-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0.7+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0.7+lazy-static-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0.7+nightly-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0.7+std-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0.7-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0.7.2+alloc-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0.7.2+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0.7.2+lazy-static-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0.7.2+nightly-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-0.7.2+std-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils+lazy-static-dev
librust-crossbeam-utils-0.7.2-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-crossbeam-utils-dev
librust-crossbeam-utils-dev (0.7.2-2)
Utilities for concurrent programming - Rust source code
librust-crossterm-winapi+default-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-0+default-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-0-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-0.6+default-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-0.6-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-0.6.1+default-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-0.6.1-dev (= 0.6.1-1)
本虛擬套件由這些套件填實: librust-crossterm-winapi-dev
librust-crossterm-winapi-dev (0.6.1-1)
Crossterm Windows API Abstractions - Rust source code
librust-crunchy+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+limit-1024-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+limit-128-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+limit-2048-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+limit-256-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+limit-512-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+limit-64-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+limit-1024-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+limit-128-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+limit-2048-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+limit-256-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+limit-512-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+limit-64-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+limit-1024-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+limit-128-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+limit-2048-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+limit-256-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+limit-512-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+limit-64-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+limit-1024-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+limit-128-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+limit-2048-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+limit-256-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+limit-512-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+limit-64-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2+std-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-0.2.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-crunchy-dev
librust-crunchy-dev (0.2.2-1+b1)
Crunchy unroller: deterministically unroll constant loops - Rust source code
librust-crypto-hash+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-0+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-0-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-0.3+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-0.3-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-0.3.4+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-0.3.4-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-crypto-hash-dev
librust-crypto-hash-dev (0.3.4-1)
Wrapper for OS-level cryptographic hash functions - Rust source code
librust-crypto-mac+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0.10+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0.10+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0.10-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0.10.0+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0.10.0+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-0.10.0-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-crypto-mac-dev
librust-crypto-mac-dev (0.10.0-2)
Trait for Message Authentication Code (MAC) algorithms - Rust source code
librust-cssparser-macros+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-0+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-0-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-0.6+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-0.6-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-0.6.0+default-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-0.6.0-dev (= 0.6.0-1)
本虛擬套件由這些套件填實: librust-cssparser-macros-dev
librust-cssparser-macros-dev (0.6.0-1)
Procedural macros for cssparser - Rust source code
librust-cstr-argument+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument+nightly-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0+nightly-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0.1+nightly-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0.1.1+nightly-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-cstr-argument-dev
librust-cstr-argument-dev (0.1.1-2)
Trait for converting arguments to null terminated strings. - Rust source code
librust-csv-core+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core+libc-dev (0.1.6-1)
Bare bones CSV parsing with no_std support - feature "libc"
librust-csv-core-0+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core-0+libc-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core-0-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core-dev
librust-csv-core-0.1+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core-0.1+libc-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core-0.1-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core-dev
librust-csv-core-0.1.6+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core-0.1.6+libc-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core+libc-dev
librust-csv-core-0.1.6-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-csv-core-dev
librust-csv-core-dev (0.1.6-1)
Bare bones CSV parsing with no_std support - Rust source code
librust-ctor+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-0+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-0-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-0.1+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-0.1-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-0.1.12+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-0.1.12-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-ctor-dev
librust-ctor-dev (0.1.12-1)
__attribute__((constructor)) for Rust - Rust source code
librust-ctr+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-0+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-0-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-0.3+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-0.3-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-0.3.2+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-0.3.2-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-ctr-dev
librust-ctr-dev (0.3.2-2)
CTR block mode of operation - Rust source code
librust-ctrlc+default-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc+termination-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3+default-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3+termination-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3.1+default-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3.1+termination-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3.1-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3.1.7+default-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3.1.7+termination-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-3.1.7-dev (= 3.1.7-1)
本虛擬套件由這些套件填實: librust-ctrlc-dev
librust-ctrlc-dev (3.1.7-1)
Easy Ctrl-C handler for Rust projects - Rust source code
librust-cty+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-0+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-0-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-0.2+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-0.2-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-0.2.1+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-0.2.1-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-cty-dev
librust-cty-dev (0.2.1-1+b1)
Type aliases to C types like c_int for use with bindgen - Rust source code
librust-curl+default-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl+force-system-lib-on-osx-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "force-system-lib-on-osx"
librust-curl+http2-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "http2"
librust-curl+openssl-probe-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "openssl-probe"
librust-curl+openssl-sys-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "openssl-sys"
librust-curl+ssl-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "ssl" and 1 more
librust-curl+static-curl-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "static-curl"
librust-curl+static-ssl-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - feature "static-ssl"
librust-curl-0+default-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl-0+force-system-lib-on-osx-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+force-system-lib-on-osx-dev
librust-curl-0+http2-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+http2-dev
librust-curl-0+openssl-probe-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+openssl-probe-dev
librust-curl-0+openssl-sys-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+openssl-sys-dev
librust-curl-0+ssl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl-0+static-curl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+static-curl-dev
librust-curl-0+static-ssl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+static-ssl-dev
librust-curl-0-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl-dev
librust-curl-0.4+default-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl-0.4+force-system-lib-on-osx-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+force-system-lib-on-osx-dev
librust-curl-0.4+http2-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+http2-dev
librust-curl-0.4+openssl-probe-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+openssl-probe-dev
librust-curl-0.4+openssl-sys-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+openssl-sys-dev
librust-curl-0.4+ssl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl-0.4+static-curl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+static-curl-dev
librust-curl-0.4+static-ssl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+static-ssl-dev
librust-curl-0.4-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl-dev
librust-curl-0.4.33+default-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl-0.4.33+force-system-lib-on-osx-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+force-system-lib-on-osx-dev
librust-curl-0.4.33+http2-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+http2-dev
librust-curl-0.4.33+openssl-probe-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+openssl-probe-dev
librust-curl-0.4.33+openssl-sys-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+openssl-sys-dev
librust-curl-0.4.33+ssl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+ssl-dev
librust-curl-0.4.33+static-curl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+static-curl-dev
librust-curl-0.4.33+static-ssl-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl+static-ssl-dev
librust-curl-0.4.33-dev (= 0.4.33-1)
本虛擬套件由這些套件填實: librust-curl-dev
librust-curl-dev (0.4.33-1)
Rust bindings to libcurl for making HTTP requests - Rust source code
librust-curl-sys+default-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys+force-system-lib-on-osx-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys+http2-dev (0.4.36-1)
Native bindings to the libcurl library - feature "http2" and 1 more
librust-curl-sys+libnghttp2-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys+openssl-sys-dev (0.4.36-1)
Native bindings to the libcurl library - feature "openssl-sys" and 3 more
librust-curl-sys+protocol-ftp-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys+spnego-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys+ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys+static-curl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys+static-ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0+default-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0+force-system-lib-on-osx-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0+http2-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys-0+libnghttp2-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys-0+openssl-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0+protocol-ftp-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0+spnego-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0+ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0+static-curl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0+static-ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4+default-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4+force-system-lib-on-osx-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4+http2-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys-0.4+libnghttp2-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys-0.4+openssl-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4+protocol-ftp-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4+spnego-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4+ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4+static-curl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4+static-ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4.36+default-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4.36+force-system-lib-on-osx-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4.36+http2-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys-0.4.36+libnghttp2-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+http2-dev
librust-curl-sys-0.4.36+openssl-sys-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4.36+protocol-ftp-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4.36+spnego-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4.36+ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4.36+static-curl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-0.4.36+static-ssl-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys+openssl-sys-dev
librust-curl-sys-0.4.36-dev (= 0.4.36-1)
本虛擬套件由這些套件填實: librust-curl-sys-dev
librust-curl-sys-dev (0.4.36-1)
Native bindings to the libcurl library - Rust source code
librust-daemonize+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-0+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-0-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-0.4+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-0.4-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-0.4.1+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-0.4.1-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-daemonize-dev
librust-daemonize-dev (0.4.1-2)
Enable your code run as a daemon process on Unix-like systems - Rust source code
librust-darling+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling+diagnostics-dev (0.10.2-2)
proc-macro library for reading attributes into structs - feature "diagnostics"
librust-darling+suggestions-dev (0.10.2-2)
proc-macro library for reading attributes into structs - feature "suggestions" and 1 more
librust-darling-0+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling-0+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+diagnostics-dev
librust-darling-0+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling-0-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-dev
librust-darling-0.10+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling-0.10+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+diagnostics-dev
librust-darling-0.10+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling-0.10-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-dev
librust-darling-0.10.2+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling-0.10.2+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+diagnostics-dev
librust-darling-0.10.2+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling+suggestions-dev
librust-darling-0.10.2-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-dev
librust-darling-core+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core+strsim-dev (0.10.2-2)
helper crate for darling - feature "strsim" and 1 more
librust-darling-core+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0+strsim-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0.10+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0.10+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0.10+strsim-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0.10+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0.10-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0.10.2+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0.10.2+diagnostics-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-0.10.2+strsim-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0.10.2+suggestions-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core+strsim-dev
librust-darling-core-0.10.2-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-darling-core-dev
librust-darling-core-dev (0.10.2-2)
helper crate for darling - Rust source code
librust-darling-dev (0.10.2-2)
proc-macro library for reading attributes into structs - Rust source code
librust-darling-macro+default-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-0+default-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-0-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-0.10+default-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-0.10-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-0.10.2+default-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-0.10.2-dev (= 0.10.2-1)
本虛擬套件由這些套件填實: librust-darling-macro-dev
librust-darling-macro-dev (0.10.2-1)
helper crate for darling - Rust source code
librust-data-encoding+default-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-2+default-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-2-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-2.1+default-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-2.1-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-2.1.2+default-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-2.1.2-dev (= 2.1.2-2)
本虛擬套件由這些套件填實: librust-data-encoding-dev
librust-data-encoding-dev (2.1.2-2)
Efficient and customizable data-encoding functions - Rust source code
librust-data-encoding-macro+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro+proc-macro-hack-dev (0.1.7-2)
Macros for data-encoding - feature "proc-macro-hack"
librust-data-encoding-macro+stable-dev (0.1.7-2)
Macros for data-encoding - feature "stable" and 1 more
librust-data-encoding-macro-0+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro-0+proc-macro-hack-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+proc-macro-hack-dev
librust-data-encoding-macro-0+stable-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro-0-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro-dev
librust-data-encoding-macro-0.1+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro-0.1+proc-macro-hack-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+proc-macro-hack-dev
librust-data-encoding-macro-0.1+stable-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro-0.1-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro-dev
librust-data-encoding-macro-0.1.7+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro-0.1.7+proc-macro-hack-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+proc-macro-hack-dev
librust-data-encoding-macro-0.1.7+stable-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro+stable-dev
librust-data-encoding-macro-0.1.7-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-data-encoding-macro-dev
librust-data-encoding-macro-dev (0.1.7-2)
Macros for data-encoding - Rust source code
librust-data-encoding-macro-internal+default-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal+proc-macro-hack-dev (0.1.7-3)
Internal library for data-encoding-macro - feature "proc-macro-hack" and 1 more
librust-data-encoding-macro-internal+stable-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0+default-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal-0+proc-macro-hack-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0+stable-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal-0.1+default-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal-0.1+proc-macro-hack-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0.1+stable-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0.1-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal-0.1.7+default-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal-0.1.7+proc-macro-hack-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0.1.7+stable-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal+proc-macro-hack-dev
librust-data-encoding-macro-internal-0.1.7-dev (= 0.1.7-3)
本虛擬套件由這些套件填實: librust-data-encoding-macro-internal-dev
librust-data-encoding-macro-internal-dev (0.1.7-3)
Internal library for data-encoding-macro - Rust source code
librust-data-url+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-0.1+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-0.1-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-0.1.0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-0.1.0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-data-url-dev
librust-data-url-dev (0.1.0-1+b1)
Processing of data: URL according to WHATWG’s Fetch Standard - Rust source code
librust-datetime+default-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-0+default-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-0-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-0.4+default-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-0.4-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-0.4.7+default-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-0.4.7-dev (= 0.4.7-2)
本虛擬套件由這些套件填實: librust-datetime-dev
librust-datetime-dev (0.4.7-2)
Date and time formatting and arithmetic - Rust source code
librust-dbus+default-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-dbus+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus+no-string-validation-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0+default-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-dbus-0+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0+no-string-validation-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-dbus-0-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0.2+default-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-dbus-0.2-dev (0.2.3-2)
Bindings to D-Bus, which is a bus commonly used on Linux for inter-process communication - Rust source code
librust-dbus-0.2.3+default-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-dbus-0.2.3-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-dbus-0.9+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0.9+no-string-validation-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0.9-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0.9.0+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0.9.0+no-string-validation-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-0.9.0-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-dbus-dev
librust-dbus-dev (0.9.0-3)
Bindings to D-Bus, which is a bus commonly used on Linux for inter-process communication - Rust source code
librust-dbus-dev (= 0.2.3-2)
本虛擬套件由這些套件填實: librust-dbus-0.2-dev
librust-debcargo+default-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-2+default-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-2-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-2.4+default-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-2.4-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-2.4.4+default-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-2.4.4-dev (= 2.4.4-1)
本虛擬套件由這些套件填實: librust-debcargo-dev
librust-debcargo-dev (2.4.4-1)
Create a Debian package from a Cargo crate - Rust source code
librust-deflate+benchmarks-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate+default-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate+gzip-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate+gzip-header-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0+benchmarks-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0+default-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0+gzip-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0+gzip-header-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7+benchmarks-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7+default-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7+gzip-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7+gzip-header-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7.20+benchmarks-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7.20+default-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7.20+gzip-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7.20+gzip-header-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-0.7.20-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-deflate-dev (0.7.20-2)
DEFLATE, zlib and gzip encoder written in rust - Rust source code
librust-deflate-dev (= 0.7.20-2)
本虛擬套件由這些套件填實: librust-deflate-dev
librust-derivative+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative+use-core-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2+use-core-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2.1+use-core-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2.1.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2.1.1+use-core-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-2.1.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-derivative-dev
librust-derivative-dev (2.1.1-2)
Set of alternative `derive` attributes for Rust - Rust source code
librust-derive-builder-core+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core+log-dev (0.9.0-2)
Internal helper library for the derive_builder crate - feature "log" and 1 more
librust-derive-builder-core+logging-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core-0+log-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0+logging-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core-0.9+log-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0.9+logging-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core-0.9.0+log-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0.9.0+logging-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core+log-dev
librust-derive-builder-core-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-derive-builder-core-dev
librust-derive-builder-core-dev (0.9.0-2)
Internal helper library for the derive_builder crate - Rust source code
librust-derive-new+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new+std-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0+std-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0.5+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0.5+std-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0.5-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0.5.8+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0.5.8+std-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-0.5.8-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-derive-new-dev
librust-derive-new-dev (0.5.8-1)
Derive simple constructor functions for structs and enums - Rust source code
librust-dhcp4r+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-dhcp4r-dev
librust-dhcp4r-dev (0.2.0-1)
IPv4 DHCP library with working server example - Rust source code
librust-diesel-derives+default-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives+mysql-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives+nightly-dev (1.4.0-3)
Proc macro derives for diesel - feature "nightly"
librust-diesel-derives+postgres-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives+sqlite-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1+default-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1+mysql-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1+nightly-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives+nightly-dev
librust-diesel-derives-1+postgres-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1+sqlite-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4+default-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4+mysql-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4+nightly-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives+nightly-dev
librust-diesel-derives-1.4+postgres-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4+sqlite-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4.0+default-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4.0+mysql-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4.0+nightly-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives+nightly-dev
librust-diesel-derives-1.4.0+postgres-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4.0+sqlite-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-1.4.0-dev (= 1.4.0-3)
本虛擬套件由這些套件填實: librust-diesel-derives-dev
librust-diesel-derives-dev (1.4.0-3)
Proc macro derives for diesel - Rust source code
librust-diff+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-0+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-0-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-0.1+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-0.1-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-0.1.12+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-0.1.12-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-diff-dev
librust-diff-dev (0.1.12-1)
LCS based slice and string diffing implementation - Rust source code
librust-difference+bin-dev (2.0.0-1+b2)
text diffing and assertion library - feature "bin"
librust-difference+default-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference+getopts-dev (2.0.0-1+b2)
text diffing and assertion library - feature "getopts"
librust-difference-2+bin-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference-2+default-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference-2+getopts-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+getopts-dev
librust-difference-2-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference-dev
librust-difference-2.0+bin-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference-2.0+default-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference-2.0+getopts-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+getopts-dev
librust-difference-2.0-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference-dev
librust-difference-2.0.0+bin-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference-2.0.0+default-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+bin-dev
librust-difference-2.0.0+getopts-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference+getopts-dev
librust-difference-2.0.0-dev (= 2.0.0-1+b2)
本虛擬套件由這些套件填實: librust-difference-dev
librust-difference-dev (2.0.0-1+b2)
text diffing and assertion library - Rust source code
librust-digest+alloc-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest+blobby-dev (0.9.0-1)
Traits for cryptographic hash functions - feature "blobby" and 1 more
librust-digest+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest+dev-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest+std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0+alloc-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0+blobby-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest-0+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0+dev-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest-0+std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9+alloc-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9+blobby-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest-0.9+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9+dev-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest-0.9+std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9.0+alloc-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9.0+blobby-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest-0.9.0+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9.0+dev-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest+blobby-dev
librust-digest-0.9.0+std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-0.9.0-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-digest-dev
librust-digest-dev (0.9.0-1)
Traits for cryptographic hash functions - Rust source code
librust-directories+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-directories+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-1+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-directories-1-dev (1.0.2-1+b1)
Tiny mid-level library that provides platform-specific standard locations of directories for config, cache and other data on Linux, Windows and macOS by leveraging the mechanisms defined by the XDG base/user directory specifications on Linux, the Known Folder API on Windows, and the Standard Directory guidelines on macOS - Rust source code
librust-directories-1.0+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-directories-1.0-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-directories-1.0.2+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-directories-1.0.2-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-directories-2+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-2-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-2.0+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-2.0-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-2.0.2+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-2.0.2-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-directories-dev
librust-directories-dev (2.0.1-1+b1)
Tiny mid-level library that provides platform-specific standard locations of directories for config, cache and other data on Linux, Windows and macOS by leveraging the mechanisms defined by the XDG base/user directory specifications on Linux, the Known Folder API on Windows, and the Standard Directory guidelines on macOS - Rust source code
librust-directories-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-directories-1-dev
librust-dirs+default-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-3+default-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-3-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-3.0+default-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-3.0-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-3.0.1+default-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-3.0.1-dev (= 3.0.1-1)
本虛擬套件由這些套件填實: librust-dirs-dev
librust-dirs-dev (3.0.1-1)
low-level library for standard locations of directories - Rust source code
librust-dirs-next+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-2+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-2-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-2.0+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-2.0-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-2.0.0+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-2.0.0-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-dirs-next-dev
librust-dirs-next-dev (2.0.0-1)
Tiny low-level library that provides platform-specific standard locations of directories for config, cache and other data on Linux, Windows, macOS and Redox by leveraging the mechanisms defined by the XDG base/user directory specifications on Linux, the Known Folder API on Windows, and the Standard Directory guidelines on macOS - Rust source code
librust-dirs-sys+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-0+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-0-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-0.3+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-0.3-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-0.3.5+default-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-0.3.5-dev (= 0.3.5-1)
本虛擬套件由這些套件填實: librust-dirs-sys-dev
librust-dirs-sys-dev (0.3.5-1)
Helper functions for the dirs crate - Rust source code
librust-dirs-sys-next+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-dirs-sys-next-dev
librust-dirs-sys-next-dev (0.1.1-1+b1)
System-level helper functions for the dirs and directories crates - Rust source code
librust-discard+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-1+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-1-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-1.0+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-1.0-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-1.0.4+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-1.0.4-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-discard-dev
librust-discard-dev (1.0.4-1+b1)
Discard trait which allows for intentionally leaking memory - Rust source code
librust-dissimilar+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-1.0+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-1.0-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-1.0.1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-1.0.1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dissimilar-dev
librust-dissimilar-dev (1.0.1-1+b1)
diff library with semantic cleanup - Rust source code
librust-dlib+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib+dlopen-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0+dlopen-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0.4+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0.4+dlopen-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0.4-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0.4.2+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0.4.2+dlopen-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-0.4.2-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-dlib-dev
librust-dlib-dev (0.4.2-1+b1)
Helper macros for handling manually loading optional system libraries - Rust source code
librust-dlv-list+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-0+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-0-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-0.2+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-0.2-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-0.2.2+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-0.2.2-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-dlv-list-dev
librust-dlv-list-dev (0.2.2-1+b2)
Semi-doubly linked list implemented using a vector - Rust source code
librust-dns-parser+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser+serde-derive-dev (0.8.0-1+b1)
Pure-rust DNS protocol parser library - feature "serde_derive"
librust-dns-parser+serde-dev (0.8.0-1+b1)
Pure-rust DNS protocol parser library - feature "serde"
librust-dns-parser+with-serde-dev (0.8.0-1+b1)
Pure-rust DNS protocol parser library - feature "with-serde"
librust-dns-parser-0+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser-0+serde-derive-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+serde-derive-dev
librust-dns-parser-0+serde-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+serde-dev
librust-dns-parser-0+with-serde-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+with-serde-dev
librust-dns-parser-0-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser-0.8+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser-0.8+serde-derive-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+serde-derive-dev
librust-dns-parser-0.8+serde-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+serde-dev
librust-dns-parser-0.8+with-serde-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+with-serde-dev
librust-dns-parser-0.8-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser-0.8.0+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser-0.8.0+serde-derive-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+serde-derive-dev
librust-dns-parser-0.8.0+serde-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+serde-dev
librust-dns-parser-0.8.0+with-serde-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser+with-serde-dev
librust-dns-parser-0.8.0-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-dns-parser-dev
librust-dns-parser-dev (0.8.0-1+b1)
Pure-rust DNS protocol parser library - Rust source code
librust-doc-comment+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment+no-core-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment+old-macros-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0+no-core-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0+old-macros-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3+no-core-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3+old-macros-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3.1+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3.1+no-core-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3.1+old-macros-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-0.3.1-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-doc-comment-dev
librust-doc-comment-dev (0.3.1-2)
Macro to generate doc comments - Rust source code
librust-docmatic+default-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-0+default-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-0-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-0.1+default-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-0.1-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-0.1.2+default-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-0.1.2-dev (= 0.1.2-1+b2)
本虛擬套件由這些套件填實: librust-docmatic-dev
librust-docmatic-dev (0.1.2-1+b2)
Test Rust examples in your documentation - Rust source code
librust-docopt+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-1.1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-1.1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-1.1.0+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-1.1.0-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-docopt-dev
librust-docopt-dev (1.1.0-1)
Command line argument parsing - Rust source code
librust-dogged+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-dogged-dev
librust-dogged-dev (0.2.0-1+b1)
Persistent vector, similar to Clojure - Rust source code
librust-dotenv+clap-dev (0.15.0-2+b2)
`dotenv` implementation for Rust - feature "clap" and 1 more
librust-dotenv+cli-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv+default-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-0+clap-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv-0+cli-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv-0+default-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-0-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-0.15+clap-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv-0.15+cli-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv-0.15+default-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-0.15-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-0.15.0+clap-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv-0.15.0+cli-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv+clap-dev
librust-dotenv-0.15.0+default-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-0.15.0-dev (= 0.15.0-2+b2)
本虛擬套件由這些套件填實: librust-dotenv-dev
librust-dotenv-dev (0.15.0-2+b2)
`dotenv` implementation for Rust - Rust source code
librust-dtoa+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-0+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-0-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-0.4+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-0.4-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-0.4.3+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-0.4.3-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-dtoa-dev
librust-dtoa-dev (0.4.3-1)
Fast functions for printing floating-point primitives - Rust source code
librust-dtoa-short+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-0+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-0-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-0.3+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-0.3-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-0.3.2+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-0.3.2-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-dtoa-short-dev
librust-dtoa-short-dev (0.3.2-1+b1)
Serialize float number and truncate to certain precision - Rust source code
librust-dunce+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-1.0+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-1.0-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-1.0.1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-1.0.1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-dunce-dev
librust-dunce-dev (1.0.1-1+b1)
Normalize Windows paths to the most compatible format, avoiding UNC where possible - Rust source code
librust-dyn-clone+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-1+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-1-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-1.0+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-1.0-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-1.0.2+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-1.0.2-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-dyn-clone-dev
librust-dyn-clone-dev (1.0.2-1+b1)
Clone trait that is object-safe - Rust source code
librust-either+default-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either+serde-dev (1.5.0-1+b1)
Enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases - feature "serde"
librust-either+use-std-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1+default-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1+serde-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either+serde-dev
librust-either-1+use-std-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1.5+default-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1.5+serde-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either+serde-dev
librust-either-1.5+use-std-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1.5-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1.5.0+default-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1.5.0+serde-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either+serde-dev
librust-either-1.5.0+use-std-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-1.5.0-dev (= 1.5.0-1+b1)
本虛擬套件由這些套件填實: librust-either-dev
librust-either-dev (1.5.0-1+b1)
Enum `Either` with variants `Left` and `Right` is a general purpose sum type with two cases - Rust source code
librust-ena+bench-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena+congruence-closure-dev (0.14.0-1)
Rust implementation of union-find - feature "congruence-closure" and 1 more
librust-ena+default-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena+dogged-dev (0.14.0-1)
Rust implementation of union-find - feature "dogged" and 1 more
librust-ena+persistent-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena+petgraph-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0+bench-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0+congruence-closure-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0+default-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0+dogged-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena-0+persistent-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena-0+petgraph-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0.14+bench-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0.14+congruence-closure-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0.14+default-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0.14+dogged-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena-0.14+persistent-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena-0.14+petgraph-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0.14-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0.14.0+bench-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0.14.0+congruence-closure-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0.14.0+default-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-0.14.0+dogged-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena-0.14.0+persistent-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+dogged-dev
librust-ena-0.14.0+petgraph-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena+congruence-closure-dev
librust-ena-0.14.0-dev (= 0.14.0-1)
本虛擬套件由這些套件填實: librust-ena-dev
librust-ena-dev (0.14.0-1)
Rust implementation of union-find - Rust source code
librust-encode-unicode+ascii-dev (0.3.6-1)
UTF-8 and UTF-16 char types, methods, for char, u8 and u16 - feature "ascii"
librust-encode-unicode+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode+std-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0+ascii-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode+ascii-dev
librust-encode-unicode-0+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0+std-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0.3+ascii-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode+ascii-dev
librust-encode-unicode-0.3+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0.3+std-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0.3-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0.3.6+ascii-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode+ascii-dev
librust-encode-unicode-0.3.6+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0.3.6+std-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-0.3.6-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-encode-unicode-dev
librust-encode-unicode-dev (0.3.6-1)
UTF-8 and UTF-16 char types, methods, for char, u8 and u16 - Rust source code
librust-encoding+default-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-0+default-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-0-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-0.2+default-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-0.2-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-0.2.33+default-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-0.2.33-dev (= 0.2.33-1+b1)
本虛擬套件由這些套件填實: librust-encoding-dev
librust-encoding-dev (0.2.33-1+b1)
Character encoding support for Rust - Rust source code
librust-encoding-index-japanese+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-1+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-1-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-1.20141219+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-1.20141219-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-1.20141219.5+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-1.20141219.5-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-japanese-dev
librust-encoding-index-japanese-dev (1.20141219.5-1+b1)
Index tables for Japanese character encodings - Rust source code
librust-encoding-index-korean+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-1+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-1-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-1.20141219+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-1.20141219-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-1.20141219.5+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-1.20141219.5-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-korean-dev
librust-encoding-index-korean-dev (1.20141219.5-1+b1)
Index tables for Korean character encodings - Rust source code
librust-encoding-index-simpchinese+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-1+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-1-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-1.20141219+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-1.20141219-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-1.20141219.5+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-1.20141219.5-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-simpchinese-dev
librust-encoding-index-simpchinese-dev (1.20141219.5-1+b1)
Index tables for simplified Chinese character encodings - Rust source code
librust-encoding-index-singlebyte+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-1+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-1-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-1.20141219+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-1.20141219-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-1.20141219.5+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-1.20141219.5-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-singlebyte-dev
librust-encoding-index-singlebyte-dev (1.20141219.5-1+b1)
Index tables for various single-byte character encodings - Rust source code
librust-encoding-index-tests+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tests-dev
librust-encoding-index-tests-dev (0.1.4-1+b1)
Helper macros to test index tables for character encodings - Rust source code
librust-encoding-index-tradchinese+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-1+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-1-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-1.20141219+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-1.20141219-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-1.20141219.5+default-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-1.20141219.5-dev (= 1.20141219.5-1+b1)
本虛擬套件由這些套件填實: librust-encoding-index-tradchinese-dev
librust-encoding-index-tradchinese-dev (1.20141219.5-1+b1)
Index tables for traditional Chinese character encodings - Rust source code
librust-encoding-rs+default-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+fast-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+fast-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+fast-hangul-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+fast-hanja-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+fast-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+fast-legacy-encode-dev (0.8.22-1)
Gecko-oriented Encoding Standard implementation - feature "fast-legacy-encode"
librust-encoding-rs+less-slow-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+less-slow-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+less-slow-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs+packed-simd-dev (0.8.22-1)
Gecko-oriented Encoding Standard implementation - feature "packed_simd"
librust-encoding-rs+serde-dev (0.8.22-1)
Gecko-oriented Encoding Standard implementation - feature "serde"
librust-encoding-rs+simd-accel-dev (0.8.22-1)
Gecko-oriented Encoding Standard implementation - feature "simd-accel"
librust-encoding-rs-0+default-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+fast-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+fast-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+fast-hangul-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+fast-hanja-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+fast-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+fast-legacy-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+fast-legacy-encode-dev
librust-encoding-rs-0+less-slow-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+less-slow-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+less-slow-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0+packed-simd-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+packed-simd-dev
librust-encoding-rs-0+serde-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+serde-dev
librust-encoding-rs-0+simd-accel-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+simd-accel-dev
librust-encoding-rs-0-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+default-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+fast-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+fast-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+fast-hangul-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+fast-hanja-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+fast-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+fast-legacy-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+fast-legacy-encode-dev
librust-encoding-rs-0.8+less-slow-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+less-slow-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+less-slow-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8+packed-simd-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+packed-simd-dev
librust-encoding-rs-0.8+serde-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+serde-dev
librust-encoding-rs-0.8+simd-accel-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+simd-accel-dev
librust-encoding-rs-0.8-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+default-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+fast-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+fast-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+fast-hangul-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+fast-hanja-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+fast-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+fast-legacy-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+fast-legacy-encode-dev
librust-encoding-rs-0.8.22+less-slow-big5-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+less-slow-gb-hanzi-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+less-slow-kanji-encode-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-0.8.22+packed-simd-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+packed-simd-dev
librust-encoding-rs-0.8.22+serde-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+serde-dev
librust-encoding-rs-0.8.22+simd-accel-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs+simd-accel-dev
librust-encoding-rs-0.8.22-dev (= 0.8.22-1)
本虛擬套件由這些套件填實: librust-encoding-rs-dev
librust-encoding-rs-dev (0.8.22-1)
Gecko-oriented Encoding Standard implementation - Rust source code
librust-encoding-rs-io+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-0+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-0-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-0.1+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-0.1-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-0.1.6+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-0.1.6-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-encoding-rs-io-dev
librust-encoding-rs-io-dev (0.1.6-2)
Streaming transcoding for encoding_rs - Rust source code
librust-endian-type+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-0+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-0-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-0.1+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-0.1-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-0.1.2+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-0.1.2-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-endian-type-dev
librust-endian-type-dev (0.1.2-2)
Type safe wrappers for types with a defined byte order - Rust source code
librust-entities+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-1+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-1-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-1.0+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-1.0-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-1.0.1+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-1.0.1-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-entities-dev
librust-entities-dev (1.0.1-1+b2)
Provides the raw data needed to convert to and from HTML entities - Rust source code
librust-enum-as-inner+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-enum-as-inner-dev
librust-enum-as-inner-dev (0.3.0-1)
Proc-macro for inner field accessors on enums - Rust source code
librust-enum-iterator+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-0.6+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-0.6-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-0.6.0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-0.6.0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-dev
librust-enum-iterator-derive+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-0.6+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-0.6-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-0.6.0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-0.6.0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-iterator-derive-dev
librust-enum-iterator-derive-dev (0.6.0-1+b1)
Procedural macro to iterate over the variants of a field-less enum - Rust source code
librust-enum-iterator-dev (0.6.0-1+b1)
Tools to iterate over the variants of a field-less enum - Rust source code
librust-enum-map+default-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map+serde-dev (0.6.2-2)
Map with C-like enum keys represented internally as an array - feature "serde"
librust-enum-map-0+default-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map-0+serde-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map+serde-dev
librust-enum-map-0-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map-0.6+default-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map-0.6+serde-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map+serde-dev
librust-enum-map-0.6-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map-0.6.2+default-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map-0.6.2+serde-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map+serde-dev
librust-enum-map-0.6.2-dev (= 0.6.2-2)
本虛擬套件由這些套件填實: librust-enum-map-dev
librust-enum-map-derive+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-0+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-0-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-0.4+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-0.4-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-0.4.3+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-0.4.3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-enum-map-derive-dev
librust-enum-map-derive-dev (0.4.3-2)
Macros 1.1 implementation of #[derive(Enum)] - Rust source code
librust-enum-map-dev (0.6.2-2)
Map with C-like enum keys represented internally as an array - Rust source code
librust-enum-primitive+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-enum-primitive-dev
librust-enum-primitive-derive+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-0+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-0-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-0.1+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-0.1-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-0.1.2+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-0.1.2-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-enum-primitive-derive-dev
librust-enum-primitive-derive-dev (0.1.2-2)
enum_primitive implementation using procedural macros - Rust source code
librust-enum-primitive-dev (0.1.1-1+b1)
Macro to generate num::FromPrimitive instances for enum - Rust source code
librust-enum-to-u8-slice-derive+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-enum-to-u8-slice-derive-dev
librust-enum-to-u8-slice-derive-dev (0.2.0-1+b1)
Simple fork of enum_to_str_derive (by @DCjanus), convert enum to u8 slice ref - Rust source code
librust-enum-unitary+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-0+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-0-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-0.4+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-0.4-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-0.4.2+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-0.4.2-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-enum-unitary-dev
librust-enum-unitary-dev (0.4.2-1+b1)
Trait and macro for unitary enums - Rust source code
librust-enumflags2+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2+not-literal-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2+serde-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2+std-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0+not-literal-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0+serde-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0+std-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6+not-literal-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6+serde-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6+std-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6.4+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6.4+not-literal-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6.4+serde-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6.4+std-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-0.6.4-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-enumflags2-dev
librust-enumflags2-derive+default-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive+not-literal-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0+default-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0+not-literal-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0.6+default-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0.6+not-literal-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0.6-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0.6.4+default-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0.6.4+not-literal-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-0.6.4-dev (= 0.6.4-1+b2)
本虛擬套件由這些套件填實: librust-enumflags2-derive-dev
librust-enumflags2-derive-dev (0.6.4-1+b2)
Do not use directly, use the reexport in the `enumflags2` crate - Rust source code
librust-enumflags2-dev (0.6.4-1+b1)
Enum-based bit flags - Rust source code
librust-env-logger+atty-dev (0.7.1-2)
Log implementation configured via environment variable - feature "atty"
librust-env-logger+default-dev (0.7.1-2)
Log implementation configured via environment variable - feature "default"
librust-env-logger+humantime-dev (0.7.1-2)
Log implementation configured via environment variable - feature "humantime"
librust-env-logger+regex-dev (0.7.1-2)
Log implementation configured via environment variable - feature "regex"
librust-env-logger+termcolor-dev (0.7.1-2)
Log implementation configured via environment variable - feature "termcolor"
librust-env-logger-0+atty-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+atty-dev
librust-env-logger-0+default-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+default-dev
librust-env-logger-0+humantime-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+humantime-dev
librust-env-logger-0+regex-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+regex-dev
librust-env-logger-0+termcolor-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+termcolor-dev
librust-env-logger-0-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger-dev
librust-env-logger-0.7+atty-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+atty-dev
librust-env-logger-0.7+default-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+default-dev
librust-env-logger-0.7+humantime-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+humantime-dev
librust-env-logger-0.7+regex-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+regex-dev
librust-env-logger-0.7+termcolor-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+termcolor-dev
librust-env-logger-0.7-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger-dev
librust-env-logger-0.7.1+atty-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+atty-dev
librust-env-logger-0.7.1+default-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+default-dev
librust-env-logger-0.7.1+humantime-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+humantime-dev
librust-env-logger-0.7.1+regex-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+regex-dev
librust-env-logger-0.7.1+termcolor-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger+termcolor-dev
librust-env-logger-0.7.1-dev (= 0.7.1-2)
本虛擬套件由這些套件填實: librust-env-logger-dev
librust-env-logger-dev (0.7.1-2)
Log implementation configured via environment variable - Rust source code
librust-env-proxy+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-env-proxy-dev
librust-env-proxy-dev (0.4.0-1)
determine proxy parameters for a URL from the environment - Rust source code
librust-environment+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-environment-dev
librust-environment-dev (0.1.1-1+b1)
handle environment variable context - Rust source code
librust-epoll+default-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-4+default-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-4-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-4.1+default-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-4.1-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-4.1.0+default-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-4.1.0-dev (= 4.1.0-1+b1)
本虛擬套件由這些套件填實: librust-epoll-dev
librust-epoll-dev (4.1.0-1+b1)
Safe epoll interface - Rust source code
librust-erased-serde+default-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde+unstable-debug-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0+default-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0+unstable-debug-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0.3+default-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0.3+unstable-debug-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0.3-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0.3.6+default-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0.3.6+unstable-debug-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-0.3.6-dev (= 0.3.6-1+b1)
本虛擬套件由這些套件填實: librust-erased-serde-dev
librust-erased-serde-dev (0.3.6-1+b1)
Type-erased Serialize and Serializer traits - Rust source code
librust-errno+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-0+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-0-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-0.2+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-0.2-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-0.2.4+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-0.2.4-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-errno-dev
librust-errno-dev (0.2.4-2)
Cross-platform interface to the `errno` variable - Rust source code
librust-error-chain+backtrace-dev (0.12.4-1)
Yet another error boilerplate library - feature "backtrace"
librust-error-chain+default-dev (0.12.4-1)
Yet another error boilerplate library - feature "default"
librust-error-chain+example-generated-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-0+backtrace-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain+backtrace-dev
librust-error-chain-0+default-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain+default-dev
librust-error-chain-0+example-generated-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-0-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-0.12+backtrace-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain+backtrace-dev
librust-error-chain-0.12+default-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain+default-dev
librust-error-chain-0.12+example-generated-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-0.12-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-0.12.4+backtrace-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain+backtrace-dev
librust-error-chain-0.12.4+default-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain+default-dev
librust-error-chain-0.12.4+example-generated-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-0.12.4-dev (= 0.12.4-1)
本虛擬套件由這些套件填實: librust-error-chain-dev
librust-error-chain-dev (0.12.4-1)
Yet another error boilerplate library - Rust source code
librust-euclid+default-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid+mint-dev (0.20.0-1)
Geometry primitives - feature "mint"
librust-euclid+mint-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19+mint-dev
librust-euclid+serde-dev (0.20.0-1)
Geometry primitives - feature "serde"
librust-euclid+serde-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19+serde-dev
librust-euclid+unstable-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid+unstable-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0+default-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0+mint-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19+mint-dev
librust-euclid-0+mint-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid+mint-dev
librust-euclid-0+serde-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19+serde-dev
librust-euclid-0+serde-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid+serde-dev
librust-euclid-0+unstable-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0+unstable-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0.19+default-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0.19+mint-dev (0.19.9-3)
Geometry primitives - feature "mint"
librust-euclid-0.19+serde-dev (0.19.9-3)
Geometry primitives - feature "serde"
librust-euclid-0.19+unstable-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0.19-dev (0.19.9-3)
Geometry primitives - Rust source code
librust-euclid-0.19.9+default-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0.19.9+mint-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19+mint-dev
librust-euclid-0.19.9+serde-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19+serde-dev
librust-euclid-0.19.9+unstable-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0.19.9-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-euclid-0.20+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0.20+mint-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid+mint-dev
librust-euclid-0.20+serde-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid+serde-dev
librust-euclid-0.20+unstable-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0.20-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0.20.0+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0.20.0+mint-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid+mint-dev
librust-euclid-0.20.0+serde-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid+serde-dev
librust-euclid-0.20.0+unstable-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-0.20.0-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-euclid-dev
librust-euclid-dev (0.20.0-1)
Geometry primitives - Rust source code
librust-euclid-dev (= 0.19.9-3)
本虛擬套件由這些套件填實: librust-euclid-0.19-dev
librust-exa+default-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-0+default-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-0-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-0.9+default-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-0.9-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-0.9.0+default-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-0.9.0-dev (= 0.9.0-5+b1)
本虛擬套件由這些套件填實: librust-exa-dev
librust-exa-dev (0.9.0-5+b1)
Modern replacement for ls - Rust source code
librust-exec+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0.3+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0.3+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0.3-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0.3.1+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0.3.1+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-0.3.1-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-exec-dev
librust-exec-dev (0.3.1-2)
Simple wrapper around execvp - Rust source code
librust-extprim+default-dev (1.7.0-2)
Extra primitive types (u128, i128) - feature "default"
librust-extprim+rand-dev (1.7.0-2)
Extra primitive types (u128, i128) - feature "rand"
librust-extprim+serde-dev (1.7.0-2)
Extra primitive types (u128, i128) - feature "serde"
librust-extprim+use-std-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-1+default-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+default-dev
librust-extprim-1+rand-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+rand-dev
librust-extprim-1+serde-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+serde-dev
librust-extprim-1+use-std-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-1-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-1.7+default-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+default-dev
librust-extprim-1.7+rand-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+rand-dev
librust-extprim-1.7+serde-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+serde-dev
librust-extprim-1.7+use-std-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-1.7-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-1.7.0+default-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+default-dev
librust-extprim-1.7.0+rand-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+rand-dev
librust-extprim-1.7.0+serde-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim+serde-dev
librust-extprim-1.7.0+use-std-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-1.7.0-dev (= 1.7.0-2)
本虛擬套件由這些套件填實: librust-extprim-dev
librust-extprim-dev (1.7.0-2)
Extra primitive types (u128, i128) - Rust source code
librust-failure+backtrace-dev (0.1.7-1)
Experimental error handling abstraction - feature "backtrace" and 1 more
librust-failure+default-dev (0.1.7-1)
Experimental error handling abstraction - feature "default"
librust-failure+derive-dev (0.1.7-1)
Experimental error handling abstraction - feature "derive" and 1 more
librust-failure+failure-derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure+std-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0+backtrace-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0+default-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+default-dev
librust-failure-0+derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure-0+failure-derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure-0+std-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure-dev
librust-failure-0.1+backtrace-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0.1+default-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+default-dev
librust-failure-0.1+derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure-0.1+failure-derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure-0.1+std-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0.1-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure-dev
librust-failure-0.1.7+backtrace-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0.1.7+default-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+default-dev
librust-failure-0.1.7+derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure-0.1.7+failure-derive-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+derive-dev
librust-failure-0.1.7+std-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure+backtrace-dev
librust-failure-0.1.7-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-failure-dev
librust-failure-derive+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive+std-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0+std-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0.1+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0.1+std-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0.1-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0.1.7+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0.1.7+std-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-0.1.7-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-failure-derive-dev
librust-failure-derive-dev (0.1.7-2)
Derives for the failure crate - Rust source code
librust-failure-dev (0.1.7-1)
Experimental error handling abstraction - Rust source code
librust-fake-simd+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-fake-simd-dev
librust-fake-simd-dev (0.1.2-1+b1)
Mimicking simd crate on stable Rust - Rust source code
librust-fallible-iterator+alloc-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator+std-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0+alloc-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0+std-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2+alloc-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2+std-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2.0+alloc-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2.0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2.0+std-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-0.2.0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-fallible-iterator-dev
librust-fallible-iterator-dev (0.2.0-2)
Fallible iterator traits - Rust source code
librust-fancy-regex+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex+track-caller-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0+track-caller-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0.4+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0.4+track-caller-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0.4-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0.4.0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0.4.0+track-caller-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-0.4.0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-fancy-regex-dev
librust-fancy-regex-dev (0.4.0-1+b1)
Regexes, supporting a relatively rich set of features, including backreferences and look-around - Rust source code
librust-fastrand+default-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-1+default-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-1-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-1.4+default-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-1.4-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-1.4.0+default-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-1.4.0-dev (= 1.4.0-2)
本虛擬套件由這些套件填實: librust-fastrand-dev
librust-fastrand-dev (1.4.0-2)
Simple and fast random number generator - Rust source code
librust-fern+colored-dev (0.5.8-1)
Simple, efficient logging - feature "colored"
librust-fern+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern+meta-logging-in-format-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern+syslog-4-dev (0.5.8-1)
Simple, efficient logging - feature "syslog-4"
librust-fern+syslog-dev (0.5.8-1)
Simple, efficient logging - feature "syslog"
librust-fern-0+colored-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+colored-dev
librust-fern-0+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0+meta-logging-in-format-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0+syslog-4-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+syslog-4-dev
librust-fern-0+syslog-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+syslog-dev
librust-fern-0-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0.5+colored-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+colored-dev
librust-fern-0.5+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0.5+meta-logging-in-format-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0.5+syslog-4-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+syslog-4-dev
librust-fern-0.5+syslog-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+syslog-dev
librust-fern-0.5-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0.5.8+colored-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+colored-dev
librust-fern-0.5.8+default-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0.5.8+meta-logging-in-format-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-0.5.8+syslog-4-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+syslog-4-dev
librust-fern-0.5.8+syslog-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern+syslog-dev
librust-fern-0.5.8-dev (= 0.5.8-1)
本虛擬套件由這些套件填實: librust-fern-dev
librust-fern-dev (0.5.8-1)
Simple, efficient logging - Rust source code
librust-filetime+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-0+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-0-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-0.2+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-0.2-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-0.2.9+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-0.2.9-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-filetime-dev
librust-filetime-dev (0.2.9-1)
Platform-agnostic accessors of timestamps in File metadata - Rust source code
librust-findshlibs+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-findshlibs-dev
librust-findshlibs-dev (0.5.0-1)
Find shared libraries loaded in the current process - Rust source code
librust-fixedbitset+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset+std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0+std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0.2+std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0.2.0+std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-fixedbitset-dev
librust-fixedbitset-dev (0.2.0-1)
Simple bitset collection - Rust source code
librust-flate2+default-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2+futures-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - feature "futures"
librust-flate2+libz-sys-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - feature "libz-sys" and 2 more
librust-flate2+miniz-oxide-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - feature "miniz_oxide"
librust-flate2+miniz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2+rust-backend-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - feature "rust_backend" and 1 more
librust-flate2+tokio-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - feature "tokio"
librust-flate2+tokio-io-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - feature "tokio-io"
librust-flate2+zlib-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1+default-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2-1+futures-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+futures-dev
librust-flate2-1+libz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1+miniz-oxide-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+miniz-oxide-dev
librust-flate2-1+miniz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1+rust-backend-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2-1+tokio-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+tokio-dev
librust-flate2-1+tokio-io-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+tokio-io-dev
librust-flate2-1+zlib-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2-dev
librust-flate2-1.0+default-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2-1.0+futures-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+futures-dev
librust-flate2-1.0+libz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1.0+miniz-oxide-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+miniz-oxide-dev
librust-flate2-1.0+miniz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1.0+rust-backend-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2-1.0+tokio-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+tokio-dev
librust-flate2-1.0+tokio-io-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+tokio-io-dev
librust-flate2-1.0+zlib-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1.0-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2-dev
librust-flate2-1.0.13+default-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2-1.0.13+futures-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+futures-dev
librust-flate2-1.0.13+libz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1.0.13+miniz-oxide-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+miniz-oxide-dev
librust-flate2-1.0.13+miniz-sys-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1.0.13+rust-backend-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+rust-backend-dev
librust-flate2-1.0.13+tokio-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+tokio-dev
librust-flate2-1.0.13+tokio-io-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+tokio-io-dev
librust-flate2-1.0.13+zlib-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2+libz-sys-dev
librust-flate2-1.0.13-dev (= 1.0.13-3)
本虛擬套件由這些套件填實: librust-flate2-dev
librust-flate2-dev (1.0.13-3)
FLATE, Gzip, and Zlib bindings for Rust - Rust source code
librust-float-cmp+default-dev (0.6.0-3)
Floating point approximate comparison traits - feature "default" and 1 more
librust-float-cmp+num-traits-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0+default-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0+num-traits-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp-dev
librust-float-cmp-0.6+default-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0.6+num-traits-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0.6-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp-dev
librust-float-cmp-0.6.0+default-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0.6.0+num-traits-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp+default-dev
librust-float-cmp-0.6.0-dev (= 0.6.0-3)
本虛擬套件由這些套件填實: librust-float-cmp-dev
librust-float-cmp-dev (0.6.0-3)
Floating point approximate comparison traits - Rust source code
librust-float-ord+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-float-ord-dev
librust-float-ord-dev (0.2.0-1+b1)
Total ordering for floating-point numbers - Rust source code
librust-fnv+default-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-1+default-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-1-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-1.0+default-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-1.0-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-1.0.6+default-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-1.0.6-dev (= 1.0.6-1+b1)
本虛擬套件由這些套件填實: librust-fnv-dev
librust-fnv-dev (1.0.6-1+b1)
Fowler–Noll–Vo hash function - Rust source code
librust-fomat-macros+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-0+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-0-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-0.3+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-0.3-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-0.3.1+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-0.3.1-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-fomat-macros-dev
librust-fomat-macros-dev (0.3.1-1+b1)
Alternative syntax for print/write/format-like macros with a small templating language - Rust source code
librust-foreign-types+default-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types+std-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0+default-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0+std-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0.3+default-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types-0.3-dev (0.3.2-1+b2)
Framework for Rust wrappers over C APIs - Rust source code
librust-foreign-types-0.3.2+default-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types-0.3.2-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0.5+std-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0.5.0+std-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-dev
librust-foreign-types-dev (0.5.0-1)
Framework for Rust wrappers over C APIs - Rust source code
librust-foreign-types-dev (= 0.3.2-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-0.3-dev
librust-foreign-types-macros+default-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros+std-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0+default-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0+std-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0.2+default-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0.2+std-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0.2-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0.2.1+default-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0.2.1+std-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-0.2.1-dev (= 0.2.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-macros-dev
librust-foreign-types-macros-dev (0.2.1-1+b2)
Internal crate used by foreign-types - Rust source code
librust-foreign-types-shared+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-foreign-types-shared+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-0+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-foreign-types-shared-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-0-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-foreign-types-shared-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-0.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-foreign-types-shared-0.1-dev (0.1.1-1+b2)
Internal crate used by foreign-types - Rust source code
librust-foreign-types-shared-0.1.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-foreign-types-shared-0.1.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-foreign-types-shared-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-foreign-types-shared-dev
librust-foreign-types-shared-dev (0.3.0-1)
Internal crate used by foreign-types - Rust source code
librust-foreign-types-shared-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-foreign-types-shared-0.1-dev
librust-fragile+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-0+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-0-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-0.3+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-0.3-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-0.3.0+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-0.3.0-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-fragile-dev
librust-fragile-dev (0.3.0-1+b1)
Wrapper types that permit sending non Send types - Rust source code
librust-freetype+default-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype+freetype-sys-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0+default-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0+freetype-sys-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0.7+default-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0.7+freetype-sys-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0.7-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0.7.0+default-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0.7.0+freetype-sys-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-0.7.0-dev (= 0.7.0-4)
本虛擬套件由這些套件填實: librust-freetype-dev
librust-freetype-dev (0.7.0-4)
Bindings for Freetype used by Servo - Rust source code
librust-freetype-rs+default-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-0+default-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-0-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-0.26+default-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-0.26-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-0.26.0+default-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-0.26.0-dev (= 0.26.0-1+b2)
本虛擬套件由這些套件填實: librust-freetype-rs-dev
librust-freetype-rs-dev (0.26.0-1+b2)
Bindings for FreeType font library - Rust source code
librust-freetype-sys+default-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-0+default-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-0-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-0.13+default-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-0.13-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-0.13.1+default-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-0.13.1-dev (= 0.13.1-1)
本虛擬套件由這些套件填實: librust-freetype-sys-dev
librust-freetype-sys-dev (0.13.1-1)
Low level binding for FreeType font library - Rust source code
librust-fs2+default-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-0+default-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-0-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-0.4+default-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-0.4-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-0.4.3+default-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-0.4.3-dev (= 0.4.3-1+b1)
本虛擬套件由這些套件填實: librust-fs2-dev
librust-fs2-dev (0.4.3-1+b1)
Cross-platform file locks and file duplication - Rust source code
librust-fsevent-sys+default-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-3+default-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-3-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-3.0+default-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-3.0-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-3.0.0+default-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-3.0.0-dev (= 3.0.0-1+b1)
本虛擬套件由這些套件填實: librust-fsevent-sys-dev
librust-fsevent-sys-dev (3.0.0-1+b1)
Rust bindings to the fsevent macOS API for file changes notifications - Rust source code
librust-fst+default-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst+memmap-dev (0.3.5-1+b1)
Use finite state transducers to compactly represents sets or maps of many strings (> 1 billion is possible) - feature "memmap" and 2 more
librust-fst+mmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0+default-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0+memmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0+mmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst-dev
librust-fst-0.3+default-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0.3+memmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0.3+mmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0.3-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst-dev
librust-fst-0.3.5+default-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0.3.5+memmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0.3.5+mmap-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst+memmap-dev
librust-fst-0.3.5-dev (= 0.3.5-1+b1)
本虛擬套件由這些套件填實: librust-fst-dev
librust-fst-dev (0.3.5-1+b1)
Use finite state transducers to compactly represents sets or maps of many strings (> 1 billion is possible) - Rust source code
librust-fuchsia-cprng+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-fuchsia-cprng-dev
librust-fuchsia-cprng-dev (0.1.1-1+b1)
The Fuchsia cryptographically secure pseudorandom number generator - Rust source code
librust-fuchsia-zircon+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-0+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-0-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-0.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-0.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-0.3.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-0.3.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-dev
librust-fuchsia-zircon-dev (0.3.3-2)
Rust bindings for the Zircon kernel - Rust source code
librust-fuchsia-zircon-sys+default-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-0+default-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-0-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-0.3+default-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-0.3-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-0.3.3+default-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-0.3.3-dev (= 0.3.3-2+b1)
本虛擬套件由這些套件填實: librust-fuchsia-zircon-sys-dev
librust-fuchsia-zircon-sys-dev (0.3.3-2+b1)
Low-level Rust bindings for the Zircon kernel - Rust source code
librust-futf+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-futf-dev
librust-futf-dev (0.1.4-1+b1)
Handling fragments of UTF-8 - Rust source code
librust-futures+default-dev (0.1.29-3)
Futures and streams - feature "default"
librust-futures+nightly-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures+use-std-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures+with-deprecated-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0+default-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures+default-dev
librust-futures-0+nightly-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0+use-std-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0+with-deprecated-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1+default-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures+default-dev
librust-futures-0.1+nightly-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1+use-std-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1+with-deprecated-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1.29+default-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures+default-dev
librust-futures-0.1.29+nightly-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1.29+use-std-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1.29+with-deprecated-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-0.1.29-dev (= 0.1.29-3)
本虛擬套件由這些套件填實: librust-futures-dev
librust-futures-channel+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-0+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-0-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-0.3+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-0.3-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-0.3.8+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-0.3.8-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-channel-dev
librust-futures-channel-dev (0.3.8-1)
Channels for asynchronous communication using futures-rs - Rust source code
librust-futures-core+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core+cfg-target-has-atomic-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0+cfg-target-has-atomic-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3+cfg-target-has-atomic-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3.8+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3.8+cfg-target-has-atomic-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3.8+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3.8+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3.8+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-0.3.8-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-core-dev
librust-futures-core-dev (0.3.8-1)
Core traits and types in for the `futures` library - Rust source code
librust-futures-cpupool+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool+with-deprecated-dev (0.1.8-2)
Threadpool implementation giving futures - feature "with-deprecated" and 1 more
librust-futures-cpupool-0+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool-0+with-deprecated-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool-0-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool-dev
librust-futures-cpupool-0.1+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool-0.1+with-deprecated-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool-0.1-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool-dev
librust-futures-cpupool-0.1.8+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool-0.1.8+with-deprecated-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool+with-deprecated-dev
librust-futures-cpupool-0.1.8-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-futures-cpupool-dev
librust-futures-cpupool-dev (0.1.8-2)
Threadpool implementation giving futures - Rust source code
librust-futures-dev (0.1.29-3)
Futures and streams - Rust source code
librust-futures-io+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io+read-initializer-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0+read-initializer-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3+read-initializer-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3.8+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3.8+read-initializer-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3.8+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3.8+unstable-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-0.3.8-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-io-dev
librust-futures-io-dev (0.3.8-1)
`AsyncRead`, `AsyncWrite`, `AsyncSeek`, and `AsyncBufRead` traits for the futures-rs library - Rust source code
librust-futures-macro+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-0+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-0-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-0.3+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-0.3-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-0.3.8+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-0.3.8-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-macro-dev
librust-futures-macro-dev (0.3.8-1+b2)
Futures-rs procedural macro implementations - Rust source code
librust-futures-sink+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3.8+alloc-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3.8+default-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3.8+std-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-0.3.8-dev (= 0.3.8-1)
本虛擬套件由這些套件填實: librust-futures-sink-dev
librust-futures-sink-dev (0.3.8-1)
Asynchronous `Sink` trait for the futures-rs library - Rust source code
librust-futures-task+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task+std-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0+std-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0.3+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0.3+std-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0.3-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0.3.8+default-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0.3.8+std-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-0.3.8-dev (= 0.3.8-1+b2)
本虛擬套件由這些套件填實: librust-futures-task-dev
librust-futures-task-dev (0.3.8-1+b2)
Tools for working with tasks - Rust source code
librust-fwdansi+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-1.0+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-1.0-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-1.0.1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-1.0.1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-fwdansi-dev
librust-fwdansi-dev (1.0.1-1+b1)
Forwards a byte string with ANSI escape code to a termcolor terminal - Rust source code
librust-fxhash+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-0+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-0-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-0.2+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-0.2-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-0.2.1+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-0.2.1-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-fxhash-dev
librust-fxhash-dev (0.2.1-1+b1)
Fast, non-secure, hashing algorithm - Rust source code
librust-gdk+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk+dox-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "dox"
librust-gdk+embed-lgpl-docs-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "embed-lgpl-docs"
librust-gdk+gtk-rs-lgpl-docs-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "gtk-rs-lgpl-docs"
librust-gdk+purge-lgpl-docs-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "purge-lgpl-docs"
librust-gdk+v3-16-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "v3_16"
librust-gdk+v3-18-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "v3_18"
librust-gdk+v3-20-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "v3_20"
librust-gdk+v3-22-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "v3_22"
librust-gdk+v3-24-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - feature "v3_24"
librust-gdk-0+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk-0+dox-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+dox-dev
librust-gdk-0+embed-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+embed-lgpl-docs-dev
librust-gdk-0+gtk-rs-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+gtk-rs-lgpl-docs-dev
librust-gdk-0+purge-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+purge-lgpl-docs-dev
librust-gdk-0+v3-16-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-16-dev
librust-gdk-0+v3-18-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-18-dev
librust-gdk-0+v3-20-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-20-dev
librust-gdk-0+v3-22-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-22-dev
librust-gdk-0+v3-24-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-24-dev
librust-gdk-0-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk-0.11+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk-0.11+dox-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+dox-dev
librust-gdk-0.11+embed-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+embed-lgpl-docs-dev
librust-gdk-0.11+gtk-rs-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+gtk-rs-lgpl-docs-dev
librust-gdk-0.11+purge-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+purge-lgpl-docs-dev
librust-gdk-0.11+v3-16-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-16-dev
librust-gdk-0.11+v3-18-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-18-dev
librust-gdk-0.11+v3-20-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-20-dev
librust-gdk-0.11+v3-22-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-22-dev
librust-gdk-0.11+v3-24-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-24-dev
librust-gdk-0.11-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk-0.11.0+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk-0.11.0+dox-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+dox-dev
librust-gdk-0.11.0+embed-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+embed-lgpl-docs-dev
librust-gdk-0.11.0+gtk-rs-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+gtk-rs-lgpl-docs-dev
librust-gdk-0.11.0+purge-lgpl-docs-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+purge-lgpl-docs-dev
librust-gdk-0.11.0+v3-16-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-16-dev
librust-gdk-0.11.0+v3-18-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-18-dev
librust-gdk-0.11.0+v3-20-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-20-dev
librust-gdk-0.11.0+v3-22-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-22-dev
librust-gdk-0.11.0+v3-24-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk+v3-24-dev
librust-gdk-0.11.0-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-gdk-dev
librust-gdk-dev (0.11.0-1+b1)
Rust bindings for the GDK 3 library - Rust source code
librust-gdk-pixbuf+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf+dox-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "dox"
librust-gdk-pixbuf+embed-lgpl-docs-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "embed-lgpl-docs"
librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "gtk-rs-lgpl-docs"
librust-gdk-pixbuf+purge-lgpl-docs-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "purge-lgpl-docs"
librust-gdk-pixbuf+v2-32-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "v2_32"
librust-gdk-pixbuf+v2-36-8-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "v2_36_8"
librust-gdk-pixbuf+v2-36-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - feature "v2_36"
librust-gdk-pixbuf-0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf-0+dox-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+dox-dev
librust-gdk-pixbuf-0+embed-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+embed-lgpl-docs-dev
librust-gdk-pixbuf-0+gtk-rs-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
librust-gdk-pixbuf-0+purge-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+purge-lgpl-docs-dev
librust-gdk-pixbuf-0+v2-32-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-32-dev
librust-gdk-pixbuf-0+v2-36-8-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-36-8-dev
librust-gdk-pixbuf-0+v2-36-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-36-dev
librust-gdk-pixbuf-0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf-0.7+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf-0.7+dox-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+dox-dev
librust-gdk-pixbuf-0.7+embed-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+embed-lgpl-docs-dev
librust-gdk-pixbuf-0.7+gtk-rs-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
librust-gdk-pixbuf-0.7+purge-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+purge-lgpl-docs-dev
librust-gdk-pixbuf-0.7+v2-32-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-32-dev
librust-gdk-pixbuf-0.7+v2-36-8-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-36-8-dev
librust-gdk-pixbuf-0.7+v2-36-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-36-dev
librust-gdk-pixbuf-0.7-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf-0.7.0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf-0.7.0+dox-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+dox-dev
librust-gdk-pixbuf-0.7.0+embed-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+embed-lgpl-docs-dev
librust-gdk-pixbuf-0.7.0+gtk-rs-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+gtk-rs-lgpl-docs-dev
librust-gdk-pixbuf-0.7.0+purge-lgpl-docs-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+purge-lgpl-docs-dev
librust-gdk-pixbuf-0.7.0+v2-32-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-32-dev
librust-gdk-pixbuf-0.7.0+v2-36-8-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-36-8-dev
librust-gdk-pixbuf-0.7.0+v2-36-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf+v2-36-dev
librust-gdk-pixbuf-0.7.0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-dev
librust-gdk-pixbuf-dev (0.7.0-2)
Rust bindings for the GdkPixbuf library - Rust source code
librust-gdk-pixbuf-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys+v2-32-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys+v2-36-8-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys+v2-36-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0+v2-32-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0+v2-36-8-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0+v2-36-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9+v2-32-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9+v2-36-8-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9+v2-36-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9.0+v2-32-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9.0+v2-36-8-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9.0+v2-36-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-pixbuf-sys-dev
librust-gdk-pixbuf-sys-dev (0.9.0-2)
FFI bindings to libgdk_pixbuf-2.0 - Rust source code
librust-gdk-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gdk-sys-dev
librust-gdk-sys-dev (0.9.0-2)
FFI bindings to libgdk-3 - Rust source code
librust-generator+default-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-0+default-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-0-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-0.6+default-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-0.6-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-0.6.20+default-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-0.6.20-dev (= 0.6.20-2)
本虛擬套件由這些套件填實: librust-generator-dev
librust-generator-dev (0.6.20-2)
Stackfull Generator Library in Rust - Rust source code
librust-generic-array+default-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array+more-lengths-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array+serde-dev (0.14.4-1)
Generic types implementing functionality of arrays - feature "serde"
librust-generic-array-0+default-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0+more-lengths-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0+serde-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array+serde-dev
librust-generic-array-0-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0.14+default-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0.14+more-lengths-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0.14+serde-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array+serde-dev
librust-generic-array-0.14-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0.14.4+default-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0.14.4+more-lengths-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-0.14.4+serde-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array+serde-dev
librust-generic-array-0.14.4-dev (= 0.14.4-1)
本虛擬套件由這些套件填實: librust-generic-array-dev
librust-generic-array-dev (0.14.4-1)
Generic types implementing functionality of arrays - Rust source code
librust-gethostname+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-0+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-0-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-0.2+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-0.2-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-0.2.1+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-0.2.1-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-gethostname-dev
librust-gethostname-dev (0.2.1-1+b1)
Gethostname for all platforms - Rust source code
librust-getopts+default-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-0+default-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-0-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-0.2+default-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-0.2-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-0.2.21+default-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-0.2.21-dev (= 0.2.21-3)
本虛擬套件由這些套件填實: librust-getopts-dev
librust-getopts-dev (0.2.21-3)
Getopts-like option parsing - Rust source code
librust-getrandom+compiler-builtins-dev (0.1.13-4)
Retrieve random data from system source - feature "compiler_builtins"
librust-getrandom+core-dev (0.1.13-4)
Retrieve random data from system source - feature "core"
librust-getrandom+default-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom+dummy-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom+log-dev (0.1.13-4)
Retrieve random data from system source - feature "log"
librust-getrandom+rustc-dep-of-std-dev (0.1.13-4)
Retrieve random data from system source - feature "rustc-dep-of-std"
librust-getrandom+std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom+stdweb-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom+test-in-browser-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom+wasm-bindgen-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0+compiler-builtins-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+compiler-builtins-dev
librust-getrandom-0+core-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+core-dev
librust-getrandom-0+default-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0+dummy-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0+log-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+log-dev
librust-getrandom-0+rustc-dep-of-std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+rustc-dep-of-std-dev
librust-getrandom-0+std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0+stdweb-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0+test-in-browser-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0+wasm-bindgen-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1+compiler-builtins-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+compiler-builtins-dev
librust-getrandom-0.1+core-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+core-dev
librust-getrandom-0.1+default-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1+dummy-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1+log-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+log-dev
librust-getrandom-0.1+rustc-dep-of-std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+rustc-dep-of-std-dev
librust-getrandom-0.1+std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1+stdweb-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1+test-in-browser-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1+wasm-bindgen-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13+compiler-builtins-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+compiler-builtins-dev
librust-getrandom-0.1.13+core-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+core-dev
librust-getrandom-0.1.13+default-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13+dummy-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13+log-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+log-dev
librust-getrandom-0.1.13+rustc-dep-of-std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom+rustc-dep-of-std-dev
librust-getrandom-0.1.13+std-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13+stdweb-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13+test-in-browser-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13+wasm-bindgen-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-0.1.13-dev (= 0.1.13-4)
本虛擬套件由這些套件填實: librust-getrandom-dev
librust-getrandom-dev (0.1.13-4)
Retrieve random data from system source - Rust source code
librust-gettext+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-0.4+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-0.4-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-0.4.0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-0.4.0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-gettext-dev
librust-gettext-dev (0.4.0-2)
Gettext translation framework for Rust - Rust source code
librust-gettext-rs+default-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs+gettext-system-dev (0.4.1-1+b1)
GNU Gettext FFI binding for Rust - feature "gettext-system"
librust-gettext-rs-0+default-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs-0+gettext-system-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs+gettext-system-dev
librust-gettext-rs-0-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs-0.4+default-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs-0.4+gettext-system-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs+gettext-system-dev
librust-gettext-rs-0.4-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs-0.4.1+default-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs-0.4.1+gettext-system-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs+gettext-system-dev
librust-gettext-rs-0.4.1-dev (= 0.4.1-1+b1)
本虛擬套件由這些套件填實: librust-gettext-rs-dev
librust-gettext-rs-dev (0.4.1-1+b1)
GNU Gettext FFI binding for Rust - Rust source code
librust-gettext-sys+default-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys+gettext-system-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0+default-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0+gettext-system-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0.19+default-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0.19+gettext-system-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0.19-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0.19.8+default-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0.19.8+gettext-system-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-0.19.8-dev (= 0.19.8-2)
本虛擬套件由這些套件填實: librust-gettext-sys-dev
librust-gettext-sys-dev (0.19.8-2)
Gettext raw FFI bindings - Rust source code
librust-gif+c-api-dev (0.10.2-2)
GIF de- and encoder - feature "c_api"
librust-gif+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif+libc-dev (0.10.2-2)
GIF de- and encoder - feature "libc"
librust-gif+raii-no-panic-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0+c-api-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif+c-api-dev
librust-gif-0+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0+libc-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif+libc-dev
librust-gif-0+raii-no-panic-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0.10+c-api-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif+c-api-dev
librust-gif-0.10+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0.10+libc-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif+libc-dev
librust-gif-0.10+raii-no-panic-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0.10-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0.10.2+c-api-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif+c-api-dev
librust-gif-0.10.2+default-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0.10.2+libc-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif+libc-dev
librust-gif-0.10.2+raii-no-panic-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-0.10.2-dev (= 0.10.2-2)
本虛擬套件由這些套件填實: librust-gif-dev
librust-gif-dev (0.10.2-2)
GIF de- and encoder - Rust source code
librust-gimli+alloc-dev (0.19.0-2)
Reading and writing the DWARF debugging format - feature "alloc"
librust-gimli+default-dev (0.19.0-2)
Reading and writing the DWARF debugging format - feature "default"
librust-gimli+indexmap-dev (0.19.0-2)
Reading and writing the DWARF debugging format - feature "indexmap"
librust-gimli+read-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli+std-dev (0.19.0-2)
Reading and writing the DWARF debugging format - feature "std"
librust-gimli+write-dev (0.19.0-2)
Reading and writing the DWARF debugging format - feature "write"
librust-gimli-0+alloc-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+alloc-dev
librust-gimli-0+default-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+default-dev
librust-gimli-0+indexmap-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+indexmap-dev
librust-gimli-0+read-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli-0+std-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+std-dev
librust-gimli-0+write-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+write-dev
librust-gimli-0-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli-0.19+alloc-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+alloc-dev
librust-gimli-0.19+default-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+default-dev
librust-gimli-0.19+indexmap-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+indexmap-dev
librust-gimli-0.19+read-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli-0.19+std-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+std-dev
librust-gimli-0.19+write-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+write-dev
librust-gimli-0.19-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli-0.19.0+alloc-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+alloc-dev
librust-gimli-0.19.0+default-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+default-dev
librust-gimli-0.19.0+indexmap-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+indexmap-dev
librust-gimli-0.19.0+read-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli-0.19.0+std-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+std-dev
librust-gimli-0.19.0+write-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli+write-dev
librust-gimli-0.19.0-dev (= 0.19.0-2)
本虛擬套件由這些套件填實: librust-gimli-dev
librust-gimli-dev (0.19.0-2)
Reading and writing the DWARF debugging format - Rust source code
librust-gio+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio+dox-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "dox"
librust-gio+embed-lgpl-docs-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "embed-lgpl-docs" and 2 more
librust-gio+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio+subclassing-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "subclassing"
librust-gio+v2-44-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_44"
librust-gio+v2-46-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_46"
librust-gio+v2-48-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_48"
librust-gio+v2-50-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_50"
librust-gio+v2-52-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_52"
librust-gio+v2-54-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_54"
librust-gio+v2-56-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_56"
librust-gio+v2-58-dev (0.7.0-1+b1)
Rust bindings for the Gio library - feature "v2_58"
librust-gio-0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio-0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+dox-dev
librust-gio-0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0+subclassing-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+subclassing-dev
librust-gio-0+v2-44-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-44-dev
librust-gio-0+v2-46-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-46-dev
librust-gio-0+v2-48-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-48-dev
librust-gio-0+v2-50-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-50-dev
librust-gio-0+v2-52-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-52-dev
librust-gio-0+v2-54-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-54-dev
librust-gio-0+v2-56-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-56-dev
librust-gio-0+v2-58-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-58-dev
librust-gio-0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio-0.7+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio-0.7+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+dox-dev
librust-gio-0.7+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0.7+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0.7+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0.7+subclassing-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+subclassing-dev
librust-gio-0.7+v2-44-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-44-dev
librust-gio-0.7+v2-46-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-46-dev
librust-gio-0.7+v2-48-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-48-dev
librust-gio-0.7+v2-50-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-50-dev
librust-gio-0.7+v2-52-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-52-dev
librust-gio-0.7+v2-54-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-54-dev
librust-gio-0.7+v2-56-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-56-dev
librust-gio-0.7+v2-58-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-58-dev
librust-gio-0.7-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio-0.7.0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio-0.7.0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+dox-dev
librust-gio-0.7.0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0.7.0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0.7.0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+embed-lgpl-docs-dev
librust-gio-0.7.0+subclassing-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+subclassing-dev
librust-gio-0.7.0+v2-44-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-44-dev
librust-gio-0.7.0+v2-46-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-46-dev
librust-gio-0.7.0+v2-48-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-48-dev
librust-gio-0.7.0+v2-50-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-50-dev
librust-gio-0.7.0+v2-52-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-52-dev
librust-gio-0.7.0+v2-54-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-54-dev
librust-gio-0.7.0+v2-56-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-56-dev
librust-gio-0.7.0+v2-58-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio+v2-58-dev
librust-gio-0.7.0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gio-dev
librust-gio-dev (0.7.0-1+b1)
Rust bindings for the Gio library - Rust source code
librust-gio-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-48-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-50-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-52-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-56-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-48-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-50-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-52-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-56-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-48-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-50-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-52-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-56-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-48-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-50-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-52-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-56-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gio-sys-dev
librust-gio-sys-dev (0.9.0-2)
FFI bindings to libgio-2.0 - Rust source code
librust-gir-format-check+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-gir-format-check-dev
librust-gir-format-check-dev (0.1.1-2)
File format checker - Rust source code
librust-git-absorb+default-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-0+default-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-0-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-0.6+default-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-0.6-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-0.6.6+default-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-0.6.6-dev (= 0.6.6-2+b3)
本虛擬套件由這些套件填實: librust-git-absorb-dev
librust-git-absorb-dev (0.6.6-2+b3)
Git commit --fixup, but automatic - Rust source code
librust-git2+default-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - feature "default"
librust-git2+https-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - feature "https"
librust-git2+openssl-probe-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - feature "openssl-probe"
librust-git2+openssl-sys-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - feature "openssl-sys"
librust-git2+ssh-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - feature "ssh"
librust-git2+ssh-key-from-memory-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - feature "ssh_key_from_memory"
librust-git2+unstable-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-0+default-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+default-dev
librust-git2-0+https-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+https-dev
librust-git2-0+openssl-probe-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+openssl-probe-dev
librust-git2-0+openssl-sys-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+openssl-sys-dev
librust-git2-0+ssh-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+ssh-dev
librust-git2-0+ssh-key-from-memory-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+ssh-key-from-memory-dev
librust-git2-0+unstable-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-0-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-0.13+default-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+default-dev
librust-git2-0.13+https-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+https-dev
librust-git2-0.13+openssl-probe-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+openssl-probe-dev
librust-git2-0.13+openssl-sys-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+openssl-sys-dev
librust-git2-0.13+ssh-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+ssh-dev
librust-git2-0.13+ssh-key-from-memory-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+ssh-key-from-memory-dev
librust-git2-0.13+unstable-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-0.13-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-0.13.11+default-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+default-dev
librust-git2-0.13.11+https-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+https-dev
librust-git2-0.13.11+openssl-probe-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+openssl-probe-dev
librust-git2-0.13.11+openssl-sys-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+openssl-sys-dev
librust-git2-0.13.11+ssh-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+ssh-dev
librust-git2-0.13.11+ssh-key-from-memory-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2+ssh-key-from-memory-dev
librust-git2-0.13.11+unstable-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-0.13.11-dev (= 0.13.11-2)
本虛擬套件由這些套件填實: librust-git2-dev
librust-git2-curl+default-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-0+default-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-0-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-0.14+default-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-0.14-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-0.14.1+default-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-0.14.1-dev (= 0.14.1-1)
本虛擬套件由這些套件填實: librust-git2-curl-dev
librust-git2-curl-dev (0.14.1-1)
Backend for an HTTP transport in libgit2 powered by libcurl - Rust source code
librust-git2-dev (0.13.11-2)
Bindings to libgit2 for interoperating with git repositories - Rust source code
librust-glib+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib+dox-dev (0.8.2-1)
Rust bindings for the GLib library - feature "dox"
librust-glib+subclassing-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib+v2-44-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_44"
librust-glib+v2-46-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_46"
librust-glib+v2-48-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_48"
librust-glib+v2-50-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_50"
librust-glib+v2-52-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_52"
librust-glib+v2-54-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_54"
librust-glib+v2-56-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_56"
librust-glib+v2-58-dev (0.8.2-1)
Rust bindings for the GLib library - feature "v2_58"
librust-glib-0+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0+dox-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+dox-dev
librust-glib-0+subclassing-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0+v2-44-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-44-dev
librust-glib-0+v2-46-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-46-dev
librust-glib-0+v2-48-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-48-dev
librust-glib-0+v2-50-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-50-dev
librust-glib-0+v2-52-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-52-dev
librust-glib-0+v2-54-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-54-dev
librust-glib-0+v2-56-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-56-dev
librust-glib-0+v2-58-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-58-dev
librust-glib-0-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0.8+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0.8+dox-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+dox-dev
librust-glib-0.8+subclassing-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0.8+v2-44-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-44-dev
librust-glib-0.8+v2-46-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-46-dev
librust-glib-0.8+v2-48-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-48-dev
librust-glib-0.8+v2-50-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-50-dev
librust-glib-0.8+v2-52-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-52-dev
librust-glib-0.8+v2-54-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-54-dev
librust-glib-0.8+v2-56-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-56-dev
librust-glib-0.8+v2-58-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-58-dev
librust-glib-0.8-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0.8.2+default-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0.8.2+dox-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+dox-dev
librust-glib-0.8.2+subclassing-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-0.8.2+v2-44-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-44-dev
librust-glib-0.8.2+v2-46-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-46-dev
librust-glib-0.8.2+v2-48-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-48-dev
librust-glib-0.8.2+v2-50-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-50-dev
librust-glib-0.8.2+v2-52-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-52-dev
librust-glib-0.8.2+v2-54-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-54-dev
librust-glib-0.8.2+v2-56-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-56-dev
librust-glib-0.8.2+v2-58-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib+v2-58-dev
librust-glib-0.8.2-dev (= 0.8.2-1)
本虛擬套件由這些套件填實: librust-glib-dev
librust-glib-dev (0.8.2-1)
Rust bindings for the GLib library - Rust source code
librust-glib-sys+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+dox-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-44-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-46-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-48-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-50-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-52-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-54-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-56-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys+v2-58-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+dox-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-44-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-46-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-48-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-50-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-52-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-54-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-56-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0+v2-58-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+dox-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-44-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-46-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-48-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-50-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-52-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-54-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-56-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9+v2-58-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+dox-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-44-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-46-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-48-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-50-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-52-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-54-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-56-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0+v2-58-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-0.9.0-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-glib-sys-dev
librust-glib-sys-dev (0.9.0-3)
FFI bindings to libglib-2.0 - Rust source code
librust-glob+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-glob-dev
librust-glob-dev (0.3.0-1)
Match file paths against Unix shell style patterns - Rust source code
librust-globset+default-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset+simd-accel-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0+default-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0+simd-accel-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0.4+default-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0.4+simd-accel-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0.4-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0.4.5+default-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0.4.5+simd-accel-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-0.4.5-dev (= 0.4.5-1)
本虛擬套件由這些套件填實: librust-globset-dev
librust-globset-dev (0.4.5-1)
Cross platform single glob and glob set matching - Rust source code
librust-globwalk+default-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-0+default-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-0-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-0.8+default-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-0.8-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-0.8.1+default-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-0.8.1-dev (= 0.8.1-2)
本虛擬套件由這些套件填實: librust-globwalk-dev
librust-globwalk-dev (0.8.1-2)
Glob-matched recursive file system walking - Rust source code
librust-gobject-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0+v2-44-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0+v2-46-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0+v2-54-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0+v2-58-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gobject-sys-dev
librust-gobject-sys-dev (0.9.0-2)
FFI bindings to libgobject-2.0 - Rust source code
librust-goblin+alloc-dev (0.2.1-4)
Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "alloc" and 2 more
librust-goblin+archive-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin+default-dev (0.2.1-4)
Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "default"
librust-goblin+elf32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin+elf64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin+endian-fd-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin+log-dev (0.2.1-4)
Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "log"
librust-goblin+mach32-dev (0.2.1-4)
Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "mach32" and 3 more
librust-goblin+mach64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin+pe32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin+pe64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin+std-dev (0.2.1-4)
Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - feature "std"
librust-goblin-0+alloc-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0+archive-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0+default-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+default-dev
librust-goblin-0+elf32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0+elf64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0+endian-fd-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0+log-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+log-dev
librust-goblin-0+mach32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0+mach64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0+pe32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0+pe64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0+std-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+std-dev
librust-goblin-0-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0.2+alloc-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0.2+archive-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0.2+default-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+default-dev
librust-goblin-0.2+elf32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0.2+elf64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0.2+endian-fd-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0.2+log-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+log-dev
librust-goblin-0.2+mach32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2+mach64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2+pe32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2+pe64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2+std-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+std-dev
librust-goblin-0.2-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0.2.1+alloc-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0.2.1+archive-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0.2.1+default-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+default-dev
librust-goblin-0.2.1+elf32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0.2.1+elf64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-0.2.1+endian-fd-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+alloc-dev
librust-goblin-0.2.1+log-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+log-dev
librust-goblin-0.2.1+mach32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2.1+mach64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2.1+pe32-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2.1+pe64-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+mach32-dev
librust-goblin-0.2.1+std-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin+std-dev
librust-goblin-0.2.1-dev (= 0.2.1-4)
本虛擬套件由這些套件填實: librust-goblin-dev
librust-goblin-dev (0.2.1-4)
Impish, cross-platform, ELF, Mach-o, and PE binary parsing and loading crate - Rust source code
librust-gpg-error+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-0+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-0-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-0.5+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-0.5-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-0.5.1+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-0.5.1-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-gpg-error-dev
librust-gpg-error-dev (0.5.1-2)
Libgpg-error bindings for Rust - Rust source code
librust-grep+avx-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep+default-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep+simd-accel-dev (0.2.7-1)
Fast line oriented regex searching as a library - feature "simd-accel"
librust-grep-0+avx-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0+default-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0+simd-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep+simd-accel-dev
librust-grep-0-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0.2+avx-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0.2+default-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0.2+simd-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep+simd-accel-dev
librust-grep-0.2-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0.2.7+avx-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0.2.7+default-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-0.2.7+simd-accel-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep+simd-accel-dev
librust-grep-0.2.7-dev (= 0.2.7-1)
本虛擬套件由這些套件填實: librust-grep-dev
librust-grep-cli+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-0+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-0-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-0.1+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-0.1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-0.1.5+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-0.1.5-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-cli-dev
librust-grep-cli-dev (0.1.5-1)
Utilities for search oriented command line applications - Rust source code
librust-grep-dev (0.2.7-1)
Fast line oriented regex searching as a library - Rust source code
librust-grep-matcher+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-0+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-0-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-0.1+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-0.1-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-0.1.4+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-0.1.4-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-grep-matcher-dev
librust-grep-matcher-dev (0.1.4-1)
Trait for regular expressions for line oriented search - Rust source code
librust-grep-pcre2+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-0+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-0-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-0.1+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-0.1-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-0.1.4+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-0.1.4-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-grep-pcre2-dev
librust-grep-pcre2-dev (0.1.4-2)
Use PCRE2 with the 'grep' crate - Rust source code
librust-grep-printer+base64-dev (0.1.5-1)
The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "base64"
librust-grep-printer+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer+serde-derive-dev (0.1.5-1)
The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde_derive"
librust-grep-printer+serde-dev (0.1.5-1)
The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde"
librust-grep-printer+serde-json-dev (0.1.5-1)
The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde_json"
librust-grep-printer+serde1-dev (0.1.5-1)
The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - feature "serde1" and 1 more
librust-grep-printer-0+base64-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+base64-dev
librust-grep-printer-0+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer-0+serde-derive-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-derive-dev
librust-grep-printer-0+serde-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-dev
librust-grep-printer-0+serde-json-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-json-dev
librust-grep-printer-0+serde1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer-0-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer-dev
librust-grep-printer-0.1+base64-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+base64-dev
librust-grep-printer-0.1+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer-0.1+serde-derive-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-derive-dev
librust-grep-printer-0.1+serde-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-dev
librust-grep-printer-0.1+serde-json-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-json-dev
librust-grep-printer-0.1+serde1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer-0.1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer-dev
librust-grep-printer-0.1.5+base64-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+base64-dev
librust-grep-printer-0.1.5+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer-0.1.5+serde-derive-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-derive-dev
librust-grep-printer-0.1.5+serde-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-dev
librust-grep-printer-0.1.5+serde-json-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde-json-dev
librust-grep-printer-0.1.5+serde1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer+serde1-dev
librust-grep-printer-0.1.5-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-grep-printer-dev
librust-grep-printer-dev (0.1.5-1)
The grep crate's Sink trait that provides standard printing of search results, similar to grep itself - Rust source code
librust-grep-regex+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-0+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-0-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-0.1+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-0.1-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-0.1.8+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-0.1.8-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-grep-regex-dev
librust-grep-regex-dev (0.1.8-1)
Use Rust's regex library with the 'grep' crate - Rust source code
librust-grep-searcher+avx-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher+default-dev (0.1.7-1)
Fast line oriented regex searching as a library - feature "default"
librust-grep-searcher+simd-accel-dev (0.1.7-1)
Fast line oriented regex searching as a library - feature "simd-accel"
librust-grep-searcher-0+avx-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher-0+default-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher+default-dev
librust-grep-searcher-0+simd-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher+simd-accel-dev
librust-grep-searcher-0-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher-0.1+avx-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher-0.1+default-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher+default-dev
librust-grep-searcher-0.1+simd-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher+simd-accel-dev
librust-grep-searcher-0.1-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher-0.1.7+avx-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher-0.1.7+default-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher+default-dev
librust-grep-searcher-0.1.7+simd-accel-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher+simd-accel-dev
librust-grep-searcher-0.1.7-dev (= 0.1.7-1)
本虛擬套件由這些套件填實: librust-grep-searcher-dev
librust-grep-searcher-dev (0.1.7-1)
Fast line oriented regex searching as a library - Rust source code
librust-gstreamer-audio-sys+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-0.8.0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-audio-sys-dev
librust-gstreamer-audio-sys-dev (0.8.0-2)
FFI bindings to libgstaudio-1.0 - Rust source code
librust-gstreamer-base-sys+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-0-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-12-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-14-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-14-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-0-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-12-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-14-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-14-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-0-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-12-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-14-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-14-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-0-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-12-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-14-1-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-14-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-0.8.0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-base-sys-dev
librust-gstreamer-base-sys-dev (0.8.0-2)
FFI bindings to libgstbase-1.0 - Rust source code
librust-gstreamer-sys+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-0-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-2-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-0-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-2-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-0-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-2-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-0-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-2-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-0.8.0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-sys-dev
librust-gstreamer-sys-dev (0.8.0-2)
FFI bindings to libgstreamer-1.0 - Rust source code
librust-gstreamer-video-sys+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-0-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-2-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-0-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-2-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-0-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-2-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+dox-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-0-3-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-10-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-12-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-14-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-16-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-2-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-2-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-4-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-6-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0+v1-8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-0.8.0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-gstreamer-video-sys-dev
librust-gstreamer-video-sys-dev (0.8.0-2)
FFI bindings to libgstvideo-1.0 - Rust source code
librust-gtk+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk+dox-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "dox"
librust-gtk+embed-lgpl-docs-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "embed-lgpl-docs"
librust-gtk+fragile-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "fragile"
librust-gtk+gtk-rs-lgpl-docs-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "gtk-rs-lgpl-docs"
librust-gtk+purge-lgpl-docs-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "purge-lgpl-docs"
librust-gtk+subclassing-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "subclassing"
librust-gtk+v3-16-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_16"
librust-gtk+v3-18-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_18"
librust-gtk+v3-20-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_20"
librust-gtk+v3-22-20-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_22_20"
librust-gtk+v3-22-26-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_22_26"
librust-gtk+v3-22-27-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_22_27"
librust-gtk+v3-22-29-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_22_29"
librust-gtk+v3-22-30-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_22_30"
librust-gtk+v3-22-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_22"
librust-gtk+v3-24-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - feature "v3_24"
librust-gtk-0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk-0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+dox-dev
librust-gtk-0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+embed-lgpl-docs-dev
librust-gtk-0+fragile-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+fragile-dev
librust-gtk-0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+gtk-rs-lgpl-docs-dev
librust-gtk-0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+purge-lgpl-docs-dev
librust-gtk-0+subclassing-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+subclassing-dev
librust-gtk-0+v3-16-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-16-dev
librust-gtk-0+v3-18-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-18-dev
librust-gtk-0+v3-20-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-20-dev
librust-gtk-0+v3-22-20-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-20-dev
librust-gtk-0+v3-22-26-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-26-dev
librust-gtk-0+v3-22-27-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-27-dev
librust-gtk-0+v3-22-29-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-29-dev
librust-gtk-0+v3-22-30-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-30-dev
librust-gtk-0+v3-22-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-dev
librust-gtk-0+v3-24-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-24-dev
librust-gtk-0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk-0.7+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk-0.7+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+dox-dev
librust-gtk-0.7+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+embed-lgpl-docs-dev
librust-gtk-0.7+fragile-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+fragile-dev
librust-gtk-0.7+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+gtk-rs-lgpl-docs-dev
librust-gtk-0.7+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+purge-lgpl-docs-dev
librust-gtk-0.7+subclassing-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+subclassing-dev
librust-gtk-0.7+v3-16-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-16-dev
librust-gtk-0.7+v3-18-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-18-dev
librust-gtk-0.7+v3-20-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-20-dev
librust-gtk-0.7+v3-22-20-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-20-dev
librust-gtk-0.7+v3-22-26-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-26-dev
librust-gtk-0.7+v3-22-27-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-27-dev
librust-gtk-0.7+v3-22-29-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-29-dev
librust-gtk-0.7+v3-22-30-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-30-dev
librust-gtk-0.7+v3-22-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-dev
librust-gtk-0.7+v3-24-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-24-dev
librust-gtk-0.7-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk-0.7.0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk-0.7.0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+dox-dev
librust-gtk-0.7.0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+embed-lgpl-docs-dev
librust-gtk-0.7.0+fragile-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+fragile-dev
librust-gtk-0.7.0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+gtk-rs-lgpl-docs-dev
librust-gtk-0.7.0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+purge-lgpl-docs-dev
librust-gtk-0.7.0+subclassing-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+subclassing-dev
librust-gtk-0.7.0+v3-16-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-16-dev
librust-gtk-0.7.0+v3-18-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-18-dev
librust-gtk-0.7.0+v3-20-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-20-dev
librust-gtk-0.7.0+v3-22-20-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-20-dev
librust-gtk-0.7.0+v3-22-26-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-26-dev
librust-gtk-0.7.0+v3-22-27-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-27-dev
librust-gtk-0.7.0+v3-22-29-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-29-dev
librust-gtk-0.7.0+v3-22-30-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-30-dev
librust-gtk-0.7.0+v3-22-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-22-dev
librust-gtk-0.7.0+v3-24-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk+v3-24-dev
librust-gtk-0.7.0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-gtk-dev
librust-gtk-dev (0.7.0-1+b1)
Rust bindings for the GTK+ 3 library - Rust source code
librust-gtk-rs-lgpl-docs+default-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-0+default-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-0-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-0.1+default-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-0.1-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-0.1.12+default-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-0.1.12-dev (= 0.1.12-2)
本虛擬套件由這些套件填實: librust-gtk-rs-lgpl-docs-dev
librust-gtk-rs-lgpl-docs-dev (0.1.12-2)
LGPL-licensed docs for Gtk-rs crates - Rust source code
librust-gtk-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-22-26-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-22-27-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-22-29-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-22-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-22-6-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-22-26-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-22-27-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-22-29-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-22-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-22-6-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-22-26-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-22-27-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-22-29-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-22-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-22-6-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-16-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-18-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-20-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-22-26-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-22-27-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-22-29-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-22-30-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-22-6-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-22-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0+v3-24-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-gtk-sys-dev
librust-gtk-sys-dev (0.9.0-2)
FFI bindings to libgtk-3 - Rust source code
librust-gumdrop+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop+default-expr-dev (0.7.0-2)
Option parser with custom derive support - feature "default_expr"
librust-gumdrop-0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop-0+default-expr-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop+default-expr-dev
librust-gumdrop-0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop-0.7+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop-0.7+default-expr-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop+default-expr-dev
librust-gumdrop-0.7-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop-0.7.0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop-0.7.0+default-expr-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop+default-expr-dev
librust-gumdrop-0.7.0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-dev
librust-gumdrop-derive+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive+default-expr-dev (0.7.0-2)
Custom derive support for gumdrop - feature "default_expr"
librust-gumdrop-derive-0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive-0+default-expr-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive+default-expr-dev
librust-gumdrop-derive-0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive-0.7+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive-0.7+default-expr-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive+default-expr-dev
librust-gumdrop-derive-0.7-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive-0.7.0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive-0.7.0+default-expr-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive+default-expr-dev
librust-gumdrop-derive-0.7.0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-gumdrop-derive-dev
librust-gumdrop-derive-dev (0.7.0-2)
Custom derive support for gumdrop - Rust source code
librust-gumdrop-dev (0.7.0-2)
Option parser with custom derive support - Rust source code
librust-gzip-header+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-0+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-0-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-0.3+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-0.3-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-0.3.0+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-0.3.0-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-gzip-header-dev
librust-gzip-header-dev (0.3.0-1+b1)
Decoding and encoding the header part of gzip files - Rust source code
librust-half+alloc-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half+default-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half+serde-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half+serialize-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half+std-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half+use-intrinsics-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1+alloc-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1+default-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1+serde-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1+serialize-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1+std-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1+use-intrinsics-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6+alloc-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6+default-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6+serde-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6+serialize-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6+std-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6+use-intrinsics-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0+alloc-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0+default-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0+serde-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0+serialize-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0+std-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0+use-intrinsics-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-1.6.0-dev (= 1.6.0-2)
本虛擬套件由這些套件填實: librust-half-dev
librust-half-dev (1.6.0-2)
Half-precision floating point f16 and bf16 types for Rust implementing the IEEE 754-2008 standard binary16 and bfloat16 types - Rust source code
librust-harfbuzz-rs+build-native-freetype-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs+build-native-harfbuzz-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1+build-native-freetype-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1+build-native-harfbuzz-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2+build-native-freetype-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2+build-native-harfbuzz-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2.0+build-native-freetype-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2.0+build-native-harfbuzz-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2.0+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-1.2.0-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-harfbuzz-rs-dev
librust-harfbuzz-rs-dev (1.2.0-2)
High-level interface to HarfBuzz, exposing its most important functionality in a safe manner using Rust - Rust source code
librust-harfbuzz-sys+build-native-freetype-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys+build-native-harfbuzz-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys+cc-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys+default-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys+pkg-config-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0+build-native-freetype-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0+build-native-harfbuzz-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0+cc-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0+default-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0+pkg-config-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4+build-native-freetype-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4+build-native-harfbuzz-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4+cc-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4+default-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4+pkg-config-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4.1+build-native-freetype-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4.1+build-native-harfbuzz-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4.1+cc-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4.1+default-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4.1+pkg-config-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-0.4.1-dev (= 0.5.0+really.0.4.1-5)
本虛擬套件由這些套件填實: librust-harfbuzz-sys-dev
librust-harfbuzz-sys-dev (0.5.0+really.0.4.1-5)
Rust bindings to the HarfBuzz text shaping engine - Rust source code
librust-hash+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-0+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-0-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-0.3+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-0.3-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-0.3.0+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-0.3.0-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-hash-dev
librust-hash-dev (0.3.0-2)
Use the md5 package instead - Rust source code
librust-hashbrown+compiler-builtins-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+core-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+inline-more-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+nightly-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+raw-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+rayon-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+rustc-dep-of-std-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+rustc-internal-api-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown+serde-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+compiler-builtins-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+core-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+inline-more-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+nightly-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+raw-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+rayon-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+rustc-dep-of-std-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+rustc-internal-api-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0+serde-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+compiler-builtins-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+core-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+inline-more-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+nightly-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+raw-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+rayon-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+rustc-dep-of-std-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+rustc-internal-api-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9+serde-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+compiler-builtins-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+core-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+inline-more-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+nightly-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+raw-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+rayon-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+rustc-dep-of-std-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+rustc-internal-api-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1+serde-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-0.9.1-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-hashbrown-dev
librust-hashbrown-dev (0.9.1-2)
Rust port of Google's SwissTable hash map - Rust source code
librust-heapsize+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize+flexible-tests-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize+unstable-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0+flexible-tests-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0+unstable-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4+flexible-tests-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4+unstable-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4.2+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4.2+flexible-tests-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4.2+unstable-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-0.4.2-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-heapsize-dev
librust-heapsize-dev (0.4.2-1+b1)
Measure the total runtime size of an object on the heap - Rust source code
librust-heck+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-0+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-0-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-0.3+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-0.3-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-0.3.1+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-0.3.1-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-heck-dev
librust-heck-dev (0.3.1-1+b1)
Case conversion library - Rust source code
librust-hex+benchmarks-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0+benchmarks-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4+benchmarks-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4.0+benchmarks-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4.0+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-hex-dev
librust-hex-dev (0.4.0-1)
Encoding and decoding data into/from hexadecimal representation - Rust source code
librust-hex-literal+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-0+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-0-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-0.2+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-0.2-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-0.2.1+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-0.2.1-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-dev
librust-hex-literal-dev (0.2.1-2)
Procedural macro for converting hexadecimal string to byte array at compile time - Rust source code
librust-hex-literal-impl+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-0+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-0-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-0.2+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-0.2-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-0.2.1+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-0.2.1-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-hex-literal-impl-dev
librust-hex-literal-impl-dev (0.2.1-2)
Internal implementation of the hex-literal crate - Rust source code
librust-hexyl+default-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-0+default-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-0-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-0.8+default-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-0.8-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-0.8.0+default-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-0.8.0-dev (= 0.8.0-2+b1)
本虛擬套件由這些套件填實: librust-hexyl-dev
librust-hexyl-dev (0.8.0-2+b1)
Command-line hex viewer - Rust source code
librust-hidapi-sys+build-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys+static-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0+build-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0+static-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1+build-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1+static-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1.4+build-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1.4+static-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-hidapi-sys-dev
librust-hidapi-sys-dev (0.1.4-1+b1)
FFI bindings to hidapi - Rust source code
librust-hkdf+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf+std-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0+std-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0.10+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0.10+std-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0.10-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0.10.0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0.10.0+std-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-0.10.0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-hkdf-dev
librust-hkdf-dev (0.10.0-1)
HMAC-based Extract-and-Expand Key Derivation Function (HKDF) - Rust source code
librust-hmac+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0.10+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0.10+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0.10-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0.10.1+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0.10.1+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-0.10.1-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-hmac-dev
librust-hmac-dev (0.10.1-2)
Generic implementation of Hash-based Message Authentication Code (HMAC) - Rust source code
librust-home+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-0+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-0-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-0.5+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-0.5-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-0.5.1+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-0.5.1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-home-dev
librust-home-dev (0.5.1-1)
Shared definitions of home directories - Rust source code
librust-hostname+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname+set-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0+set-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0.3+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0.3+set-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0.3-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0.3.1+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0.3.1+set-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-0.3.1-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-hostname-dev
librust-hostname-dev (0.3.1-1)
Cross-platform system's host name functions - Rust source code
librust-html5ever+default-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-0+default-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-0-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-0.25+default-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-0.25-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-0.25.1+default-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-0.25.1-dev (= 0.25.1-1)
本虛擬套件由這些套件填實: librust-html5ever-dev
librust-html5ever-dev (0.25.1-1)
High-performance browser-grade HTML5 parser - Rust source code
librust-httparse+default-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse+std-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1+default-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1+std-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1.3+default-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1.3+std-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1.3-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1.3.3+default-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1.3.3+std-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-1.3.3-dev (= 1.3.3-1)
本虛擬套件由這些套件填實: librust-httparse-dev
librust-httparse-dev (1.3.3-1)
Tiny, safe, speedy, zero-copy HTTP/1.x parser - Rust source code
librust-humansize+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-1+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-1-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-1.1+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-1.1-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-1.1.0+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-1.1.0-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-humansize-dev
librust-humansize-dev (1.1.0-2)
Represent file sizes in a human-readable format - Rust source code
librust-humantime+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-2+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-2-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-2.0+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-2.0-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-2.0.0+default-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-2.0.0-dev (= 2.0.0-1)
本虛擬套件由這些套件填實: librust-humantime-dev
librust-humantime-dev (2.0.0-1)
Parser and formatter for std::time::{Duration, SystemTime} - Rust source code
librust-hyphenation+build-dictionaries-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation+embed-all-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "embed_all"
librust-hyphenation+nfc-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "nfc"
librust-hyphenation+nfd-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "nfd"
librust-hyphenation+nfkc-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "nfkc"
librust-hyphenation+nfkd-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "nfkd"
librust-hyphenation+pocket-resources-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "pocket-resources"
librust-hyphenation+unicode-normalization-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - feature "unicode-normalization"
librust-hyphenation-0+build-dictionaries-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0+embed-all-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+embed-all-dev
librust-hyphenation-0+nfc-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfc-dev
librust-hyphenation-0+nfd-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfd-dev
librust-hyphenation-0+nfkc-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfkc-dev
librust-hyphenation-0+nfkd-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfkd-dev
librust-hyphenation-0+pocket-resources-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+pocket-resources-dev
librust-hyphenation-0+unicode-normalization-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+unicode-normalization-dev
librust-hyphenation-0-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0.7+build-dictionaries-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0.7+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0.7+embed-all-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+embed-all-dev
librust-hyphenation-0.7+nfc-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfc-dev
librust-hyphenation-0.7+nfd-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfd-dev
librust-hyphenation-0.7+nfkc-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfkc-dev
librust-hyphenation-0.7+nfkd-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfkd-dev
librust-hyphenation-0.7+pocket-resources-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+pocket-resources-dev
librust-hyphenation-0.7+unicode-normalization-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+unicode-normalization-dev
librust-hyphenation-0.7-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0.7.1+build-dictionaries-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0.7.1+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-0.7.1+embed-all-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+embed-all-dev
librust-hyphenation-0.7.1+nfc-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfc-dev
librust-hyphenation-0.7.1+nfd-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfd-dev
librust-hyphenation-0.7.1+nfkc-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfkc-dev
librust-hyphenation-0.7.1+nfkd-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+nfkd-dev
librust-hyphenation-0.7.1+pocket-resources-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+pocket-resources-dev
librust-hyphenation-0.7.1+unicode-normalization-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation+unicode-normalization-dev
librust-hyphenation-0.7.1-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-dev
librust-hyphenation-commons+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-0+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-0-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-0.7+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-0.7-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-0.7.1+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-0.7.1-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-hyphenation-commons-dev
librust-hyphenation-commons-dev (0.7.1-1+b1)
Proemial code for the `hyphenation` library - Rust source code
librust-hyphenation-dev (0.7.1-1+b1)
Knuth-Liang hyphenation for a variety of languages - Rust source code
librust-ident-case+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-1.0+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-1.0-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-1.0.1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-1.0.1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-ident-case-dev
librust-ident-case-dev (1.0.1-1)
Utility for applying case rules to Rust identifiers - Rust source code
librust-idna+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-idna-dev
librust-idna-dev (0.2.0-1)
IDNA (Internationalizing Domain Names in Applications) and Punycode - Rust source code
librust-ignore+default-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore+simd-accel-dev (0.4.16-2)
Fast library for matching ignore files like .gitignore against file paths - feature "simd-accel"
librust-ignore-0+default-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore-0+simd-accel-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore+simd-accel-dev
librust-ignore-0-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore-0.4+default-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore-0.4+simd-accel-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore+simd-accel-dev
librust-ignore-0.4-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore-0.4.16+default-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore-0.4.16+simd-accel-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore+simd-accel-dev
librust-ignore-0.4.16-dev (= 0.4.16-2)
本虛擬套件由這些套件填實: librust-ignore-dev
librust-ignore-dev (0.4.16-2)
Fast library for matching ignore files like .gitignore against file paths - Rust source code
librust-im-rc+default-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc+proptest-dev (14.3.0-1)
Immutable collection datatypes (the fast but not thread safe version) - feature "proptest"
librust-im-rc+quickcheck-dev (14.3.0-1)
Immutable collection datatypes (the fast but not thread safe version) - feature "quickcheck"
librust-im-rc+rayon-dev (14.3.0-1)
Immutable collection datatypes (the fast but not thread safe version) - feature "rayon"
librust-im-rc+serde-dev (14.3.0-1)
Immutable collection datatypes (the fast but not thread safe version) - feature "serde"
librust-im-rc-14+default-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc-14+proptest-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+proptest-dev
librust-im-rc-14+quickcheck-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+quickcheck-dev
librust-im-rc-14+rayon-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+rayon-dev
librust-im-rc-14+serde-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+serde-dev
librust-im-rc-14-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc-14.3+default-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc-14.3+proptest-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+proptest-dev
librust-im-rc-14.3+quickcheck-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+quickcheck-dev
librust-im-rc-14.3+rayon-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+rayon-dev
librust-im-rc-14.3+serde-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+serde-dev
librust-im-rc-14.3-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc-14.3.0+default-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc-14.3.0+proptest-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+proptest-dev
librust-im-rc-14.3.0+quickcheck-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+quickcheck-dev
librust-im-rc-14.3.0+rayon-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+rayon-dev
librust-im-rc-14.3.0+serde-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc+serde-dev
librust-im-rc-14.3.0-dev (= 14.3.0-1)
本虛擬套件由這些套件填實: librust-im-rc-dev
librust-im-rc-dev (14.3.0-1)
Immutable collection datatypes (the fast but not thread safe version) - Rust source code
librust-include-dir-impl+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-include-dir-impl-dev
librust-include-dir-impl-dev (0.5.0-1)
Implementation crate for include_dir - Rust source code
librust-indexmap+default-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap+rayon-dev (1.3.2-1)
Hash table with consistent order and fast iteration - feature "rayon"
librust-indexmap+serde-1-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap+serde-dev (1.3.2-1)
Hash table with consistent order and fast iteration - feature "serde" and 1 more
librust-indexmap+test-debug-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap+test-low-transition-point-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1+default-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1+rayon-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+rayon-dev
librust-indexmap-1+serde-1-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap-1+serde-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap-1+test-debug-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1+test-low-transition-point-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3+default-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3+rayon-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+rayon-dev
librust-indexmap-1.3+serde-1-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap-1.3+serde-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap-1.3+test-debug-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3+test-low-transition-point-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3.2+default-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3.2+rayon-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+rayon-dev
librust-indexmap-1.3.2+serde-1-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap-1.3.2+serde-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap+serde-dev
librust-indexmap-1.3.2+test-debug-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3.2+test-low-transition-point-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-1.3.2-dev (= 1.3.2-1)
本虛擬套件由這些套件填實: librust-indexmap-dev
librust-indexmap-dev (1.3.2-1)
Hash table with consistent order and fast iteration - Rust source code
librust-indicatif+default-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif+rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif+with-rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0+default-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0+rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0+with-rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15+default-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15+rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15+with-rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15.0+default-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15.0+rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15.0+with-rayon-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-0.15.0-dev (= 0.15.0-3)
本虛擬套件由這些套件填實: librust-indicatif-dev
librust-indicatif-dev (0.15.0-3)
Progress bar and cli reporting library for Rust - Rust source code
librust-inflate+default-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate+unstable-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0+default-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0+unstable-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0.4+default-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0.4+unstable-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0.4-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0.4.5+default-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0.4.5+unstable-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-0.4.5-dev (= 0.4.5-2)
本虛擬套件由這些套件填實: librust-inflate-dev
librust-inflate-dev (0.4.5-2)
DEFLATE decoding - Rust source code
librust-intervaltree+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree+std-dev (0.2.4-2)
Simple and generic implementation of an immutable interval tree - feature "std" and 1 more
librust-intervaltree-0+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree-0+std-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree-0-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree-dev
librust-intervaltree-0.2+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree-0.2+std-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree-0.2-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree-dev
librust-intervaltree-0.2.4+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree-0.2.4+std-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree+std-dev
librust-intervaltree-0.2.4-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-intervaltree-dev
librust-intervaltree-dev (0.2.4-2)
Simple and generic implementation of an immutable interval tree - Rust source code
librust-iovec+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-iovec-dev
librust-iovec-dev (0.1.2-1+b1)
Portable buffer type for scatter/gather I/O operations - Rust source code
librust-ipconfig+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-0+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-0-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-0.2+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-0.2-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-0.2.1+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-0.2.1-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-ipconfig-dev
librust-ipconfig-dev (0.2.1-2)
Network adapters and network configuration for windows - Rust source code
librust-ipnet+default-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet+serde-dev (2.2.0-1+b1)
types and methods for working with IP network addresses - feature "serde"
librust-ipnet-2+default-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet-2+serde-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet+serde-dev
librust-ipnet-2-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet-2.2+default-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet-2.2+serde-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet+serde-dev
librust-ipnet-2.2-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet-2.2.0+default-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet-2.2.0+serde-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet+serde-dev
librust-ipnet-2.2.0-dev (= 2.2.0-1+b1)
本虛擬套件由這些套件填實: librust-ipnet-dev
librust-ipnet-dev (2.2.0-1+b1)
types and methods for working with IP network addresses - Rust source code
librust-ipnetwork+default-dev
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork+serde-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0+default-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0+serde-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0.17+default-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0.17+serde-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0.17-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0.17.0+default-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0.17.0+serde-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-0.17.0-dev (= 0.17.0-1)
本虛擬套件由這些套件填實: librust-ipnetwork-dev
librust-ipnetwork-dev (0.17.0-1)
Work with IP CIDRs in Rust - Rust source code
librust-is-match+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-0.1+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-0.1-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-0.1.0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-0.1.0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-is-match-dev
librust-is-match-dev (0.1.0-1+b1)
Check whether something matches something else - Rust source code
librust-iso8601+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-iso8601-dev
librust-iso8601-dev (0.3.0-1)
Parsing ISO8601 dates using nom - Rust source code
librust-itertools+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools+use-std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0+use-std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0.9+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0.9+use-std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0.9-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0.9.0+default-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0.9.0+use-std-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-0.9.0-dev (= 0.9.0-1)
本虛擬套件由這些套件填實: librust-itertools-dev
librust-itertools-dev (0.9.0-1)
Extra iterator adaptors, iterator methods, free functions, and macros - Rust source code
librust-itoa+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa+i128-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa+std-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0+i128-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0+std-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4+i128-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4+std-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4.3+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4.3+i128-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4.3+std-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-0.4.3-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-itoa-dev
librust-itoa-dev (0.4.3-1)
Fast functions printing integer primitives to io::Write - Rust source code
librust-jobserver+default-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-0+default-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-0-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-0.1+default-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-0.1-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-0.1.21+default-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-0.1.21-dev (= 0.1.21-1)
本虛擬套件由這些套件填實: librust-jobserver-dev
librust-jobserver-dev (0.1.21-1)
The GNU make jobserver for Rust - Rust source code
librust-jpeg-decoder+default-dev (0.1.15-2)
JPEG decoder - feature "default"
librust-jpeg-decoder+rayon-dev (0.1.15-2)
JPEG decoder - feature "rayon"
librust-jpeg-decoder-0+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder+default-dev
librust-jpeg-decoder-0+rayon-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder+rayon-dev
librust-jpeg-decoder-0-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder-dev
librust-jpeg-decoder-0.1+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder+default-dev
librust-jpeg-decoder-0.1+rayon-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder+rayon-dev
librust-jpeg-decoder-0.1-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder-dev
librust-jpeg-decoder-0.1.15+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder+default-dev
librust-jpeg-decoder-0.1.15+rayon-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder+rayon-dev
librust-jpeg-decoder-0.1.15-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-jpeg-decoder-dev
librust-jpeg-decoder-dev (0.1.15-2)
JPEG decoder - Rust source code
librust-json+default-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-0+default-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-0-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-0.12+default-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-0.12-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-0.12.0+default-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-0.12.0-dev (= 0.12.0-2)
本虛擬套件由這些套件填實: librust-json-dev
librust-json-dev (0.12.0-2)
JSON implementation in Rust - Rust source code
librust-keccak+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak+no-unroll-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0+no-unroll-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0.1+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0.1+no-unroll-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0.1-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0.1.0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0.1.0+no-unroll-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-0.1.0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-keccak-dev
librust-keccak-dev (0.1.0-1+b1)
Keccak-f sponge function - Rust source code
librust-kstring+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-kstring-dev
librust-kstring-dev (1.0.0-1+b1)
Key String: optimized for map keys - Rust source code
librust-kurbo+default-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo+mint-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo+serde-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0+default-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0+mint-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0+serde-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7+default-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7+mint-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7+serde-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7.1+default-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7.1+mint-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7.1+serde-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-0.7.1-dev (= 0.7.1-3)
本虛擬套件由這些套件填實: librust-kurbo-dev
librust-kurbo-dev (0.7.1-3)
2D curves library - Rust source code
librust-kvm-bindings+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings+kvm-v4-14-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings+kvm-v4-20-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0+kvm-v4-14-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0+kvm-v4-20-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1+kvm-v4-14-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1+kvm-v4-20-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1.1+kvm-v4-14-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1.1+kvm-v4-20-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-kvm-bindings-dev
librust-kvm-bindings-dev (0.1.1-1+b1)
Rust FFI bindings to KVM generated using bindgen - Rust source code
librust-kvm-ioctls+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-kvm-ioctls-dev
librust-kvm-ioctls-dev (0.2.0-1+b1)
Safe wrappers over KVM ioctls - Rust source code
librust-lalrpop+default-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop+test-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0+default-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0+test-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0.17+default-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0.17+test-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0.17-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0.17.2+default-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0.17.2+test-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-0.17.2-dev (= 0.17.2-7+b1)
本虛擬套件由這些套件填實: librust-lalrpop-dev
librust-lalrpop-dev (0.17.2-7+b1)
Convenient LR(1) parser generator - Rust source code
librust-lalrpop-util+default-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-0+default-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-0-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-0.17+default-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-0.17-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-0.17.2+default-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-0.17.2-dev (= 0.17.2-1)
本虛擬套件由這些套件填實: librust-lalrpop-util-dev
librust-lalrpop-util-dev (0.17.2-1)
Runtime library for parsers generated by LALRPOP - Rust source code
librust-language-tags+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-0+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-0-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-0.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-0.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-0.2.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-0.2.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-language-tags-dev
librust-language-tags-dev (0.2.2-2)
Language tags for Rust - Rust source code
librust-lazy-static+default-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static+spin-dev (1.4.0-1)
Macro for declaring lazily evaluated statics - feature "spin" and 1 more
librust-lazy-static+spin-no-std-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1+default-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static-1+spin-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1+spin-no-std-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static-1.4+default-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static-1.4+spin-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1.4+spin-no-std-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1.4-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static-1.4.0+default-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static-1.4.0+spin-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1.4.0+spin-no-std-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static+spin-dev
librust-lazy-static-1.4.0-dev (= 1.4.0-1)
本虛擬套件由這些套件填實: librust-lazy-static-dev
librust-lazy-static-dev (1.4.0-1)
Macro for declaring lazily evaluated statics - Rust source code
librust-lazycell+default-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell+nightly-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell+serde-dev (1.3.0-3)
Library providing a lazily filled Cell struct - feature "serde"
librust-lazycell-1+default-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1+nightly-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1+serde-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell+serde-dev
librust-lazycell-1-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1.3+default-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1.3+nightly-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1.3+serde-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell+serde-dev
librust-lazycell-1.3-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1.3.0+default-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1.3.0+nightly-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-1.3.0+serde-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell+serde-dev
librust-lazycell-1.3.0-dev (= 1.3.0-3)
本虛擬套件由這些套件填實: librust-lazycell-dev
librust-lazycell-dev (1.3.0-3)
Library providing a lazily filled Cell struct - Rust source code
librust-lewton+async-ogg-dev (0.9.4-3)
Pure Rust vorbis decoder - feature "async_ogg"
librust-lewton+default-dev (0.9.4-3)
Pure Rust vorbis decoder - feature "default" and 1 more
librust-lewton+futures-dev (0.9.4-3)
Pure Rust vorbis decoder - feature "futures"
librust-lewton+ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton+tokio-io-dev (0.9.4-3)
Pure Rust vorbis decoder - feature "tokio-io"
librust-lewton-0+async-ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+async-ogg-dev
librust-lewton-0+default-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton-0+futures-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+futures-dev
librust-lewton-0+ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton-0+tokio-io-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+tokio-io-dev
librust-lewton-0-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton-dev
librust-lewton-0.9+async-ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+async-ogg-dev
librust-lewton-0.9+default-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton-0.9+futures-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+futures-dev
librust-lewton-0.9+ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton-0.9+tokio-io-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+tokio-io-dev
librust-lewton-0.9-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton-dev
librust-lewton-0.9.4+async-ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+async-ogg-dev
librust-lewton-0.9.4+default-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton-0.9.4+futures-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+futures-dev
librust-lewton-0.9.4+ogg-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+default-dev
librust-lewton-0.9.4+tokio-io-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton+tokio-io-dev
librust-lewton-0.9.4-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-lewton-dev
librust-lewton-dev (0.9.4-3)
Pure Rust vorbis decoder - Rust source code
librust-lexical-core+correct-dev (0.4.3-2)
Lexical, to- and from-string conversion routines - feature "correct"
librust-lexical-core+default-dev (0.4.3-2)
Lexical, to- and from-string conversion routines - feature "default"
librust-lexical-core+dtoa-dev (0.4.3-2)
Lexical, to- and from-string conversion routines - feature "dtoa" and 1 more
librust-lexical-core+grisu3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core+radix-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core+rounding-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core+ryu-dev (0.4.3-2)
Lexical, to- and from-string conversion routines - feature "ryu"
librust-lexical-core+stackvector-dev (0.4.3-2)
Lexical, to- and from-string conversion routines - feature "stackvector"
librust-lexical-core+std-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core+table-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core+trim-floats-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core+unchecked-index-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0+correct-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+correct-dev
librust-lexical-core-0+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+default-dev
librust-lexical-core-0+dtoa-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core-0+grisu3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core-0+radix-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0+rounding-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0+ryu-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+ryu-dev
librust-lexical-core-0+stackvector-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+stackvector-dev
librust-lexical-core-0+std-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0+table-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0+trim-floats-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0+unchecked-index-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4+correct-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+correct-dev
librust-lexical-core-0.4+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+default-dev
librust-lexical-core-0.4+dtoa-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core-0.4+grisu3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core-0.4+radix-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4+rounding-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4+ryu-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+ryu-dev
librust-lexical-core-0.4+stackvector-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+stackvector-dev
librust-lexical-core-0.4+std-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4+table-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4+trim-floats-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4+unchecked-index-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3+correct-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+correct-dev
librust-lexical-core-0.4.3+default-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+default-dev
librust-lexical-core-0.4.3+dtoa-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core-0.4.3+grisu3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+dtoa-dev
librust-lexical-core-0.4.3+radix-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3+rounding-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3+ryu-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+ryu-dev
librust-lexical-core-0.4.3+stackvector-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core+stackvector-dev
librust-lexical-core-0.4.3+std-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3+table-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3+trim-floats-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3+unchecked-index-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-0.4.3-dev (= 0.4.3-2)
本虛擬套件由這些套件填實: librust-lexical-core-dev
librust-lexical-core-dev (0.4.3-2)
Lexical, to- and from-string conversion routines - Rust source code
librust-libc+align-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc+const-extern-fn-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc+default-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc+extra-traits-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc+rustc-dep-of-std-dev (0.2.80-1)
Rust bindings to libc - feature "rustc-dep-of-std"
librust-libc+rustc-std-workspace-core-dev (0.2.80-1)
Rust bindings to libc - feature "rustc-std-workspace-core"
librust-libc+std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc+use-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0+align-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0+const-extern-fn-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0+default-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0+extra-traits-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0+rustc-dep-of-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc+rustc-dep-of-std-dev
librust-libc-0+rustc-std-workspace-core-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc+rustc-std-workspace-core-dev
librust-libc-0+std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0+use-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2+align-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2+const-extern-fn-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2+default-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2+extra-traits-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2+rustc-dep-of-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc+rustc-dep-of-std-dev
librust-libc-0.2+rustc-std-workspace-core-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc+rustc-std-workspace-core-dev
librust-libc-0.2+std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2+use-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80+align-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80+const-extern-fn-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80+default-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80+extra-traits-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80+rustc-dep-of-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc+rustc-dep-of-std-dev
librust-libc-0.2.80+rustc-std-workspace-core-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc+rustc-std-workspace-core-dev
librust-libc-0.2.80+std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80+use-std-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-0.2.80-dev (= 0.2.80-1)
本虛擬套件由這些套件填實: librust-libc-dev
librust-libc-dev (0.2.80-1)
Rust bindings to libc - Rust source code
librust-libc-print+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-0+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-0-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-0.1+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-0.1-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-0.1.14+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-0.1.14-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-libc-print-dev
librust-libc-print-dev (0.1.14-2)
println! and eprintln! macros suitable for #![no_std] - Rust source code
librust-libdbus-sys+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-0+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-0-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-0.2+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-0.2-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-0.2.1+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-0.2.1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-libdbus-sys-dev
librust-libdbus-sys-dev (0.2.1-1)
FFI bindings to libdbus - Rust source code
librust-libflate+default-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-0+default-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-0-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-0.1+default-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-0.1-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-0.1.25+default-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-0.1.25-dev (= 0.1.25-1)
本虛擬套件由這些套件填實: librust-libflate-dev
librust-libflate-dev (0.1.25-1)
DEFLATE algorithm and related formats (ZLIB, GZIP) - Rust source code
librust-libgit2-sys+default-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys+https-dev (0.12.13-1)
Native bindings to the libgit2 library - feature "https" and 1 more
librust-libgit2-sys+libssh2-sys-dev (0.12.13-1)
Native bindings to the libgit2 library - feature "libssh2-sys" and 1 more
librust-libgit2-sys+openssl-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys+ssh-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys+ssh-key-from-memory-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0+default-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0+https-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys-0+libssh2-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys-0+openssl-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys-0+ssh-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys-0+ssh-key-from-memory-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0.12+default-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0.12+https-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys-0.12+libssh2-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys-0.12+openssl-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys-0.12+ssh-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys-0.12+ssh-key-from-memory-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0.12-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0.12.13+default-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0.12.13+https-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys-0.12.13+libssh2-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys-0.12.13+openssl-sys-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+https-dev
librust-libgit2-sys-0.12.13+ssh-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys+libssh2-sys-dev
librust-libgit2-sys-0.12.13+ssh-key-from-memory-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-0.12.13-dev (= 0.12.13-1)
本虛擬套件由這些套件填實: librust-libgit2-sys-dev
librust-libgit2-sys-dev (0.12.13-1)
Native bindings to the libgit2 library - Rust source code
librust-libgpg-error-sys+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-0+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-0-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-0.5+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-0.5-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-0.5.1+default-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-0.5.1-dev (= 0.5.1-2)
本虛擬套件由這些套件填實: librust-libgpg-error-sys-dev
librust-libgpg-error-sys-dev (0.5.1-2)
Raw bindings for libgpg-error - Rust source code
librust-libloading+default-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-0+default-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-0-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-0.6+default-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-0.6-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-0.6.3+default-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-0.6.3-dev (= 0.6.3-1)
本虛擬套件由這些套件填實: librust-libloading-dev
librust-libloading-dev (0.6.3-1)
Safer binding to dynamic library loading utilities - Rust source code
librust-libm+default-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-0+default-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-0-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-0.2+default-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-0.2-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-0.2.1+default-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-0.2.1-dev (= 0.2.1-3)
本虛擬套件由這些套件填實: librust-libm-dev
librust-libm-dev (0.2.1-3)
Libm in pure Rust - Rust source code
librust-libmount+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-0+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-0-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-0.1+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-0.1-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-0.1.15+default-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-0.1.15-dev (= 0.1.15-2)
本虛擬套件由這些套件填實: librust-libmount-dev
librust-libmount-dev (0.1.15-2)
Type-safe wrapper around mount system call - Rust source code
librust-libnghttp2-sys+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-0+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-0-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-0.1+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-0.1-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-0.1.3+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-0.1.3-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-libnghttp2-sys-dev
librust-libnghttp2-sys-dev (0.1.3-1)
FFI bindings for libnghttp2 (nghttp2) - Rust source code
librust-libslirp+default-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+enumflags2-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+ipnetwork-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+lazy-static-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+libc-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+libsystemd-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+mio-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+mio-extras-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+nix-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+slab-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+structopt-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+url-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+zbus-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp+zvariant-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+default-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+enumflags2-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+ipnetwork-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+lazy-static-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+libc-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+libsystemd-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+mio-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+mio-extras-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+nix-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+slab-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+structopt-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+url-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+zbus-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4+zvariant-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+default-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+enumflags2-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+ipnetwork-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+lazy-static-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+libc-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+libsystemd-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+mio-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+mio-extras-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+nix-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+slab-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+structopt-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+url-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+zbus-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3+zvariant-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+default-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+enumflags2-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+ipnetwork-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+lazy-static-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+libc-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+libsystemd-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+mio-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+mio-extras-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+nix-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+slab-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+structopt-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+url-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+zbus-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0+zvariant-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-4.3.0-dev (= 4.3.0-3)
本虛擬套件由這些套件填實: librust-libslirp-dev
librust-libslirp-dev (4.3.0-3)
high-level Rust bindings for libslirp
librust-libslirp-sys+default-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-4+default-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-4-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-4.2+default-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-4.2-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-4.2.0+default-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-4.2.0-dev (= 4.2.0-1)
本虛擬套件由這些套件填實: librust-libslirp-sys-dev
librust-libslirp-sys-dev (4.2.0-1)
FFI bindings for libslirp - Rust source code
librust-libssh2-sys+default-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys+vendored-openssl-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0+default-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0+vendored-openssl-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0.2+default-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0.2+vendored-openssl-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0.2-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0.2.20+default-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0.2.20+vendored-openssl-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-0.2.20-dev (= 0.2.20-1)
本虛擬套件由這些套件填實: librust-libssh2-sys-dev
librust-libssh2-sys-dev (0.2.20-1)
Native bindings to the libssh2 library - Rust source code
librust-libsystemd+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-0+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-0-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-0.2+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-0.2-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-0.2.1+default-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-0.2.1-dev (= 0.2.1-2)
本虛擬套件由這些套件填實: librust-libsystemd-dev
librust-libsystemd-dev (0.2.1-2)
Pure-Rust client library to interact with systemd - Rust source code
librust-libudev-sys+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-libudev-sys-dev
librust-libudev-sys-dev (0.1.4-1+b1)
FFI bindings to libudev - Rust source code
librust-libz-sys+asm-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys+cmake-dev (1.1.2-3)
Low-level bindings to the system libz library (also known as zlib) - feature "cmake"
librust-libz-sys+default-dev (1.1.2-3)
Low-level bindings to the system libz library (also known as zlib) - feature "default"
librust-libz-sys+libc-dev (1.1.2-3)
Low-level bindings to the system libz library (also known as zlib) - feature "libc"
librust-libz-sys+static-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys+stock-zlib-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys+zlib-ng-dev (1.1.2-3)
Low-level bindings to the system libz library (also known as zlib) - feature "zlib-ng"
librust-libz-sys-1+asm-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1+cmake-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+cmake-dev
librust-libz-sys-1+default-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+default-dev
librust-libz-sys-1+libc-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+libc-dev
librust-libz-sys-1+static-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1+stock-zlib-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1+zlib-ng-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+zlib-ng-dev
librust-libz-sys-1-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1+asm-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1+cmake-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+cmake-dev
librust-libz-sys-1.1+default-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+default-dev
librust-libz-sys-1.1+libc-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+libc-dev
librust-libz-sys-1.1+static-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1+stock-zlib-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1+zlib-ng-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+zlib-ng-dev
librust-libz-sys-1.1-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1.2+asm-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1.2+cmake-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+cmake-dev
librust-libz-sys-1.1.2+default-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+default-dev
librust-libz-sys-1.1.2+libc-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+libc-dev
librust-libz-sys-1.1.2+static-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1.2+stock-zlib-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-1.1.2+zlib-ng-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys+zlib-ng-dev
librust-libz-sys-1.1.2-dev (= 1.1.2-3)
本虛擬套件由這些套件填實: librust-libz-sys-dev
librust-libz-sys-dev (1.1.2-3)
Low-level bindings to the system libz library (also known as zlib) - Rust source code
librust-line-wrap+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-line-wrap-dev
librust-line-wrap-dev (0.1.1-1+b1)
Efficiently insert line separators - Rust source code
librust-linear-map+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map+nightly-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map+serde-dev (1.2.0-2)
Map implemented by searching linearly in a vector - feature "serde"
librust-linear-map+serde-impl-dev (1.2.0-2)
Map implemented by searching linearly in a vector - feature "serde_impl"
librust-linear-map+serde-test-dev (1.2.0-2)
Map implemented by searching linearly in a vector - feature "serde_test"
librust-linear-map-1+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1+nightly-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1+serde-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-dev
librust-linear-map-1+serde-impl-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-impl-dev
librust-linear-map-1+serde-test-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-test-dev
librust-linear-map-1-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1.2+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1.2+nightly-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1.2+serde-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-dev
librust-linear-map-1.2+serde-impl-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-impl-dev
librust-linear-map-1.2+serde-test-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-test-dev
librust-linear-map-1.2-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1.2.0+default-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1.2.0+nightly-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-1.2.0+serde-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-dev
librust-linear-map-1.2.0+serde-impl-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-impl-dev
librust-linear-map-1.2.0+serde-test-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map+serde-test-dev
librust-linear-map-1.2.0-dev (= 1.2.0-2)
本虛擬套件由這些套件填實: librust-linear-map-dev
librust-linear-map-dev (1.2.0-2)
Map implemented by searching linearly in a vector - Rust source code
librust-linked-hash-map+default-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map+heapsize-dev (0.5.4-1)
HashMap that holds key-value pairs in insertion order - feature "heapsize" and 1 more
librust-linked-hash-map+heapsize-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map+nightly-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map+serde-dev (0.5.4-1)
HashMap that holds key-value pairs in insertion order - feature "serde"
librust-linked-hash-map+serde-impl-dev (0.5.4-1)
HashMap that holds key-value pairs in insertion order - feature "serde_impl"
librust-linked-hash-map+serde-test-dev (0.5.4-1)
HashMap that holds key-value pairs in insertion order - feature "serde_test"
librust-linked-hash-map-0+default-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0+heapsize-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map-0+heapsize-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map-0+nightly-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0+serde-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-dev
librust-linked-hash-map-0+serde-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-impl-dev
librust-linked-hash-map-0+serde-test-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-test-dev
librust-linked-hash-map-0-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0.5+default-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0.5+heapsize-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map-0.5+heapsize-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map-0.5+nightly-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0.5+serde-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-dev
librust-linked-hash-map-0.5+serde-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-impl-dev
librust-linked-hash-map-0.5+serde-test-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-test-dev
librust-linked-hash-map-0.5-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0.5.4+default-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0.5.4+heapsize-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map-0.5.4+heapsize-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+heapsize-dev
librust-linked-hash-map-0.5.4+nightly-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-0.5.4+serde-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-dev
librust-linked-hash-map-0.5.4+serde-impl-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-impl-dev
librust-linked-hash-map-0.5.4+serde-test-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map+serde-test-dev
librust-linked-hash-map-0.5.4-dev (= 0.5.4-1)
本虛擬套件由這些套件填實: librust-linked-hash-map-dev
librust-linked-hash-map-dev (0.5.4-1)
HashMap that holds key-value pairs in insertion order - Rust source code
librust-linkify+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-0.4+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-0.4-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-0.4.0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-0.4.0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-linkify-dev
librust-linkify-dev (0.4.0-1+b1)
Finds URLs and email addresses in plain text - Rust source code
librust-listenfd+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-0+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-0-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-0.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-0.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-0.3.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-0.3.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-listenfd-dev
librust-listenfd-dev (0.3.3-2)
Simple library to work with listenfds passed from the outside (systemd/catflap socket activation) - Rust source code
librust-lmdb+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-0+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-0-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-0.8+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-0.8-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-0.8.0+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-0.8.0-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-dev
librust-lmdb-dev (0.8.0-1+b1)
Idiomatic and safe LMDB wrapper - Rust source code
librust-lmdb-sys+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-0+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-0-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-0.8+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-0.8-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-0.8.0+default-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-0.8.0-dev (= 0.8.0-1+b1)
本虛擬套件由這些套件填實: librust-lmdb-sys-dev
librust-lmdb-sys-dev (0.8.0-1+b1)
Rust bindings for liblmdb - Rust source code
librust-locale+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-0+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-0-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-0.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-0.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-0.2.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-0.2.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-locale-dev
librust-locale-config+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-0+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-0-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-0.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-0.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-0.2.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-0.2.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-locale-config-dev
librust-locale-config-dev (0.2.2-2)
Maintains locale preferences for process and thread and initialises them by inspecting the system for user preference - Rust source code
librust-locale-dev (0.2.2-1+b1)
Basic localisation - Rust source code
librust-lock-api+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api+nightly-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api+nightly-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api+owning-ref-dev (0.3.4-1)
Wrappers to create fully-featured Mutex and RwLock types - feature "owning_ref"
librust-lock-api+owning-ref-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1+owning-ref-dev
librust-lock-api+serde-dev (0.3.4-1)
Wrappers to create fully-featured Mutex and RwLock types - feature "serde"
librust-lock-api-0+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0+nightly-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0+nightly-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0+owning-ref-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1+owning-ref-dev
librust-lock-api-0+owning-ref-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api+owning-ref-dev
librust-lock-api-0+serde-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api+serde-dev
librust-lock-api-0-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0.1+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0.1+nightly-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0.1+owning-ref-dev (0.1.5-1+b1)
Wrappers to create fully-featured Mutex and RwLock types - feature "owning_ref"
librust-lock-api-0.1-dev (0.1.5-1+b1)
Wrappers to create fully-featured Mutex and RwLock types - Rust source code
librust-lock-api-0.1.5+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0.1.5+nightly-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0.1.5+owning-ref-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1+owning-ref-dev
librust-lock-api-0.1.5-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-lock-api-0.3+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0.3+nightly-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0.3+owning-ref-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api+owning-ref-dev
librust-lock-api-0.3+serde-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api+serde-dev
librust-lock-api-0.3-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0.3.4+default-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0.3.4+nightly-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-0.3.4+owning-ref-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api+owning-ref-dev
librust-lock-api-0.3.4+serde-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api+serde-dev
librust-lock-api-0.3.4-dev (= 0.3.4-1)
本虛擬套件由這些套件填實: librust-lock-api-dev
librust-lock-api-dev (0.3.4-1)
Wrappers to create fully-featured Mutex and RwLock types - Rust source code
librust-lock-api-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-lock-api-0.1-dev
librust-log+default-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+kv-unstable-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+kv-unstable-sval-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+release-max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+release-max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+release-max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+release-max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+release-max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+release-max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log+serde-dev (0.4.11-2)
Lightweight logging facade for Rust - feature "serde"
librust-log+std-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+default-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+kv-unstable-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+kv-unstable-sval-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+release-max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+release-max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+release-max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+release-max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+release-max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+release-max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0+serde-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log+serde-dev
librust-log-0+std-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+default-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+kv-unstable-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+kv-unstable-sval-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+release-max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+release-max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+release-max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+release-max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+release-max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+release-max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4+serde-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log+serde-dev
librust-log-0.4+std-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+default-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+kv-unstable-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+kv-unstable-sval-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+release-max-level-debug-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+release-max-level-error-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+release-max-level-info-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+release-max-level-off-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+release-max-level-trace-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+release-max-level-warn-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11+serde-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log+serde-dev
librust-log-0.4.11+std-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-0.4.11-dev (= 0.4.11-2)
本虛擬套件由這些套件填實: librust-log-dev
librust-log-dev (0.4.11-2)
Lightweight logging facade for Rust - Rust source code
librust-log-reroute+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-0+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-0-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-0.1+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-0.1-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-0.1.5+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-0.1.5-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-log-reroute-dev
librust-log-reroute-dev (0.1.5-2)
Support to change logging target for the log crate - Rust source code
librust-loggerv+default-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-0+default-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-0-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-0.7+default-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-0.7-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-0.7.2+default-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-0.7.2-dev (= 0.7.2-1+b1)
本虛擬套件由這些套件填實: librust-loggerv-dev
librust-loggerv-dev (0.7.2-1+b1)
Logging implementation with stdout/stderr color support
librust-lru-cache+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache+heapsize-dev (0.1.2-1+b1)
Cache that holds a limited number of key-value pairs - feature "heapsize"
librust-lru-cache+heapsize-impl-dev (0.1.2-1+b1)
Cache that holds a limited number of key-value pairs - feature "heapsize_impl"
librust-lru-cache-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache-0+heapsize-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache+heapsize-dev
librust-lru-cache-0+heapsize-impl-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache+heapsize-impl-dev
librust-lru-cache-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache-0.1+heapsize-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache+heapsize-dev
librust-lru-cache-0.1+heapsize-impl-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache+heapsize-impl-dev
librust-lru-cache-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache-0.1.2+heapsize-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache+heapsize-dev
librust-lru-cache-0.1.2+heapsize-impl-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache+heapsize-impl-dev
librust-lru-cache-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lru-cache-dev
librust-lru-cache-dev (0.1.2-1+b1)
Cache that holds a limited number of key-value pairs - Rust source code
librust-lscolors+ansi-term-dev (0.7.1-1+b1)
Colorize paths using the LS_COLORS environment variable - feature "ansi_term" and 1 more
librust-lscolors+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0+ansi-term-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors-dev
librust-lscolors-0.7+ansi-term-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0.7+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0.7-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors-dev
librust-lscolors-0.7.1+ansi-term-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0.7.1+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors+ansi-term-dev
librust-lscolors-0.7.1-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-lscolors-dev
librust-lscolors-dev (0.7.1-1+b1)
Colorize paths using the LS_COLORS environment variable - Rust source code
librust-lua52-sys+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-lua52-sys-dev
librust-lua52-sys-dev (0.1.2-1+b1)
Bindings for Lua 5.2 - Rust source code
librust-lyon-geom+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom+serde-dev (0.15.0-1)
2D quadratic and cubic bézier arcs and line segment math on top of euclid - feature "serde"
librust-lyon-geom+serialization-dev (0.15.0-1)
2D quadratic and cubic bézier arcs and line segment math on top of euclid - feature "serialization"
librust-lyon-geom-0+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom-0+serde-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom+serde-dev
librust-lyon-geom-0+serialization-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom+serialization-dev
librust-lyon-geom-0-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom-0.15+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom-0.15+serde-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom+serde-dev
librust-lyon-geom-0.15+serialization-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom+serialization-dev
librust-lyon-geom-0.15-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom-0.15.0+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom-0.15.0+serde-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom+serde-dev
librust-lyon-geom-0.15.0+serialization-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom+serialization-dev
librust-lyon-geom-0.15.0-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-lyon-geom-dev
librust-lyon-geom-dev (0.15.0-1)
2D quadratic and cubic bézier arcs and line segment math on top of euclid - Rust source code
librust-lyon-path+default-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path+serde-dev (0.15.1-1)
Types and utilities to store, build and iterate over 2D paths - feature "serde"
librust-lyon-path+serialization-dev (0.15.1-1)
Types and utilities to store, build and iterate over 2D paths - feature "serialization"
librust-lyon-path-0+default-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path-0+serde-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path+serde-dev
librust-lyon-path-0+serialization-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path+serialization-dev
librust-lyon-path-0-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path-0.15+default-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path-0.15+serde-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path+serde-dev
librust-lyon-path-0.15+serialization-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path+serialization-dev
librust-lyon-path-0.15-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path-0.15.1+default-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path-0.15.1+serde-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path+serde-dev
librust-lyon-path-0.15.1+serialization-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path+serialization-dev
librust-lyon-path-0.15.1-dev (= 0.15.1-1)
本虛擬套件由這些套件填實: librust-lyon-path-dev
librust-lyon-path-dev (0.15.1-1)
Types and utilities to store, build and iterate over 2D paths - Rust source code
librust-lzma-sys+default-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-0+default-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-0-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-0.1+default-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-0.1-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-0.1.15+default-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-0.1.15-dev (= 0.1.15-1)
本虛擬套件由這些套件填實: librust-lzma-sys-dev
librust-lzma-sys-dev (0.1.15-1)
Bindings to liblzma for lzma and xz stream encoding/decoding - Rust source code
librust-lzw+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw+raii-no-panic-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0+raii-no-panic-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0.10+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0.10+raii-no-panic-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0.10-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0.10.0+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0.10.0+raii-no-panic-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-0.10.0-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-lzw-dev
librust-lzw-dev (0.10.0-1+b1)
LZW compression and decompression - Rust source code
librust-mac+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-mac-dev
librust-mac-dev (0.1.1-1+b1)
Collection of great and ubiqutitous macros - Rust source code
librust-mach-o-sys+default-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-0+default-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-0-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-0.1+default-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-0.1-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-0.1.1+default-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-0.1.1-dev (= 0.1.1-3)
本虛擬套件由這些套件填實: librust-mach-o-sys-dev
librust-mach-o-sys-dev (0.1.1-3)
Bindings to the OSX mach-o system library - Rust source code
librust-macro-attr+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr+std-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr+unstable-macros-1-1-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0+std-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0+unstable-macros-1-1-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2+std-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2+unstable-macros-1-1-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2.0+std-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2.0+unstable-macros-1-1-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-macro-attr-dev
librust-macro-attr-dev (0.2.0-1+b1)
`macro_attr!` macro for custom macro derives and attributes - Rust source code
librust-malloc-buf+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-1+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-1-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-1.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-1.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-1.0.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-1.0.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-malloc-buf-dev
librust-malloc-buf-dev (1.0.0-2)
Structs for handling malloc'd memory passed to Rust - Rust source code
librust-maplit+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-1.0+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-1.0-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-1.0.1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-1.0.1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-maplit-dev
librust-maplit-dev (1.0.1-1+b1)
Literal macros for HashMap, HashSet, BTreeMap, BTreeSet - Rust source code
librust-markup5ever+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-0.10+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-0.10-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-0.10.0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-0.10.0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-markup5ever-dev
librust-markup5ever-dev (0.10.0-1)
Common code for xml5ever and html5ever - Rust source code
librust-markup5ever-rcdom+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-0.1+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-0.1-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-0.1.0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-0.1.0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-markup5ever-rcdom-dev
librust-markup5ever-rcdom-dev (0.1.0-1+b1)
DOM structure for use by tests in html5ever/xml5ever - Rust source code
librust-match-cfg+default-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg+use-core-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0+default-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0+use-core-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0.1+default-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0.1+use-core-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0.1-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0.1.0+default-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0.1.0+use-core-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-0.1.0-dev (= 0.1.0-4)
本虛擬套件由這些套件填實: librust-match-cfg-dev
librust-match-cfg-dev (0.1.0-4)
Convenience macro to ergonomically define an item depending on a large number of `#[cfg]` parameters - Rust source code
librust-matches+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-0+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-0-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-0.1+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-0.1-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-0.1.8+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-0.1.8-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-matches-dev
librust-matches-dev (0.1.8-1)
Macro to evaluate, as a boolean, whether an expression matches a pattern - Rust source code
librust-maxminddb+default-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb+memmap-dev (0.13.0-1)
Reading MaxMind DB format used by GeoIP2 and GeoLite2 - feature "memmap"
librust-maxminddb+mmap-dev (0.13.0-1)
Reading MaxMind DB format used by GeoIP2 and GeoLite2 - feature "mmap"
librust-maxminddb-0+default-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb-0+memmap-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb+memmap-dev
librust-maxminddb-0+mmap-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb+mmap-dev
librust-maxminddb-0-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb-0.13+default-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb-0.13+memmap-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb+memmap-dev
librust-maxminddb-0.13+mmap-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb+mmap-dev
librust-maxminddb-0.13-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb-0.13.0+default-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb-0.13.0+memmap-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb+memmap-dev
librust-maxminddb-0.13.0+mmap-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb+mmap-dev
librust-maxminddb-0.13.0-dev (= 0.13.0-1)
本虛擬套件由這些套件填實: librust-maxminddb-dev
librust-maxminddb-dev (0.13.0-1)
Reading MaxMind DB format used by GeoIP2 and GeoLite2 - Rust source code
librust-maybe-uninit+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-2+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-2.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-2.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-2.0.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-2.0.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-maybe-uninit-dev
librust-maybe-uninit-dev (2.0.0-2)
MaybeUninit for friends of backwards compatibility - Rust source code
librust-md5+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5+std-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0+std-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0.7+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0.7+std-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0.7-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0.7.0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0.7.0+std-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-0.7.0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-md5-dev
librust-md5-dev (0.7.0-2)
Package provides the MD5 hash function - Rust source code
librust-mdl+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-1+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-1-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-1.0+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-1.0-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-1.0.4+default-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-1.0.4-dev (= 1.0.4-1+b1)
本虛擬套件由這些套件填實: librust-mdl-dev
librust-mdl-dev (1.0.4-1+b1)
Library to share and persist app state between threads and process
librust-memchr+default-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr+libc-dev (2.3.3-1)
Safe interface to memchr - feature "libc"
librust-memchr+std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr+use-std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2+default-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2+libc-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr+libc-dev
librust-memchr-2+std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2+use-std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3+default-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3+libc-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr+libc-dev
librust-memchr-2.3+std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3+use-std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3.3+default-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3.3+libc-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr+libc-dev
librust-memchr-2.3.3+std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3.3+use-std-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-2.3.3-dev (= 2.3.3-1)
本虛擬套件由這些套件填實: librust-memchr-dev
librust-memchr-dev (2.3.3-1)
Safe interface to memchr - Rust source code
librust-memmap+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-0+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-0-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-0.7+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-0.7-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-0.7.0+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-0.7.0-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-memmap-dev
librust-memmap-dev (0.7.0-1)
Cross-platform Rust API for memory-mapped file IO - Rust source code
librust-memoffset+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-0+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-0-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-0.5+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-0.5-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-0.5.3+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-0.5.3-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-memoffset-dev
librust-memoffset-dev (0.5.3-1)
Offset_of functionality for Rust structs - Rust source code
librust-memsec+alloc-dev (0.5.7-2)
Rust implementation `libsodium/utils` - feature "alloc" and 1 more
librust-memsec+default-dev (0.5.7-2)
Rust implementation `libsodium/utils` - feature "default"
librust-memsec+getrandom-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec+libc-dev (0.5.7-2)
Rust implementation `libsodium/utils` - feature "libc"
librust-memsec+nightly-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec+use-os-dev (0.5.7-2)
Rust implementation `libsodium/utils` - feature "use_os"
librust-memsec+winapi-dev (0.5.7-2)
Rust implementation `libsodium/utils` - feature "winapi"
librust-memsec-0+alloc-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec-0+default-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+default-dev
librust-memsec-0+getrandom-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec-0+libc-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+libc-dev
librust-memsec-0+nightly-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec-0+use-os-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+use-os-dev
librust-memsec-0+winapi-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+winapi-dev
librust-memsec-0-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec-0.5+alloc-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec-0.5+default-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+default-dev
librust-memsec-0.5+getrandom-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec-0.5+libc-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+libc-dev
librust-memsec-0.5+nightly-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec-0.5+use-os-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+use-os-dev
librust-memsec-0.5+winapi-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+winapi-dev
librust-memsec-0.5-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec-0.5.7+alloc-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec-0.5.7+default-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+default-dev
librust-memsec-0.5.7+getrandom-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+alloc-dev
librust-memsec-0.5.7+libc-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+libc-dev
librust-memsec-0.5.7+nightly-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec-0.5.7+use-os-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+use-os-dev
librust-memsec-0.5.7+winapi-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec+winapi-dev
librust-memsec-0.5.7-dev (= 0.5.7-2)
本虛擬套件由這些套件填實: librust-memsec-dev
librust-memsec-dev (0.5.7-2)
Rust implementation `libsodium/utils` - Rust source code
librust-mime+default-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-0+default-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-0-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-0.3+default-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-0.3-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-0.3.9+default-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-0.3.9-dev (= 0.3.9-1+b1)
本虛擬套件由這些套件填實: librust-mime-dev
librust-mime-dev (0.3.9-1+b1)
Strongly Typed Mimes - Rust source code
librust-mime-guess+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess+rev-mappings-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2+rev-mappings-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2.0+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2.0+rev-mappings-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2.0-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2.0.1+default-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2.0.1+rev-mappings-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-2.0.1-dev (= 2.0.1-1+b1)
本虛擬套件由這些套件填實: librust-mime-guess-dev
librust-mime-guess-dev (2.0.1-1+b1)
Detection of a file's MIME type by its extension - Rust source code
librust-miniz-oxide+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-0+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-0-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-0.3+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-0.3-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-0.3.6+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-0.3.6-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miniz-oxide-dev
librust-miniz-oxide-dev (0.3.6-1)
DEFLATE compression and decompression library rewritten in Rust based on miniz - Rust source code
librust-mint+default-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-0+default-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-0-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-0.5+default-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-0.5-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-0.5.5+default-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-0.5.5-dev (= 0.5.5-1)
本虛擬套件由這些套件填實: librust-mint-dev
librust-mint-dev (0.5.5-1)
Math interoperability standard types - Rust source code
librust-mio+default-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio+with-deprecated-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0+default-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0+with-deprecated-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0.6+default-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0.6+with-deprecated-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0.6-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0.6.23+default-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0.6.23+with-deprecated-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-0.6.23-dev (= 0.6.23-1)
本虛擬套件由這些套件填實: librust-mio-dev
librust-mio-dev (0.6.23-1)
Lightweight non-blocking IO - Rust source code
librust-mio-extras+default-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-2+default-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-2-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-2.0+default-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-2.0-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-2.0.5+default-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-2.0.5-dev (= 2.0.5-1+b1)
本虛擬套件由這些套件填實: librust-mio-extras-dev
librust-mio-extras-dev (2.0.5-1+b1)
Extra components for use with Mio - Rust source code
librust-mio-named-pipes+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-0+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-0-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-0.1+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-0.1-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-0.1.6+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-0.1.6-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-mio-named-pipes-dev
librust-mio-named-pipes-dev (0.1.6-2)
Windows named pipe bindings for mio - Rust source code
librust-mio-uds+default-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-0+default-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-0-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-0.6+default-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-0.6-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-0.6.7+default-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-0.6.7-dev (= 0.6.7-1+b1)
本虛擬套件由這些套件填實: librust-mio-uds-dev
librust-mio-uds-dev (0.6.7-1+b1)
Unix domain socket bindings for mio - Rust source code
librust-miow+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-0+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-0-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-0.3+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-0.3-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-0.3.6+default-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-0.3.6-dev (= 0.3.6-1)
本虛擬套件由這些套件填實: librust-miow-dev
librust-miow-dev (0.3.6-1)
Zero overhead I/O library for Windows, focusing on IOCP and Async I/O abstractions - Rust source code
librust-muldiv+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-0+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-0-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-0.2+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-0.2-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-0.2.1+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-0.2.1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-muldiv-dev
librust-muldiv-dev (0.2.1-1)
Combined multiplication and division trait - Rust source code
librust-mysqlclient-sys+default-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-0+default-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-0-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-0.2+default-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-0.2-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-0.2.4+default-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-0.2.4-dev (= 0.2.4-1+b2)
本虛擬套件由這些套件填實: librust-mysqlclient-sys-dev
librust-mysqlclient-sys-dev (0.2.4-1+b2)
Auto-generated rust bindings for libmysqlclient - Rust source code
librust-native-tls+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls+vendored-dev (0.2.4-1)
Wrapper over a platform's native TLS implementation - feature "vendored"
librust-native-tls-0+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls-0+vendored-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls+vendored-dev
librust-native-tls-0-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls-0.2+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls-0.2+vendored-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls+vendored-dev
librust-native-tls-0.2-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls-0.2.4+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls-0.2.4+vendored-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls+vendored-dev
librust-native-tls-0.2.4-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-native-tls-dev
librust-native-tls-dev (0.2.4-1)
Wrapper over a platform's native TLS implementation - Rust source code
librust-natord+default-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-1+default-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-1-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-1.0+default-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-1.0-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-1.0.9+default-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-1.0.9-dev (= 1.0.9-1+b1)
本虛擬套件由這些套件填實: librust-natord-dev
librust-natord-dev (1.0.9-1+b1)
Natural ordering for Rust - Rust source code
librust-net2+default-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2+duration-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2+nightly-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0+default-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0+duration-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0+nightly-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2+default-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2+duration-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2+nightly-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2.37+default-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2.37+duration-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2.37+nightly-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-0.2.37-dev (= 0.2.37-1)
本虛擬套件由這些套件填實: librust-net2-dev
librust-net2-dev (0.2.37-1)
Extensions to the standard library's networking types as proposed in RFC 1158 - Rust source code
librust-nettle+default-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle+vendored-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7+default-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7+vendored-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7.0+default-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7.0+vendored-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7.0-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7.0.1+default-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7.0.1+vendored-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-7.0.1-dev (= 7.0.1-1)
本虛擬套件由這些套件填實: librust-nettle-dev
librust-nettle-dev (7.0.1-1)
Rust bindings for the Nettle cryptographic library - Rust source code
librust-nettle-sys+default-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys+nettle-src-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys+vendored-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2+default-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2+nettle-src-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2+vendored-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0+default-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0+nettle-src-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0+vendored-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0.5+default-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0.5+nettle-src-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0.5+vendored-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-2.0.5-dev (= 2.0.5-1)
本虛擬套件由這些套件填實: librust-nettle-sys-dev
librust-nettle-sys-dev (2.0.5-1)
Low-level Rust bindings for the Nettle cryptographic library - Rust source code
librust-new-debug-unreachable+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-1.0+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-1.0-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-1.0.1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-1.0.1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-new-debug-unreachable-dev
librust-new-debug-unreachable-dev (1.0.1-1+b1)
Panic in debug, intrinsics::unreachable() in release (fork of debug_unreachable) - Rust source code
librust-newtype-derive+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive+std-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive+std-unstable-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0+std-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0+std-unstable-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1+std-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1+std-unstable-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1.6+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1.6+std-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1.6+std-unstable-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-0.1.6-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-newtype-derive-dev
librust-newtype-derive-dev (0.1.6-1+b1)
Macros for deriving common traits for newtype structures - Rust source code
librust-nibble-vec+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-0+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-0-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-0.0+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-0.0-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-0.0.4+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-0.0.4-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-nibble-vec-dev
librust-nibble-vec-dev (0.0.4-2)
Vector data-structure for half-byte values - Rust source code
librust-nitrokey+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey+test-pro-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey+test-storage-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0+test-pro-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0+test-storage-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3+test-pro-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3+test-storage-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3.4+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3.4+test-pro-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3.4+test-storage-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-0.3.4-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-nitrokey-dev
librust-nitrokey-dev (0.3.4-2)
Rust bindings for libnitrokey - Rust source code
librust-nitrokey-sys+default-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-3+default-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-3-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-3.4+default-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-3.4-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-3.4.3+default-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-3.4.3-dev (= 3.4.3-2)
本虛擬套件由這些套件填實: librust-nitrokey-sys-dev
librust-nitrokey-sys-dev (3.4.3-2)
Low-level bindings to libnitrokey - Rust source code
librust-nitrokey-test+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-0+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-0-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-0.3+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-0.3-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-0.3.2+default-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-0.3.2-dev (= 0.3.2-1)
本虛擬套件由這些套件填實: librust-nitrokey-test-dev
librust-nitrokey-test-dev (0.3.2-1)
supporting test infrastructure for the nitrokey crate - Rust source code
librust-nix+default-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-0+default-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-0-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-0.19+default-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-0.19-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-0.19.0+default-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-0.19.0-dev (= 0.19.0-1)
本虛擬套件由這些套件填實: librust-nix-dev
librust-nix-dev (0.19.0-1)
Rust friendly bindings to *nix APIs - Rust source code
librust-no-panic+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-0+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-0-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-0.1+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-0.1-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-0.1.13+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-0.1.13-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-no-panic-dev
librust-no-panic-dev (0.1.13-1)
Attribute macro to require a function can't ever panic - Rust source code
librust-nom+alloc-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom+alloc-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom+default-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "default"
librust-nom+default-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom+lazy-static-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "lazy_static"
librust-nom+lazy-static-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+lazy-static-dev
librust-nom+lexical-core-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "lexical-core"
librust-nom+lexical-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "lexical"
librust-nom+regex-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "regex"
librust-nom+regex-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom+regexp-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "regexp"
librust-nom+regexp-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom+regexp-macros-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "regexp_macros"
librust-nom+regexp-macros-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regexp-macros-dev
librust-nom+std-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - feature "std"
librust-nom+std-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom+verbose-errors-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4+alloc-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4+default-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom-4+lazy-static-dev (4.2.3-3)
Byte-oriented, zero-copy, parser combinators library - feature "lazy_static"
librust-nom-4+regex-dev (4.2.3-3)
Byte-oriented, zero-copy, parser combinators library - feature "regex" and 1 more
librust-nom-4+regexp-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom-4+regexp-macros-dev (4.2.3-3)
Byte-oriented, zero-copy, parser combinators library - feature "regexp_macros"
librust-nom-4+std-dev (4.2.3-3)
Byte-oriented, zero-copy, parser combinators library - feature "std" and 1 more
librust-nom-4+verbose-errors-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4-dev (4.2.3-3)
Byte-oriented, zero-copy, parser combinators library - Rust source code
librust-nom-4.2+alloc-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4.2+default-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom-4.2+lazy-static-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+lazy-static-dev
librust-nom-4.2+regex-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom-4.2+regexp-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom-4.2+regexp-macros-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regexp-macros-dev
librust-nom-4.2+std-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom-4.2+verbose-errors-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4.2-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4.2.3+alloc-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4.2.3+default-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom-4.2.3+lazy-static-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+lazy-static-dev
librust-nom-4.2.3+regex-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom-4.2.3+regexp-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regex-dev
librust-nom-4.2.3+regexp-macros-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+regexp-macros-dev
librust-nom-4.2.3+std-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4+std-dev
librust-nom-4.2.3+verbose-errors-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-4.2.3-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-nom-5+alloc-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom-5+default-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+default-dev
librust-nom-5+lazy-static-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lazy-static-dev
librust-nom-5+lexical-core-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lexical-core-dev
librust-nom-5+lexical-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lexical-dev
librust-nom-5+regex-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regex-dev
librust-nom-5+regexp-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regexp-dev
librust-nom-5+regexp-macros-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regexp-macros-dev
librust-nom-5+std-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+std-dev
librust-nom-5-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom-5.0+alloc-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom-5.0+default-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+default-dev
librust-nom-5.0+lazy-static-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lazy-static-dev
librust-nom-5.0+lexical-core-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lexical-core-dev
librust-nom-5.0+lexical-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lexical-dev
librust-nom-5.0+regex-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regex-dev
librust-nom-5.0+regexp-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regexp-dev
librust-nom-5.0+regexp-macros-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regexp-macros-dev
librust-nom-5.0+std-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+std-dev
librust-nom-5.0-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom-5.0.1+alloc-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom-5.0.1+default-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+default-dev
librust-nom-5.0.1+lazy-static-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lazy-static-dev
librust-nom-5.0.1+lexical-core-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lexical-core-dev
librust-nom-5.0.1+lexical-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+lexical-dev
librust-nom-5.0.1+regex-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regex-dev
librust-nom-5.0.1+regexp-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regexp-dev
librust-nom-5.0.1+regexp-macros-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+regexp-macros-dev
librust-nom-5.0.1+std-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom+std-dev
librust-nom-5.0.1-dev (= 5.0.1-4)
本虛擬套件由這些套件填實: librust-nom-dev
librust-nom-dev (5.0.1-4)
Byte-oriented, zero-copy, parser combinators - Rust source code
librust-nom-dev (= 4.2.3-3)
本虛擬套件由這些套件填實: librust-nom-4-dev
librust-ntapi+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi+func-types-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi+impl-default-dev (0.3.3-2)
FFI bindings for Native API - feature "impl-default"
librust-ntapi+kernel-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi+nightly-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi+user-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0+func-types-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0+impl-default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi+impl-default-dev
librust-ntapi-0+kernel-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0+nightly-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0+user-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3+func-types-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3+impl-default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi+impl-default-dev
librust-ntapi-0.3+kernel-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3+nightly-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3+user-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3.3+func-types-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3.3+impl-default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi+impl-default-dev
librust-ntapi-0.3.3+kernel-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3.3+nightly-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3.3+user-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-0.3.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-ntapi-dev
librust-ntapi-dev (0.3.3-2)
FFI bindings for Native API - Rust source code
librust-num-complex+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex+i128-dev (0.2.4-1)
Complex numbers implementation for Rust - feature "i128"
librust-num-complex+rand-dev (0.2.4-1)
Complex numbers implementation for Rust - feature "rand"
librust-num-complex+serde-dev (0.2.4-1)
Complex numbers implementation for Rust - feature "serde"
librust-num-complex+std-dev (0.2.4-1)
Complex numbers implementation for Rust - feature "std" and 1 more
librust-num-complex-0+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex-0+i128-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+i128-dev
librust-num-complex-0+rand-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+rand-dev
librust-num-complex-0+serde-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+serde-dev
librust-num-complex-0+std-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex-0-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex-dev
librust-num-complex-0.2+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex-0.2+i128-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+i128-dev
librust-num-complex-0.2+rand-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+rand-dev
librust-num-complex-0.2+serde-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+serde-dev
librust-num-complex-0.2+std-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex-0.2-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex-dev
librust-num-complex-0.2.4+default-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex-0.2.4+i128-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+i128-dev
librust-num-complex-0.2.4+rand-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+rand-dev
librust-num-complex-0.2.4+serde-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+serde-dev
librust-num-complex-0.2.4+std-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex+std-dev
librust-num-complex-0.2.4-dev (= 0.2.4-1)
本虛擬套件由這些套件填實: librust-num-complex-dev
librust-num-complex-dev (0.2.4-1)
Complex numbers implementation for Rust - Rust source code
librust-num-cpus+default-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-1+default-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-1-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-1.13+default-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-1.13-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-1.13.0+default-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-1.13.0-dev (= 1.13.0-1)
本虛擬套件由這些套件填實: librust-num-cpus-dev
librust-num-cpus-dev (1.13.0-1)
Get the number of CPUs on a machine - Rust source code
librust-num-derive+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive+full-syntax-dev (0.3.0-1)
Numeric syntax extensions - feature "full-syntax"
librust-num-derive-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive-0+full-syntax-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive+full-syntax-dev
librust-num-derive-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive-0.3+full-syntax-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive+full-syntax-dev
librust-num-derive-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive-0.3.0+full-syntax-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive+full-syntax-dev
librust-num-derive-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-num-derive-dev
librust-num-derive-dev (0.3.0-1)
Numeric syntax extensions - Rust source code
librust-num-integer+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer+i128-dev (0.1.42-1)
Integer traits and functions - feature "i128"
librust-num-integer+std-dev (0.1.42-1)
Integer traits and functions - feature "std" and 1 more
librust-num-integer-0+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer-0+i128-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+i128-dev
librust-num-integer-0+std-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer-0-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer-dev
librust-num-integer-0.1+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer-0.1+i128-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+i128-dev
librust-num-integer-0.1+std-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer-0.1-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer-dev
librust-num-integer-0.1.42+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer-0.1.42+i128-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+i128-dev
librust-num-integer-0.1.42+std-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer+std-dev
librust-num-integer-0.1.42-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-num-integer-dev
librust-num-integer-dev (0.1.42-1)
Integer traits and functions - Rust source code
librust-num-iter+default-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter+i128-dev (0.1.40-1)
External iterators for generic mathematics - feature "i128"
librust-num-iter+std-dev (0.1.40-1)
External iterators for generic mathematics - feature "std" and 1 more
librust-num-iter-0+default-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter-0+i128-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+i128-dev
librust-num-iter-0+std-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter-0-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter-dev
librust-num-iter-0.1+default-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter-0.1+i128-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+i128-dev
librust-num-iter-0.1+std-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter-0.1-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter-dev
librust-num-iter-0.1.40+default-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter-0.1.40+i128-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+i128-dev
librust-num-iter-0.1.40+std-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter+std-dev
librust-num-iter-0.1.40-dev (= 0.1.40-1)
本虛擬套件由這些套件填實: librust-num-iter-dev
librust-num-iter-dev (0.1.40-1)
External iterators for generic mathematics - Rust source code
librust-num-traits+default-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits+i128-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits+libm-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits+std-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0+default-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0+i128-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0+libm-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0+std-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2+default-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2+i128-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2+libm-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2+std-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2.14+default-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2.14+i128-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2.14+libm-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2.14+std-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-0.2.14-dev (= 0.2.14-1)
本虛擬套件由這些套件填實: librust-num-traits-dev
librust-num-traits-dev (0.2.14-1)
Numeric traits for generic mathematics - Rust source code
librust-number-prefix+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0.4+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0.4.0+std-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-number-prefix-dev
librust-number-prefix-dev (0.4.0-1)
Numeric prefixes (kilo, giga, kibi) - Rust source code
librust-numtoa+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-0+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-0-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-0.2+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-0.2-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-0.2.3+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-0.2.3-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-numtoa-dev
librust-numtoa-dev (0.2.3-1)
Convert numbers into stack-allocated byte arrays - Rust source code
librust-object+compression-dev (0.12.0-4)
Object file formats parsing interface - feature "compression" and 1 more
librust-object+default-dev (0.12.0-4)
Object file formats parsing interface - feature "default"
librust-object+flate2-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object+parity-wasm-dev (0.12.0-4)
Object file formats parsing interface - feature "parity-wasm"
librust-object+std-dev (0.12.0-4)
Object file formats parsing interface - feature "std"
librust-object+wasm-dev (0.12.0-4)
Object file formats parsing interface - feature "wasm"
librust-object-0+compression-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object-0+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+default-dev
librust-object-0+flate2-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object-0+parity-wasm-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+parity-wasm-dev
librust-object-0+std-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+std-dev
librust-object-0+wasm-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+wasm-dev
librust-object-0-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object-dev
librust-object-0.12+compression-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object-0.12+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+default-dev
librust-object-0.12+flate2-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object-0.12+parity-wasm-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+parity-wasm-dev
librust-object-0.12+std-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+std-dev
librust-object-0.12+wasm-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+wasm-dev
librust-object-0.12-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object-dev
librust-object-0.12.0+compression-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object-0.12.0+default-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+default-dev
librust-object-0.12.0+flate2-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+compression-dev
librust-object-0.12.0+parity-wasm-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+parity-wasm-dev
librust-object-0.12.0+std-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+std-dev
librust-object-0.12.0+wasm-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object+wasm-dev
librust-object-0.12.0-dev (= 0.12.0-4)
本虛擬套件由這些套件填實: librust-object-dev
librust-object-dev (0.12.0-4)
Object file formats parsing interface - Rust source code
librust-ogg+async-dev (0.7.0-1+b2)
Ogg container decoder and encoder written in pure Rust - feature "async"
librust-ogg+bytes-dev (0.7.0-1+b2)
Ogg container decoder and encoder written in pure Rust - feature "bytes"
librust-ogg+default-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg+futures-dev (0.7.0-1+b2)
Ogg container decoder and encoder written in pure Rust - feature "futures"
librust-ogg+tokio-io-dev (0.7.0-1+b2)
Ogg container decoder and encoder written in pure Rust - feature "tokio-io"
librust-ogg-0+async-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+async-dev
librust-ogg-0+bytes-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+bytes-dev
librust-ogg-0+default-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg-0+futures-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+futures-dev
librust-ogg-0+tokio-io-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+tokio-io-dev
librust-ogg-0-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg-0.7+async-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+async-dev
librust-ogg-0.7+bytes-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+bytes-dev
librust-ogg-0.7+default-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg-0.7+futures-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+futures-dev
librust-ogg-0.7+tokio-io-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+tokio-io-dev
librust-ogg-0.7-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg-0.7.0+async-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+async-dev
librust-ogg-0.7.0+bytes-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+bytes-dev
librust-ogg-0.7.0+default-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg-0.7.0+futures-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+futures-dev
librust-ogg-0.7.0+tokio-io-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg+tokio-io-dev
librust-ogg-0.7.0-dev (= 0.7.0-1+b2)
本虛擬套件由這些套件填實: librust-ogg-dev
librust-ogg-dev (0.7.0-1+b2)
Ogg container decoder and encoder written in pure Rust - Rust source code
librust-once-cell+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell+parking-lot-dev (1.3.1-1)
Single assignment cells and lazy values - feature "parking_lot"
librust-once-cell+std-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1+parking-lot-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell+parking-lot-dev
librust-once-cell-1+std-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1.3+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1.3+parking-lot-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell+parking-lot-dev
librust-once-cell-1.3+std-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1.3-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1.3.1+default-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1.3.1+parking-lot-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell+parking-lot-dev
librust-once-cell-1.3.1+std-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-1.3.1-dev (= 1.3.1-1)
本虛擬套件由這些套件填實: librust-once-cell-dev
librust-once-cell-dev (1.3.1-1)
Single assignment cells and lazy values - Rust source code
librust-onig+default-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig+posix-api-dev (6.1.0-1)
Bindings for the Oniguruma regex library - feature "posix-api"
librust-onig+print-debug-dev (6.1.0-1)
Bindings for the Oniguruma regex library - feature "print-debug"
librust-onig+std-pattern-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6+default-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6+posix-api-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig+posix-api-dev
librust-onig-6+print-debug-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig+print-debug-dev
librust-onig-6+std-pattern-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6.1+default-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6.1+posix-api-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig+posix-api-dev
librust-onig-6.1+print-debug-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig+print-debug-dev
librust-onig-6.1+std-pattern-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6.1-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6.1.0+default-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6.1.0+posix-api-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig+posix-api-dev
librust-onig-6.1.0+print-debug-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig+print-debug-dev
librust-onig-6.1.0+std-pattern-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-6.1.0-dev (= 6.1.0-1)
本虛擬套件由這些套件填實: librust-onig-dev
librust-onig-dev (6.1.0-1)
Bindings for the Oniguruma regex library - Rust source code
librust-onig-sys+default-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys+posix-api-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys+print-debug-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69+default-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69+posix-api-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69+print-debug-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5+default-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5+posix-api-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5+print-debug-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5.1+default-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5.1+posix-api-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5.1+print-debug-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-69.5.1-dev (= 69.5.1-2)
本虛擬套件由這些套件填實: librust-onig-sys-dev
librust-onig-sys-dev (69.5.1-2)
`onig_sys` crate contains raw rust bindings to the oniguruma library - Rust source code
librust-opaque-debug+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-0.3+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-0.3-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-0.3.0+default-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-0.3.0-dev (= 0.3.0-1)
本虛擬套件由這些套件填實: librust-opaque-debug-dev
librust-opaque-debug-dev (0.3.0-1)
Macro for opaque Debug trait implementation - Rust source code
librust-opener+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-0+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-0-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-0.4+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-0.4-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-0.4.1+default-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-0.4.1-dev (= 0.4.1-2)
本虛擬套件由這些套件填實: librust-opener-dev
librust-opener-dev (0.4.1-2)
Open a file or link using the system default program - Rust source code
librust-openssl+default-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl+v101-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl+v102-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl+v110-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl+v111-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl+vendored-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0+default-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0+v101-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0+v102-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0+v110-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0+v111-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0+vendored-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10+default-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10+v101-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10+v102-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10+v110-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10+v111-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10+vendored-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29+default-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29+v101-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29+v102-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29+v110-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29+v111-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29+vendored-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-0.10.29-dev (= 0.10.29-1)
本虛擬套件由這些套件填實: librust-openssl-dev
librust-openssl-dev (0.10.29-1)
OpenSSL bindings - Rust source code
librust-openssl-probe+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-openssl-probe-dev
librust-openssl-probe-dev (0.1.2-1+b1)
Helping to find SSL certificate locations on the system for OpenSSL - Rust source code
librust-openssl-sys+default-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys+openssl-src-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys+vendored-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0+default-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0+openssl-src-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0+vendored-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9+default-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9+openssl-src-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9+vendored-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9.55+default-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9.55+openssl-src-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9.55+vendored-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-0.9.55-dev (= 0.9.55-2)
本虛擬套件由這些套件填實: librust-openssl-sys-dev
librust-openssl-sys-dev (0.9.55-2)
FFI bindings to OpenSSL - Rust source code
librust-ord-subset+default-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset+ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset+std-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset+unchecked-ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset+unstable-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3+default-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3+ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3+std-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3+unchecked-ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3+unstable-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1+default-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1+ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1+std-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1+unchecked-ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1+unstable-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1.1+default-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1.1+ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1.1+std-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1.1+unchecked-ops-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1.1+unstable-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-3.1.1-dev (= 3.1.1-1+b2)
本虛擬套件由這些套件填實: librust-ord-subset-dev
librust-ord-subset-dev (3.1.1-1+b2)
Tools for working with the Ord subset of certain PartialOrd types, like floats - Rust source code
librust-ordered-multimap+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap+serde-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0+serde-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0.3+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0.3+serde-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0.3-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0.3.1+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0.3.1+serde-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-0.3.1-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-ordered-multimap-dev
librust-ordered-multimap-dev (0.3.1-2)
Insertion ordered multimap - Rust source code
librust-ordermap+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap+serde-1-dev (0.4.2-1+b1)
Hash table with consistent order and fast iteration - feature "serde-1"
librust-ordermap+serde-dev (0.4.2-1+b1)
Hash table with consistent order and fast iteration - feature "serde"
librust-ordermap+test-debug-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap+test-low-transition-point-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0+serde-1-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap+serde-1-dev
librust-ordermap-0+serde-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap+serde-dev
librust-ordermap-0+test-debug-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0+test-low-transition-point-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4+serde-1-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap+serde-1-dev
librust-ordermap-0.4+serde-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap+serde-dev
librust-ordermap-0.4+test-debug-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4+test-low-transition-point-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4.2+default-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4.2+serde-1-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap+serde-1-dev
librust-ordermap-0.4.2+serde-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap+serde-dev
librust-ordermap-0.4.2+test-debug-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4.2+test-low-transition-point-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-0.4.2-dev (= 0.4.2-1+b1)
本虛擬套件由這些套件填實: librust-ordermap-dev
librust-ordermap-dev (0.4.2-1+b1)
Hash table with consistent order and fast iteration - Rust source code
librust-os-info+default-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-1+default-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-1-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-1.3+default-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-1.3-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-1.3.2+default-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-1.3.2-dev (= 1.3.2-1+b1)
本虛擬套件由這些套件填實: librust-os-info-dev
librust-os-info-dev (1.3.2-1+b1)
Detect the operating system type and version - Rust source code
librust-output-vt100+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-0+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-0-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-0.1+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-0.1-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-0.1.2+default-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-0.1.2-dev (= 0.1.2-2)
本虛擬套件由這些套件填實: librust-output-vt100-dev
librust-output-vt100-dev (0.1.2-2)
activate escape codes in Windows' CMD and PowerShell - Rust source code
librust-owning-ref+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-0+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-0-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-0.4+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-0.4-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-0.4.1+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-0.4.1-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-owning-ref-dev
librust-owning-ref-dev (0.4.1-1)
Creating references that carry their owner with them - Rust source code
librust-packed-simd+default-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd+into-bits-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd+libcore-neon-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0+default-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0+into-bits-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0+libcore-neon-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3+default-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3+into-bits-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3+libcore-neon-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3.3+default-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3.3+into-bits-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3.3+libcore-neon-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-0.3.3-dev (= 0.3.3-7)
本虛擬套件由這些套件填實: librust-packed-simd-dev
librust-packed-simd-dev (0.3.3-7)
Portable Packed SIMD vectors - Rust source code
librust-pad+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-0+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-0-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-0.1+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-0.1-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-0.1.6+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-0.1.6-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-pad-dev
librust-pad-dev (0.1.6-1)
Padding strings at runtime - Rust source code
librust-pam+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-0.7+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-0.7-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-0.7.0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-0.7.0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pam-dev
librust-pam-dev (0.7.0-1+b1)
Safe Rust wrappers for PAM authentification - Rust source code
librust-pam-sys+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-0+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-0-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-0.5+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-0.5-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-0.5.6+default-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-0.5.6-dev (= 0.5.6-1+b1)
本虛擬套件由這些套件填實: librust-pam-sys-dev
librust-pam-sys-dev (0.5.6-1+b1)
FFI wrappers for libpam - Rust source code
librust-pango+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango+dox-dev (0.7.0-1+b1)
Rust bindings for the Pango library - feature "dox"
librust-pango+embed-lgpl-docs-dev (0.7.0-1+b1)
Rust bindings for the Pango library - feature "embed-lgpl-docs" and 2 more
librust-pango+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango+v1-38-dev (0.7.0-1+b1)
Rust bindings for the Pango library - feature "v1_38" and 1 more
librust-pango+v1-40-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango+v1-42-dev (0.7.0-1+b1)
Rust bindings for the Pango library - feature "v1_42"
librust-pango-0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango-0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+dox-dev
librust-pango-0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0+v1-38-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango-0+v1-40-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango-0+v1-42-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-42-dev
librust-pango-0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango-0.7+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango-0.7+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+dox-dev
librust-pango-0.7+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0.7+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0.7+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0.7+v1-38-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango-0.7+v1-40-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango-0.7+v1-42-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-42-dev
librust-pango-0.7-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango-0.7.0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango-0.7.0+dox-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+dox-dev
librust-pango-0.7.0+embed-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0.7.0+gtk-rs-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0.7.0+purge-lgpl-docs-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+embed-lgpl-docs-dev
librust-pango-0.7.0+v1-38-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango-0.7.0+v1-40-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-38-dev
librust-pango-0.7.0+v1-42-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango+v1-42-dev
librust-pango-0.7.0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-pango-dev
librust-pango-dev (0.7.0-1+b1)
Rust bindings for the Pango library - Rust source code
librust-pango-sys+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys+v1-36-7-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys+v1-38-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys+v1-42-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0+v1-36-7-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0+v1-38-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0+v1-42-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9+v1-36-7-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9+v1-38-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9+v1-42-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9.0+default-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9.0+dox-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9.0+v1-36-7-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9.0+v1-38-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9.0+v1-42-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-0.9.0-dev (= 0.9.0-2)
本虛擬套件由這些套件填實: librust-pango-sys-dev
librust-pango-sys-dev (0.9.0-2)
FFI bindings to libpango-1.0 - Rust source code
librust-pangocairo+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo+dox-dev (0.8.0-1)
Rust bindings for the PangoCairo library - feature "dox"
librust-pangocairo+embed-lgpl-docs-dev (0.8.0-1)
Rust bindings for the PangoCairo library - feature "embed-lgpl-docs"
librust-pangocairo+gtk-rs-lgpl-docs-dev (0.8.0-1)
Rust bindings for the PangoCairo library - feature "gtk-rs-lgpl-docs"
librust-pangocairo+purge-lgpl-docs-dev (0.8.0-1)
Rust bindings for the PangoCairo library - feature "purge-lgpl-docs"
librust-pangocairo-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo-0+dox-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+dox-dev
librust-pangocairo-0+embed-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+embed-lgpl-docs-dev
librust-pangocairo-0+gtk-rs-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+gtk-rs-lgpl-docs-dev
librust-pangocairo-0+purge-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+purge-lgpl-docs-dev
librust-pangocairo-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo-0.8+dox-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+dox-dev
librust-pangocairo-0.8+embed-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+embed-lgpl-docs-dev
librust-pangocairo-0.8+gtk-rs-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+gtk-rs-lgpl-docs-dev
librust-pangocairo-0.8+purge-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+purge-lgpl-docs-dev
librust-pangocairo-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo-0.8.0+dox-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+dox-dev
librust-pangocairo-0.8.0+embed-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+embed-lgpl-docs-dev
librust-pangocairo-0.8.0+gtk-rs-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+gtk-rs-lgpl-docs-dev
librust-pangocairo-0.8.0+purge-lgpl-docs-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo+purge-lgpl-docs-dev
librust-pangocairo-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-dev
librust-pangocairo-dev (0.8.0-1)
Rust bindings for the PangoCairo library - Rust source code
librust-pangocairo-sys+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys+dox-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0+dox-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0.10+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0.10+dox-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0.10-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0.10.0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0.10.0+dox-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-0.10.0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-pangocairo-sys-dev
librust-pangocairo-sys-dev (0.10.0-1)
FFI bindings to libgtk-3 - Rust source code
librust-parity-wasm+atomics-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm+bulk-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm+default-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm+sign-ext-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm+simd-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm+std-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0+atomics-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0+bulk-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0+default-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0+sign-ext-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0+simd-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0+std-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41+atomics-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41+bulk-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41+default-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41+sign-ext-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41+simd-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41+std-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0+atomics-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0+bulk-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0+default-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0+sign-ext-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0+simd-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0+std-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-0.41.0-dev (= 0.41.0-1)
本虛擬套件由這些套件填實: librust-parity-wasm-dev
librust-parity-wasm-dev (0.41.0-1)
Low-level WebAssembly format library - Rust source code
librust-parking-lot+deadlock-detection-dev (0.10.0-1)
Compact, efficient std sync primitives - feature "deadlock_detection"
librust-parking-lot+deadlock-detection-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+deadlock-detection-dev
librust-parking-lot+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot+nightly-dev (0.10.0-1)
Compact, efficient std sync primitives - feature "nightly"
librust-parking-lot+nightly-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+nightly-dev
librust-parking-lot+owning-ref-dev (0.10.0-1)
Compact, efficient std sync primitives - feature "owning_ref"
librust-parking-lot+owning-ref-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot+serde-dev (0.10.0-1)
Compact, efficient std sync primitives - feature "serde"
librust-parking-lot-0+deadlock-detection-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+deadlock-detection-dev
librust-parking-lot-0+deadlock-detection-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+deadlock-detection-dev
librust-parking-lot-0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot-0+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot-0+nightly-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+nightly-dev
librust-parking-lot-0+nightly-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+nightly-dev
librust-parking-lot-0+owning-ref-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+owning-ref-dev
librust-parking-lot-0+owning-ref-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot-0+serde-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+serde-dev
librust-parking-lot-0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot-0-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7-dev
librust-parking-lot-0.10+deadlock-detection-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+deadlock-detection-dev
librust-parking-lot-0.10+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot-0.10+nightly-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+nightly-dev
librust-parking-lot-0.10+owning-ref-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+owning-ref-dev
librust-parking-lot-0.10+serde-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+serde-dev
librust-parking-lot-0.10-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot-0.10.0+deadlock-detection-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+deadlock-detection-dev
librust-parking-lot-0.10.0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot-0.10.0+nightly-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+nightly-dev
librust-parking-lot-0.10.0+owning-ref-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+owning-ref-dev
librust-parking-lot-0.10.0+serde-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot+serde-dev
librust-parking-lot-0.10.0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-parking-lot-dev
librust-parking-lot-0.7+deadlock-detection-dev (0.7.1-1+b1)
Compact, efficient std sync primitives - feature "deadlock_detection"
librust-parking-lot-0.7+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot-0.7+nightly-dev (0.7.1-1+b1)
Compact, efficient std sync primitives - feature "nightly"
librust-parking-lot-0.7+owning-ref-dev (0.7.1-1+b1)
Compact, efficient std sync primitives - feature "owning_ref" and 1 more
librust-parking-lot-0.7-dev (0.7.1-1+b1)
Compact, efficient std sync primitives - Rust source code
librust-parking-lot-0.7.1+deadlock-detection-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+deadlock-detection-dev
librust-parking-lot-0.7.1+default-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot-0.7.1+nightly-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+nightly-dev
librust-parking-lot-0.7.1+owning-ref-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7+owning-ref-dev
librust-parking-lot-0.7.1-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7-dev
librust-parking-lot-core+backtrace-dev (0.7.2-1)
API for creating synchronization primitives - feature "backtrace"
librust-parking-lot-core+backtrace-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+backtrace-dev
librust-parking-lot-core+deadlock-detection-dev (0.7.2-1)
API for creating synchronization primitives - feature "deadlock_detection"
librust-parking-lot-core+deadlock-detection-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+deadlock-detection-dev
librust-parking-lot-core+default-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core+default-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core+nightly-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core+nightly-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core+petgraph-dev (0.7.2-1)
API for creating synchronization primitives - feature "petgraph"
librust-parking-lot-core+petgraph-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+petgraph-dev
librust-parking-lot-core+thread-id-dev (0.7.2-1)
API for creating synchronization primitives - feature "thread-id"
librust-parking-lot-core+thread-id-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+thread-id-dev
librust-parking-lot-core-0+backtrace-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+backtrace-dev
librust-parking-lot-core-0+backtrace-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+backtrace-dev
librust-parking-lot-core-0+deadlock-detection-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+deadlock-detection-dev
librust-parking-lot-core-0+deadlock-detection-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+deadlock-detection-dev
librust-parking-lot-core-0+default-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0+default-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0+nightly-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0+nightly-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0+petgraph-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+petgraph-dev
librust-parking-lot-core-0+petgraph-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+petgraph-dev
librust-parking-lot-core-0+thread-id-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+thread-id-dev
librust-parking-lot-core-0+thread-id-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+thread-id-dev
librust-parking-lot-core-0-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0.4+backtrace-dev (0.4.0-4)
API for creating synchronization primitives - feature "backtrace"
librust-parking-lot-core-0.4+deadlock-detection-dev (0.4.0-4)
API for creating synchronization primitives - feature "deadlock_detection"
librust-parking-lot-core-0.4+default-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0.4+nightly-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0.4+petgraph-dev (0.4.0-4)
API for creating synchronization primitives - feature "petgraph"
librust-parking-lot-core-0.4+thread-id-dev (0.4.0-4)
API for creating synchronization primitives - feature "thread-id"
librust-parking-lot-core-0.4-dev (0.4.0-4)
API for creating synchronization primitives - Rust source code
librust-parking-lot-core-0.4.0+backtrace-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+backtrace-dev
librust-parking-lot-core-0.4.0+deadlock-detection-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+deadlock-detection-dev
librust-parking-lot-core-0.4.0+default-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0.4.0+nightly-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0.4.0+petgraph-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+petgraph-dev
librust-parking-lot-core-0.4.0+thread-id-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4+thread-id-dev
librust-parking-lot-core-0.4.0-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-core-0.7+backtrace-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+backtrace-dev
librust-parking-lot-core-0.7+deadlock-detection-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+deadlock-detection-dev
librust-parking-lot-core-0.7+default-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0.7+nightly-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0.7+petgraph-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+petgraph-dev
librust-parking-lot-core-0.7+thread-id-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+thread-id-dev
librust-parking-lot-core-0.7-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0.7.2+backtrace-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+backtrace-dev
librust-parking-lot-core-0.7.2+deadlock-detection-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+deadlock-detection-dev
librust-parking-lot-core-0.7.2+default-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0.7.2+nightly-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-0.7.2+petgraph-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+petgraph-dev
librust-parking-lot-core-0.7.2+thread-id-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core+thread-id-dev
librust-parking-lot-core-0.7.2-dev (= 0.7.2-1)
本虛擬套件由這些套件填實: librust-parking-lot-core-dev
librust-parking-lot-core-dev (0.7.2-1)
API for creating synchronization primitives - Rust source code
librust-parking-lot-core-dev (= 0.4.0-4)
本虛擬套件由這些套件填實: librust-parking-lot-core-0.4-dev
librust-parking-lot-dev (0.10.0-1)
Compact, efficient std sync primitives - Rust source code
librust-parking-lot-dev (= 0.7.1-1+b1)
本虛擬套件由這些套件填實: librust-parking-lot-0.7-dev
librust-parse-arg+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-0+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-0-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-0.1+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-0.1-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-0.1.4+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-0.1.4-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-parse-arg-dev
librust-parse-arg-dev (0.1.4-2)
Traits and implementations for parsing command-line arguments - Rust source code
librust-paste-impl+default-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-0+default-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-0-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-0.1+default-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-0.1-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-0.1.9+default-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-0.1.9-dev (= 0.1.9-1)
本虛擬套件由這些套件填實: librust-paste-impl-dev
librust-paste-impl-dev (0.1.9-1)
Implementation detail of the `paste` crate - Rust source code
librust-path-slash+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-0+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-0-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-0.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-0.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-0.1.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-0.1.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-path-slash-dev
librust-path-slash-dev (0.1.1-1+b2)
Conversion to/from a file path from/to slash path - Rust source code
librust-pbr+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-1.0+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-1.0-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-1.0.1+default-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-1.0.1-dev (= 1.0.1-1+b1)
本虛擬套件由這些套件填實: librust-pbr-dev
librust-pbr-dev (1.0.1-1+b1)
Console progress bar for Rust - Rust source code
librust-pcap-sys+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-0+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-0-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-0.1+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-0.1-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-0.1.3+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-0.1.3-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-pcap-sys-dev
librust-pcap-sys-dev (0.1.3-2)
Low-level bindings to libpcap - Rust source code
librust-pcre2+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-0+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-0-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-0.2+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-0.2-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-0.2.3+default-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-0.2.3-dev (= 0.2.3-1)
本虛擬套件由這些套件填實: librust-pcre2-dev
librust-pcre2-dev (0.2.3-1)
High level wrapper library for PCRE2 - Rust source code
librust-pcre2-sys+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-0+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-0-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-0.2+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-0.2-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-0.2.2+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-0.2.2-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-pcre2-sys-dev
librust-pcre2-sys-dev (0.2.2-1)
Low level bindings to PCRE2 - Rust source code
librust-peeking-take-while+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-peeking-take-while-dev
librust-peeking-take-while-dev (0.1.2-1+b1)
Peekable Iterator::take_while - Rust source code
librust-pem+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-0.7+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-0.7-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-0.7.0+default-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-0.7.0-dev (= 0.7.0-2)
本虛擬套件由這些套件填實: librust-pem-dev
librust-pem-dev (0.7.0-2)
Parse and encode PEM-encoded data - Rust source code
librust-percent-encoding+default-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-2+default-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-2-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-2.1+default-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-2.1-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-2.1.0+default-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-2.1.0-dev (= 2.1.0-2)
本虛擬套件由這些套件填實: librust-percent-encoding-dev
librust-percent-encoding-dev (2.1.0-2)
Percent encoding and decoding - Rust source code
librust-permutohedron+default-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron+std-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0+default-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0+std-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0.2+default-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0.2+std-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0.2-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0.2.4+default-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0.2.4+std-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-0.2.4-dev (= 0.2.4-3)
本虛擬套件由這些套件填實: librust-permutohedron-dev
librust-permutohedron-dev (0.2.4-3)
Generate permutations of sequences - Rust source code
librust-pest+default-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest+pretty-print-dev (2.1.2-1)
Elegant Parser - feature "pretty-print"
librust-pest+serde-dev (2.1.2-1)
Elegant Parser - feature "serde"
librust-pest+serde-json-dev (2.1.2-1)
Elegant Parser - feature "serde_json"
librust-pest-2+default-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest-2+pretty-print-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+pretty-print-dev
librust-pest-2+serde-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+serde-dev
librust-pest-2+serde-json-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+serde-json-dev
librust-pest-2-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest-2.1+default-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest-2.1+pretty-print-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+pretty-print-dev
librust-pest-2.1+serde-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+serde-dev
librust-pest-2.1+serde-json-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+serde-json-dev
librust-pest-2.1-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest-2.1.2+default-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest-2.1.2+pretty-print-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+pretty-print-dev
librust-pest-2.1.2+serde-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+serde-dev
librust-pest-2.1.2+serde-json-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest+serde-json-dev
librust-pest-2.1.2-dev (= 2.1.2-1)
本虛擬套件由這些套件填實: librust-pest-dev
librust-pest-derive+default-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-2+default-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-2-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-2.1+default-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-2.1-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-2.1.0+default-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-2.1.0-dev (= 2.1.0-1+b2)
本虛擬套件由這些套件填實: librust-pest-derive-dev
librust-pest-derive-dev (2.1.0-1+b2)
Pest's derive macro - Rust source code
librust-pest-dev (2.1.2-1)
Elegant Parser - Rust source code
librust-pest-generator+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-2+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-2-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-2.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-2.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-2.1.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-2.1.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-pest-generator-dev
librust-pest-generator-dev (2.1.1-2)
Pest code generator - Rust source code
librust-pest-meta+default-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-2+default-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-2-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-2.1+default-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-2.1-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-2.1.1+default-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-2.1.1-dev (= 2.1.1-1)
本虛擬套件由這些套件填實: librust-pest-meta-dev
librust-pest-meta-dev (2.1.1-1)
Pest meta language parser and validator - Rust source code
librust-petgraph+all-dev (0.5.0-1)
Graph data structure library - feature "all"
librust-petgraph+default-dev (0.5.0-1)
Graph data structure library - feature "default"
librust-petgraph+generate-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph+graphmap-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph+matrix-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph+quickcheck-dev (0.5.0-1)
Graph data structure library - feature "quickcheck"
librust-petgraph+serde-1-dev (0.5.0-1)
Graph data structure library - feature "serde-1"
librust-petgraph+serde-derive-dev (0.5.0-1)
Graph data structure library - feature "serde_derive"
librust-petgraph+serde-dev (0.5.0-1)
Graph data structure library - feature "serde"
librust-petgraph+stable-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph+unstable-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0+all-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+all-dev
librust-petgraph-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+default-dev
librust-petgraph-0+generate-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0+graphmap-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0+matrix-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0+quickcheck-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+quickcheck-dev
librust-petgraph-0+serde-1-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-1-dev
librust-petgraph-0+serde-derive-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-derive-dev
librust-petgraph-0+serde-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-dev
librust-petgraph-0+stable-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0+unstable-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5+all-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+all-dev
librust-petgraph-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+default-dev
librust-petgraph-0.5+generate-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5+graphmap-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5+matrix-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5+quickcheck-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+quickcheck-dev
librust-petgraph-0.5+serde-1-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-1-dev
librust-petgraph-0.5+serde-derive-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-derive-dev
librust-petgraph-0.5+serde-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-dev
librust-petgraph-0.5+stable-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5+unstable-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5.0+all-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+all-dev
librust-petgraph-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+default-dev
librust-petgraph-0.5.0+generate-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5.0+graphmap-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5.0+matrix-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5.0+quickcheck-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+quickcheck-dev
librust-petgraph-0.5.0+serde-1-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-1-dev
librust-petgraph-0.5.0+serde-derive-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-derive-dev
librust-petgraph-0.5.0+serde-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph+serde-dev
librust-petgraph-0.5.0+stable-graph-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5.0+unstable-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-petgraph-dev
librust-petgraph-dev (0.5.0-1)
Graph data structure library - Rust source code
librust-phf+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf+macros-dev (0.8.0-2)
Runtime support for perfect hash function data structures - feature "macros"
librust-phf+phf-macros-dev (0.8.0-2)
Runtime support for perfect hash function data structures - feature "phf_macros"
librust-phf+proc-macro-hack-dev (0.8.0-2)
Runtime support for perfect hash function data structures - feature "proc-macro-hack"
librust-phf+std-dev (0.8.0-2)
Runtime support for perfect hash function data structures - feature "std" and 1 more
librust-phf+unicase-dev (0.8.0-2)
Runtime support for perfect hash function data structures - feature "unicase"
librust-phf-0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf-0+macros-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+macros-dev
librust-phf-0+phf-macros-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+phf-macros-dev
librust-phf-0+proc-macro-hack-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+proc-macro-hack-dev
librust-phf-0+std-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf-0+unicase-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+unicase-dev
librust-phf-0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf-dev
librust-phf-0.8+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf-0.8+macros-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+macros-dev
librust-phf-0.8+phf-macros-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+phf-macros-dev
librust-phf-0.8+proc-macro-hack-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+proc-macro-hack-dev
librust-phf-0.8+std-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf-0.8+unicase-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+unicase-dev
librust-phf-0.8-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf-dev
librust-phf-0.8.0+default-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf-0.8.0+macros-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+macros-dev
librust-phf-0.8.0+phf-macros-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+phf-macros-dev
librust-phf-0.8.0+proc-macro-hack-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+proc-macro-hack-dev
librust-phf-0.8.0+std-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+std-dev
librust-phf-0.8.0+unicase-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf+unicase-dev
librust-phf-0.8.0-dev (= 0.8.0-2)
本虛擬套件由這些套件填實: librust-phf-dev
librust-phf-codegen+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-codegen-dev
librust-phf-codegen-dev (0.8.0-1)
Codegen library for PHF types - Rust source code
librust-phf-dev (0.8.0-2)
Runtime support for perfect hash function data structures - Rust source code
librust-phf-generator+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-generator-dev
librust-phf-generator-dev (0.8.0-1)
PHF generation logic - Rust source code
librust-phf-macros+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-macros-dev
librust-phf-macros-dev (0.8.0-1)
Macros to generate types in the phf crate - Rust source code
librust-phf-shared+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared+std-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared+unicase-dev (0.8.0-1)
Support code shared by PHF libraries - feature "unicase"
librust-phf-shared-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0+std-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0+unicase-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared+unicase-dev
librust-phf-shared-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0.8+std-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0.8+unicase-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared+unicase-dev
librust-phf-shared-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0.8.0+std-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-0.8.0+unicase-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared+unicase-dev
librust-phf-shared-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-phf-shared-dev
librust-phf-shared-dev (0.8.0-1)
Support code shared by PHF libraries - Rust source code
librust-pico-args+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args+eq-separator-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args+short-space-opt-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0+eq-separator-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0+short-space-opt-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3+eq-separator-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3+short-space-opt-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3.4+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3.4+eq-separator-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3.4+short-space-opt-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-0.3.4-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-pico-args-dev
librust-pico-args-dev (0.3.4-2)
Ultra simple CLI arguments parser - Rust source code
librust-pidfile-rs+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-0.1+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-0.1-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-0.1.0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-0.1.0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-pidfile-rs-dev
librust-pidfile-rs-dev (0.1.0-2)
Rust wrapper for pidfile_* functions from libbsd/libutil - Rust source code
librust-pin-project+default-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-0+default-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-0-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-0.4+default-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-0.4-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-0.4.8+default-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-0.4.8-dev (= 0.4.8-1+b2)
本虛擬套件由這些套件填實: librust-pin-project-dev
librust-pin-project-dev (0.4.8-1+b2)
Safe and ergonomic pin-projection - Rust source code
librust-pin-project-internal+default-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-0+default-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-0-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-0.4+default-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-0.4-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-0.4.8+default-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-0.4.8-dev (= 0.4.8-1+b1)
本虛擬套件由這些套件填實: librust-pin-project-internal-dev
librust-pin-project-internal-dev (0.4.8-1+b1)
Internal crate to support pin_project - do not use directly - Rust source code
librust-pin-utils+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-0+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-0-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-0.1+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-0.1-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-0.1.0+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-0.1.0-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-pin-utils-dev
librust-pin-utils-dev (0.1.0-1)
Utilities for pinning - Rust source code
librust-pkg-config+default-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-0+default-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-0-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-0.3+default-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-0.3-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-0.3.18+default-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-0.3.18-dev (= 0.3.18-1)
本虛擬套件由這些套件填實: librust-pkg-config-dev
librust-pkg-config-dev (0.3.18-1)
Run pkg-config at build time Cargo build scripts - Rust source code
librust-pktparse+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse+serde-dev (0.5.0-1)
Collection of packet parsers - feature "serde"
librust-pktparse-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse-0+serde-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse+serde-dev
librust-pktparse-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse-0.5+serde-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse+serde-dev
librust-pktparse-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse-0.5.0+serde-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse+serde-dev
librust-pktparse-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-pktparse-dev
librust-pktparse-dev (0.5.0-1)
Collection of packet parsers - Rust source code
librust-plain+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-0+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-0-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-0.2+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-0.2-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-0.2.3+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-0.2.3-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-plain-dev
librust-plain-dev (0.2.3-1+b1)
Small Rust library that allows users to reinterpret data of certain types safely - Rust source code
librust-platform-info+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-0+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-0-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-0.1+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-0.1-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-0.1.0+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-0.1.0-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-platform-info-dev
librust-platform-info-dev (0.1.0-1)
Simple cross-platform interface to get info about a system - Rust source code
librust-pleaser+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-0+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-0-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-0.4+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-0.4-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-0.4.1+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-0.4.1-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-pleaser-dev
librust-pleaser-dev (0.4.1-1)
Please, a polite regex-first sudo alternative - Rust source code
librust-pledge+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-0+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-0-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-0.3+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-0.3-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-0.3.1+default-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-0.3.1-dev (= 0.3.1-1+b1)
本虛擬套件由這些套件填實: librust-pledge-dev
librust-pledge-dev (0.3.1-1+b1)
Rust binding to OpenBSD's pledge(2) interface - Rust source code
librust-plist+default-dev (1.0.0-1)
Rusty plist parser - feature "default" and 1 more
librust-plist+enable-unstable-features-that-may-break-with-minor-version-bumps-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist+serde-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1+enable-unstable-features-that-may-break-with-minor-version-bumps-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist-1+serde-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist-1.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1.0+enable-unstable-features-that-may-break-with-minor-version-bumps-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist-1.0+serde-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist-1.0.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1.0.0+enable-unstable-features-that-may-break-with-minor-version-bumps-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist-1.0.0+serde-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist+default-dev
librust-plist-1.0.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-plist-dev
librust-plist-dev (1.0.0-1)
Rusty plist parser - Rust source code
librust-png+benchmarks-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png+deflate-dev (0.15.0-1)
PNG decoding and encoding library in pure Rust - feature "deflate" and 2 more
librust-png+png-encoding-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png+unstable-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0+benchmarks-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0+deflate-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0+png-encoding-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0+unstable-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0.15+benchmarks-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0.15+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0.15+deflate-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0.15+png-encoding-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0.15+unstable-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0.15-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0.15.0+benchmarks-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0.15.0+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0.15.0+deflate-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0.15.0+png-encoding-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png+deflate-dev
librust-png-0.15.0+unstable-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-0.15.0-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-png-dev
librust-png-dev (0.15.0-1)
PNG decoding and encoding library in pure Rust - Rust source code
librust-pocket-resources+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-0+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-0-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-0.3+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-0.3-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-0.3.2+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-0.3.2-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-pocket-resources-dev
librust-pocket-resources-dev (0.3.2-1+b1)
Include resources in your applications - Rust source code
librust-podio+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-0+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-0-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-0.1+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-0.1-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-0.1.6+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-0.1.6-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-podio-dev
librust-podio-dev (0.1.6-1+b1)
Additional trait for Read and Write to read and write Plain Old Data - Rust source code
librust-pool+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-pool-dev
librust-pool-dev (0.1.4-1+b1)
Pool of reusable values - Rust source code
librust-ppv-lite86+default-dev (0.2.6-2)
crypto-simd API for x86 - feature "default"
librust-ppv-lite86+simd-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86+std-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0+default-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86+default-dev
librust-ppv-lite86-0+simd-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0+std-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0.2+default-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86+default-dev
librust-ppv-lite86-0.2+simd-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0.2+std-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0.2-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0.2.6+default-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86+default-dev
librust-ppv-lite86-0.2.6+simd-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0.2.6+std-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-0.2.6-dev (= 0.2.6-2)
本虛擬套件由這些套件填實: librust-ppv-lite86-dev
librust-ppv-lite86-dev (0.2.6-2)
crypto-simd API for x86 - Rust source code
librust-pq-sys+default-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys+pkg-config-dev (0.4.6-1+b1)
Auto-generated rust bindings for libpq - feature "pkg-config"
librust-pq-sys-0+default-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys-0+pkg-config-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys+pkg-config-dev
librust-pq-sys-0-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys-0.4+default-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys-0.4+pkg-config-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys+pkg-config-dev
librust-pq-sys-0.4-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys-0.4.6+default-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys-0.4.6+pkg-config-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys+pkg-config-dev
librust-pq-sys-0.4.6-dev (= 0.4.6-1+b1)
本虛擬套件由這些套件填實: librust-pq-sys-dev
librust-pq-sys-dev (0.4.6-1+b1)
Auto-generated rust bindings for libpq - Rust source code
librust-precomputed-hash+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-precomputed-hash-dev
librust-precomputed-hash-dev (0.1.1-1+b1)
Expose a precomputed hash for a given type - Rust source code
librust-pretty-assertions+default-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-0+default-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-0-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-0.6+default-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-0.6-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-0.6.1+default-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-0.6.1-dev (= 0.6.1-3)
本虛擬套件由這些套件填實: librust-pretty-assertions-dev
librust-pretty-assertions-dev (0.6.1-3)
drop-in replacements for assert macros with colorful diffs - Rust source code
librust-proc-macro-crate+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-0+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-0-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-0.1+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-0.1-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-0.1.5+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-0.1.5-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-proc-macro-crate-dev
librust-proc-macro-crate-dev (0.1.5-2)
Replacement for crate (macro_rules keyword) in proc-macros - Rust source code
librust-proc-macro-error+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-1+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-1-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-1.0+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-1.0-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-1.0.3+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-1.0.3-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-dev
librust-proc-macro-error-attr+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-1+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-1-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-1.0+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-1.0-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-1.0.3+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-1.0.3-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-proc-macro-error-attr-dev
librust-proc-macro-error-attr-dev (1.0.3-1)
Attribute macro for proc-macro-error crate - Rust source code
librust-proc-macro-error-dev (1.0.3-1)
Almost drop-in replacement to panics in proc-macros - Rust source code
librust-proc-macro-hack+default-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-0+default-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-0-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-0.5+default-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-0.5-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-0.5.9+default-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-0.5.9-dev (= 0.5.9-1)
本虛擬套件由這些套件填實: librust-proc-macro-hack-dev
librust-proc-macro-hack-dev (0.5.9-1)
Procedural macros in expression position - Rust source code
librust-proc-macro-nested+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-0+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-0-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-0.1+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-0.1-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-0.1.3+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-0.1.3-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-proc-macro-nested-dev
librust-proc-macro-nested-dev (0.1.3-2)
Support for nested proc-macro-hack invocations - Rust source code
librust-proc-macro2+default-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2+nightly-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2+proc-macro-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2+span-locations-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1+default-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1+nightly-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1+proc-macro-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1+span-locations-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0+default-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0+nightly-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0+proc-macro-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0+span-locations-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0.18+default-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0.18+nightly-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0.18+proc-macro-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0.18+span-locations-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-1.0.18-dev (= 1.0.18-1)
本虛擬套件由這些套件填實: librust-proc-macro2-dev
librust-proc-macro2-dev (1.0.18-1)
Substitute implementation of the compiler's `proc_macro` API to decouple token-based libraries from the procedural macro use case - Rust source code
librust-proc-quote-impl+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-0+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-0-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-0.3+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-0.3-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-0.3.2+default-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-0.3.2-dev (= 0.3.2-1+b1)
本虛擬套件由這些套件填實: librust-proc-quote-impl-dev
librust-proc-quote-impl-dev (0.3.2-1+b1)
Procedural macro implementation of quote! - Rust source code
librust-procedural-masquerade+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-0+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-0-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-0.1+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-0.1-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-0.1.6+default-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-0.1.6-dev (= 0.1.6-1+b1)
本虛擬套件由這些套件填實: librust-procedural-masquerade-dev
librust-procedural-masquerade-dev (0.1.6-1+b1)
proc_macro_derive pretending to be proc_macro - Rust source code
librust-proptest+alloc-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest+atomic64bit-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest+bit-set-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "bit-set"
librust-proptest+break-dead-code-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest+default-code-coverage-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "default-code-coverage"
librust-proptest+default-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "default"
librust-proptest+fork-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "fork"
librust-proptest+lazy-static-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "lazy_static"
librust-proptest+quick-error-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "quick-error"
librust-proptest+regex-syntax-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "regex-syntax"
librust-proptest+rusty-fork-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "rusty-fork"
librust-proptest+std-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "std"
librust-proptest+tempfile-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "tempfile"
librust-proptest+timeout-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - feature "timeout"
librust-proptest+unstable-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0+alloc-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0+atomic64bit-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0+bit-set-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+bit-set-dev
librust-proptest-0+break-dead-code-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0+default-code-coverage-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+default-code-coverage-dev
librust-proptest-0+default-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+default-dev
librust-proptest-0+fork-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+fork-dev
librust-proptest-0+lazy-static-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+lazy-static-dev
librust-proptest-0+quick-error-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+quick-error-dev
librust-proptest-0+regex-syntax-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+regex-syntax-dev
librust-proptest-0+rusty-fork-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+rusty-fork-dev
librust-proptest-0+std-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+std-dev
librust-proptest-0+tempfile-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+tempfile-dev
librust-proptest-0+timeout-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+timeout-dev
librust-proptest-0+unstable-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9+alloc-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9+atomic64bit-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9+bit-set-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+bit-set-dev
librust-proptest-0.9+break-dead-code-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9+default-code-coverage-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+default-code-coverage-dev
librust-proptest-0.9+default-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+default-dev
librust-proptest-0.9+fork-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+fork-dev
librust-proptest-0.9+lazy-static-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+lazy-static-dev
librust-proptest-0.9+quick-error-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+quick-error-dev
librust-proptest-0.9+regex-syntax-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+regex-syntax-dev
librust-proptest-0.9+rusty-fork-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+rusty-fork-dev
librust-proptest-0.9+std-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+std-dev
librust-proptest-0.9+tempfile-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+tempfile-dev
librust-proptest-0.9+timeout-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+timeout-dev
librust-proptest-0.9+unstable-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9.4+alloc-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9.4+atomic64bit-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9.4+bit-set-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+bit-set-dev
librust-proptest-0.9.4+break-dead-code-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9.4+default-code-coverage-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+default-code-coverage-dev
librust-proptest-0.9.4+default-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+default-dev
librust-proptest-0.9.4+fork-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+fork-dev
librust-proptest-0.9.4+lazy-static-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+lazy-static-dev
librust-proptest-0.9.4+quick-error-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+quick-error-dev
librust-proptest-0.9.4+regex-syntax-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+regex-syntax-dev
librust-proptest-0.9.4+rusty-fork-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+rusty-fork-dev
librust-proptest-0.9.4+std-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+std-dev
librust-proptest-0.9.4+tempfile-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+tempfile-dev
librust-proptest-0.9.4+timeout-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest+timeout-dev
librust-proptest-0.9.4+unstable-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-0.9.4-dev (= 0.9.4-3)
本虛擬套件由這些套件填實: librust-proptest-dev
librust-proptest-dev (0.9.4-3)
Hypothesis-like property-based testing and shrinking - Rust source code
librust-psm+default-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-0+default-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-0-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-0.1+default-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-0.1-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-0.1.8+default-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-0.1.8-dev (= 0.1.8-1+b2)
本虛擬套件由這些套件填實: librust-psm-dev
librust-psm-dev (0.1.8-1+b2)
Portable Stack Manipulation: stack manipulation and introspection routines - Rust source code
librust-publicsuffix+default-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix+native-tls-dev (1.5.2-1+b1)
Robust domain name parsing and RFC compliant email address validation - feature "native-tls"
librust-publicsuffix+remote-list-dev (1.5.2-1+b1)
Robust domain name parsing and RFC compliant email address validation - feature "remote_list"
librust-publicsuffix-1+default-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix-1+native-tls-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+native-tls-dev
librust-publicsuffix-1+remote-list-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix-1-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix-dev
librust-publicsuffix-1.5+default-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix-1.5+native-tls-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+native-tls-dev
librust-publicsuffix-1.5+remote-list-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix-1.5-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix-dev
librust-publicsuffix-1.5.2+default-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix-1.5.2+native-tls-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+native-tls-dev
librust-publicsuffix-1.5.2+remote-list-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix+remote-list-dev
librust-publicsuffix-1.5.2-dev (= 1.5.2-1+b1)
本虛擬套件由這些套件填實: librust-publicsuffix-dev
librust-publicsuffix-dev (1.5.2-1+b1)
Robust domain name parsing and RFC compliant email address validation - Rust source code
librust-pulldown-cmark+default-dev (0.2.0-1)
Pull parser for CommonMark - feature "default"
librust-pulldown-cmark+gen-tests-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark+getopts-dev (0.2.0-1)
Pull parser for CommonMark - feature "getopts"
librust-pulldown-cmark-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark+default-dev
librust-pulldown-cmark-0+gen-tests-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark-0+getopts-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark+getopts-dev
librust-pulldown-cmark-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark+default-dev
librust-pulldown-cmark-0.2+gen-tests-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark-0.2+getopts-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark+getopts-dev
librust-pulldown-cmark-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark+default-dev
librust-pulldown-cmark-0.2.0+gen-tests-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark-0.2.0+getopts-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark+getopts-dev
librust-pulldown-cmark-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-pulldown-cmark-dev
librust-pulldown-cmark-dev (0.2.0-1)
Pull parser for CommonMark - Rust source code
librust-quick-error+default-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-1+default-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-1-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-1.2+default-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-1.2-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-1.2.3+default-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-1.2.3-dev (= 1.2.3-1)
本虛擬套件由這些套件填實: librust-quick-error-dev
librust-quick-error-dev (1.2.3-1)
Macro which makes error types pleasant to write - Rust source code
librust-quick-xml+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml+encoding-dev (0.20.0-1)
High performance xml reader and writer - feature "encoding" and 1 more
librust-quick-xml+encoding-rs-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml+serde-dev (0.20.0-1)
High performance xml reader and writer - feature "serde" and 1 more
librust-quick-xml+serialize-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml-0+encoding-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml-0+encoding-rs-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml-0+serde-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0+serialize-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml-0.20+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml-0.20+encoding-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml-0.20+encoding-rs-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml-0.20+serde-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0.20+serialize-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0.20-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml-0.20.0+default-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml-0.20.0+encoding-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml-0.20.0+encoding-rs-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+encoding-dev
librust-quick-xml-0.20.0+serde-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0.20.0+serialize-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml+serde-dev
librust-quick-xml-0.20.0-dev (= 0.20.0-1)
本虛擬套件由這些套件填實: librust-quick-xml-dev
librust-quick-xml-dev (0.20.0-1)
High performance xml reader and writer - Rust source code
librust-quickcheck+default-dev (0.9.2-1)
Automatic property based testing with shrinking - feature "default"
librust-quickcheck+env-logger-dev (0.9.2-1)
Automatic property based testing with shrinking - feature "env_logger"
librust-quickcheck+log-dev (0.9.2-1)
Automatic property based testing with shrinking - feature "log"
librust-quickcheck+regex-dev (0.9.2-1)
Automatic property based testing with shrinking - feature "regex"
librust-quickcheck+unstable-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck+use-logging-dev (0.9.2-1)
Automatic property based testing with shrinking - feature "use_logging"
librust-quickcheck-0+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+default-dev
librust-quickcheck-0+env-logger-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+env-logger-dev
librust-quickcheck-0+log-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+log-dev
librust-quickcheck-0+regex-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+regex-dev
librust-quickcheck-0+unstable-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck-0+use-logging-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+use-logging-dev
librust-quickcheck-0-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck-0.9+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+default-dev
librust-quickcheck-0.9+env-logger-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+env-logger-dev
librust-quickcheck-0.9+log-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+log-dev
librust-quickcheck-0.9+regex-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+regex-dev
librust-quickcheck-0.9+unstable-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck-0.9+use-logging-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+use-logging-dev
librust-quickcheck-0.9-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck-0.9.2+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+default-dev
librust-quickcheck-0.9.2+env-logger-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+env-logger-dev
librust-quickcheck-0.9.2+log-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+log-dev
librust-quickcheck-0.9.2+regex-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+regex-dev
librust-quickcheck-0.9.2+unstable-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck-0.9.2+use-logging-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck+use-logging-dev
librust-quickcheck-0.9.2-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-quickcheck-dev
librust-quickcheck-dev (0.9.2-1)
Automatic property based testing with shrinking - Rust source code
librust-quickcheck-macros+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-0+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-0-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-0.9+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-0.9-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-0.9.1+default-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-0.9.1-dev (= 0.9.1-2)
本虛擬套件由這些套件填實: librust-quickcheck-macros-dev
librust-quickcheck-macros-dev (0.9.1-2)
Macro attribute for quickcheck - Rust source code
librust-quote+default-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote+proc-macro-dev (1.0.7-1)
Quasi-quoting macro quote!(...) - feature "proc-macro" and 1 more
librust-quote-1+default-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote-1+proc-macro-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote-1-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote-dev
librust-quote-1.0+default-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote-1.0+proc-macro-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote-1.0-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote-dev
librust-quote-1.0.7+default-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote-1.0.7+proc-macro-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote+proc-macro-dev
librust-quote-1.0.7-dev (= 1.0.7-1)
本虛擬套件由這些套件填實: librust-quote-dev
librust-quote-dev (1.0.7-1)
Quasi-quoting macro quote!(...) - Rust source code
librust-radix-trie+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie+serde-dev (0.1.5-2)
Generic radix trie data-structure - feature "serde"
librust-radix-trie-0+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie-0+serde-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie+serde-dev
librust-radix-trie-0-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie-0.1+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie-0.1+serde-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie+serde-dev
librust-radix-trie-0.1-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie-0.1.5+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie-0.1.5+serde-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie+serde-dev
librust-radix-trie-0.1.5-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-radix-trie-dev
librust-radix-trie-dev (0.1.5-2)
Generic radix trie data-structure - Rust source code
librust-rand+alloc-dev (0.7.3-3)
Randomness functionality, number generators - feature "alloc"
librust-rand+default-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand+getrandom-dev (0.7.3-3)
Randomness functionality, number generators - feature "getrandom"
librust-rand+getrandom-package-dev (0.7.3-3)
Randomness functionality, number generators - feature "getrandom_package"
librust-rand+libc-dev (0.7.3-3)
Randomness functionality, number generators - feature "libc"
librust-rand+log-dev (0.7.3-3)
Randomness functionality, number generators - feature "log"
librust-rand+nightly-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand+packed-simd-dev (0.7.3-3)
Randomness functionality, number generators - feature "packed_simd" and 2 more
librust-rand+rand-pcg-dev (0.7.3-3)
Randomness functionality, number generators - feature "rand_pcg" and 1 more
librust-rand+serde1-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand+simd-support-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand+small-rng-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand+std-dev (0.7.3-3)
Randomness functionality, number generators - feature "std" and 1 more
librust-rand+stdweb-dev (0.7.3-3)
Randomness functionality, number generators - feature "stdweb"
librust-rand+wasm-bindgen-dev (0.7.3-3)
Randomness functionality, number generators - feature "wasm-bindgen"
librust-rand-0+alloc-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+alloc-dev
librust-rand-0+default-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand-0+getrandom-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+getrandom-dev
librust-rand-0+getrandom-package-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+getrandom-package-dev
librust-rand-0+libc-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+libc-dev
librust-rand-0+log-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+log-dev
librust-rand-0+nightly-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0+packed-simd-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0+rand-pcg-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand-0+serde1-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand-0+simd-support-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0+small-rng-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand-0+std-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand-0+stdweb-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+stdweb-dev
librust-rand-0+wasm-bindgen-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+wasm-bindgen-dev
librust-rand-0-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand-0.7+alloc-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+alloc-dev
librust-rand-0.7+default-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand-0.7+getrandom-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+getrandom-dev
librust-rand-0.7+getrandom-package-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+getrandom-package-dev
librust-rand-0.7+libc-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+libc-dev
librust-rand-0.7+log-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+log-dev
librust-rand-0.7+nightly-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0.7+packed-simd-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0.7+rand-pcg-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand-0.7+serde1-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand-0.7+simd-support-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0.7+small-rng-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand-0.7+std-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand-0.7+stdweb-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+stdweb-dev
librust-rand-0.7+wasm-bindgen-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+wasm-bindgen-dev
librust-rand-0.7-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand-0.7.3+alloc-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+alloc-dev
librust-rand-0.7.3+default-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand-0.7.3+getrandom-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+getrandom-dev
librust-rand-0.7.3+getrandom-package-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+getrandom-package-dev
librust-rand-0.7.3+libc-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+libc-dev
librust-rand-0.7.3+log-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+log-dev
librust-rand-0.7.3+nightly-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0.7.3+packed-simd-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0.7.3+rand-pcg-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand-0.7.3+serde1-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand-0.7.3+simd-support-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+packed-simd-dev
librust-rand-0.7.3+small-rng-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+rand-pcg-dev
librust-rand-0.7.3+std-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+std-dev
librust-rand-0.7.3+stdweb-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+stdweb-dev
librust-rand-0.7.3+wasm-bindgen-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand+wasm-bindgen-dev
librust-rand-0.7.3-dev (= 0.7.3-3)
本虛擬套件由這些套件填實: librust-rand-dev
librust-rand-chacha+default-dev (0.2.2-1)
ChaCha random number generator - feature "default"
librust-rand-chacha+simd-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha+std-dev (0.2.2-1)
ChaCha random number generator - feature "std"
librust-rand-chacha-0+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha+default-dev
librust-rand-chacha-0+simd-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha-0+std-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha+std-dev
librust-rand-chacha-0-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha-0.2+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha+default-dev
librust-rand-chacha-0.2+simd-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha-0.2+std-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha+std-dev
librust-rand-chacha-0.2-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha-0.2.2+default-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha+default-dev
librust-rand-chacha-0.2.2+simd-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha-0.2.2+std-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha+std-dev
librust-rand-chacha-0.2.2-dev (= 0.2.2-1)
本虛擬套件由這些套件填實: librust-rand-chacha-dev
librust-rand-chacha-dev (0.2.2-1)
ChaCha random number generator - Rust source code
librust-rand-core+alloc-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core+getrandom-dev (0.5.1-1)
Core random number generator traits and tools - feature "getrandom"
librust-rand-core+serde-dev (0.5.1-1)
Core random number generator traits and tools - feature "serde" and 1 more
librust-rand-core+serde1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core+std-dev (0.5.1-1)
Core random number generator traits and tools - feature "std"
librust-rand-core-0+alloc-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0+getrandom-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+getrandom-dev
librust-rand-core-0+serde-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core-0+serde1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core-0+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+std-dev
librust-rand-core-0-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0.5+alloc-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0.5+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0.5+getrandom-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+getrandom-dev
librust-rand-core-0.5+serde-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core-0.5+serde1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core-0.5+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+std-dev
librust-rand-core-0.5-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0.5.1+alloc-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0.5.1+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-0.5.1+getrandom-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+getrandom-dev
librust-rand-core-0.5.1+serde-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core-0.5.1+serde1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+serde-dev
librust-rand-core-0.5.1+std-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core+std-dev
librust-rand-core-0.5.1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rand-core-dev
librust-rand-core-dev (0.5.1-1)
Core random number generator traits and tools - Rust source code
librust-rand-dev (0.7.3-3)
Randomness functionality, number generators - Rust source code
librust-rand-hc+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-rand-hc-dev
librust-rand-hc-dev (0.2.0-1+b1)
HC128 random number generator - Rust source code
librust-rand-isaac+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac+serde-dev (0.2.0-1)
ISAAC random number generator - feature "serde"
librust-rand-isaac+serde1-dev (0.2.0-1)
ISAAC random number generator - feature "serde1"
librust-rand-isaac-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac-0+serde-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac+serde-dev
librust-rand-isaac-0+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac+serde1-dev
librust-rand-isaac-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac-0.2+serde-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac+serde-dev
librust-rand-isaac-0.2+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac+serde1-dev
librust-rand-isaac-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac-0.2.0+serde-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac+serde-dev
librust-rand-isaac-0.2.0+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac+serde1-dev
librust-rand-isaac-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-isaac-dev
librust-rand-isaac-dev (0.2.0-1)
ISAAC random number generator - Rust source code
librust-rand-os+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os+log-dev (0.2.2-2)
OS backed Random Number Generator - feature "log"
librust-rand-os+stdweb-dev (0.2.2-2)
OS backed Random Number Generator - feature "stdweb"
librust-rand-os+wasm-bindgen-dev (0.2.2-2)
OS backed Random Number Generator - feature "wasm-bindgen"
librust-rand-os-0+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os-0+log-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+log-dev
librust-rand-os-0+stdweb-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+stdweb-dev
librust-rand-os-0+wasm-bindgen-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+wasm-bindgen-dev
librust-rand-os-0-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os-0.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os-0.2+log-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+log-dev
librust-rand-os-0.2+stdweb-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+stdweb-dev
librust-rand-os-0.2+wasm-bindgen-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+wasm-bindgen-dev
librust-rand-os-0.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os-0.2.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os-0.2.2+log-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+log-dev
librust-rand-os-0.2.2+stdweb-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+stdweb-dev
librust-rand-os-0.2.2+wasm-bindgen-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os+wasm-bindgen-dev
librust-rand-os-0.2.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-rand-os-dev
librust-rand-os-dev (0.2.2-2)
OS backed Random Number Generator - Rust source code
librust-rand-pcg+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg+serde-dev (0.2.1-1)
Selected PCG random number generators - feature "serde" and 1 more
librust-rand-pcg+serde1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg-0+serde-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0+serde1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg-0.2+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg-0.2+serde-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0.2+serde1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0.2-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg-0.2.1+default-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg-0.2.1+serde-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0.2.1+serde1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg+serde-dev
librust-rand-pcg-0.2.1-dev (= 0.2.1-1)
本虛擬套件由這些套件填實: librust-rand-pcg-dev
librust-rand-pcg-dev (0.2.1-1)
Selected PCG random number generators - Rust source code
librust-rand-xorshift+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift+serde-dev (0.2.0-1)
Xorshift random number generator - feature "serde" and 1 more
librust-rand-xorshift+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift-0+serde-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift-0.2+serde-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0.2+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift-0.2.0+serde-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0.2.0+serde1-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift+serde-dev
librust-rand-xorshift-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-rand-xorshift-dev
librust-rand-xorshift-dev (0.2.0-1)
Xorshift random number generator - Rust source code
librust-rand-xoshiro+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro+serde-dev (0.4.0-1)
Xoshiro, xoroshiro and splitmix64 RNGs - feature "serde" and 1 more
librust-rand-xoshiro+serde1-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro-0+serde-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0+serde1-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro-0.4+serde-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0.4+serde1-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro-0.4.0+serde-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0.4.0+serde1-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro+serde-dev
librust-rand-xoshiro-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-rand-xoshiro-dev
librust-rand-xoshiro-dev (0.4.0-1)
Xoshiro, xoroshiro and splitmix64 RNGs - Rust source code
librust-random+default-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-0+default-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-0-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-0.12+default-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-0.12-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-0.12.2+default-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-0.12.2-dev (= 0.12.2-2)
本虛擬套件由這些套件填實: librust-random-dev
librust-random-dev (0.12.2-2)
Package provides sources of randomness - Rust source code
librust-rayon+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-1+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-1-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-1.1+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-1.1-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-1.1.0+default-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-1.1.0-dev (= 1.1.0-2)
本虛擬套件由這些套件填實: librust-rayon-dev
librust-rayon-core+default-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-1+default-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-1-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-1.7+default-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-1.7-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-1.7.0+default-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-1.7.0-dev (= 1.7.0-1)
本虛擬套件由這些套件填實: librust-rayon-core-dev
librust-rayon-core-dev (1.7.0-1)
Core APIs for Rayon - Rust source code
librust-rayon-dev (1.1.0-2)
Simple work-stealing parallelism for Rust - Rust source code
librust-rctree+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-0+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-0-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-0.3+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-0.3-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-0.3.3+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-0.3.3-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-rctree-dev
librust-rctree-dev (0.3.3-1)
'DOM-like' tree implemented using reference counting - Rust source code
librust-redox-syscall+default-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-0+default-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-0-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-0.1+default-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-0.1-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-0.1.57+default-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-0.1.57-dev (= 0.1.57-2)
本虛擬套件由這些套件填實: librust-redox-syscall-dev
librust-redox-syscall-dev (0.1.57-2)
Access raw Redox system calls - Rust source code
librust-reduce+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-reduce-dev
librust-reduce-dev (0.1.1-1+b1)
Fold an iterator without an initial value - Rust source code
librust-ref-cast+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-1+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-1-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-1.0+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-1.0-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-1.0.3+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-1.0.3-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-dev
librust-ref-cast-dev (1.0.3-1+b2)
Safely cast &T to &U where the struct U contains a single field of type T - Rust source code
librust-ref-cast-impl+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-1+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-1-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-1.0+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-1.0-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-1.0.3+default-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-1.0.3-dev (= 1.0.3-1+b2)
本虛擬套件由這些套件填實: librust-ref-cast-impl-dev
librust-ref-cast-impl-dev (1.0.3-1+b2)
Derive implementation for ref_cast::RefCast - Rust source code
librust-regex+aho-corasick-dev (1.3.7-1)
Regular expressions for Rust - feature "aho-corasick"
librust-regex+default-dev (1.3.7-1)
Regular expressions for Rust - feature "default"
librust-regex+memchr-dev (1.3.7-1)
Regular expressions for Rust - feature "memchr"
librust-regex+pattern-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex+perf-cache-dev (1.3.7-1)
Regular expressions for Rust - feature "perf-cache" and 1 more
librust-regex+perf-dev (1.3.7-1)
Regular expressions for Rust - feature "perf"
librust-regex+perf-dfa-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex+perf-inline-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex+perf-literal-dev (1.3.7-1)
Regular expressions for Rust - feature "perf-literal"
librust-regex+std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex+thread-local-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex+unicode-age-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-age"
librust-regex+unicode-bool-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-bool"
librust-regex+unicode-case-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-case"
librust-regex+unicode-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode"
librust-regex+unicode-gencat-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-gencat"
librust-regex+unicode-perl-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-perl"
librust-regex+unicode-script-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-script"
librust-regex+unicode-segment-dev (1.3.7-1)
Regular expressions for Rust - feature "unicode-segment"
librust-regex+unstable-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex+use-std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1+aho-corasick-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+aho-corasick-dev
librust-regex-1+default-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+default-dev
librust-regex-1+memchr-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+memchr-dev
librust-regex-1+pattern-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1+perf-cache-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex-1+perf-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-dev
librust-regex-1+perf-dfa-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1+perf-inline-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1+perf-literal-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-literal-dev
librust-regex-1+std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1+thread-local-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex-1+unicode-age-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-age-dev
librust-regex-1+unicode-bool-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-bool-dev
librust-regex-1+unicode-case-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-case-dev
librust-regex-1+unicode-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-dev
librust-regex-1+unicode-gencat-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-gencat-dev
librust-regex-1+unicode-perl-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-perl-dev
librust-regex-1+unicode-script-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-script-dev
librust-regex-1+unicode-segment-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-segment-dev
librust-regex-1+unstable-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1+use-std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3+aho-corasick-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+aho-corasick-dev
librust-regex-1.3+default-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+default-dev
librust-regex-1.3+memchr-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+memchr-dev
librust-regex-1.3+pattern-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3+perf-cache-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex-1.3+perf-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-dev
librust-regex-1.3+perf-dfa-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3+perf-inline-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3+perf-literal-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-literal-dev
librust-regex-1.3+std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3+thread-local-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex-1.3+unicode-age-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-age-dev
librust-regex-1.3+unicode-bool-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-bool-dev
librust-regex-1.3+unicode-case-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-case-dev
librust-regex-1.3+unicode-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-dev
librust-regex-1.3+unicode-gencat-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-gencat-dev
librust-regex-1.3+unicode-perl-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-perl-dev
librust-regex-1.3+unicode-script-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-script-dev
librust-regex-1.3+unicode-segment-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-segment-dev
librust-regex-1.3+unstable-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3+use-std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7+aho-corasick-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+aho-corasick-dev
librust-regex-1.3.7+default-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+default-dev
librust-regex-1.3.7+memchr-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+memchr-dev
librust-regex-1.3.7+pattern-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7+perf-cache-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex-1.3.7+perf-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-dev
librust-regex-1.3.7+perf-dfa-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7+perf-inline-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7+perf-literal-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-literal-dev
librust-regex-1.3.7+std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7+thread-local-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+perf-cache-dev
librust-regex-1.3.7+unicode-age-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-age-dev
librust-regex-1.3.7+unicode-bool-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-bool-dev
librust-regex-1.3.7+unicode-case-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-case-dev
librust-regex-1.3.7+unicode-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-dev
librust-regex-1.3.7+unicode-gencat-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-gencat-dev
librust-regex-1.3.7+unicode-perl-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-perl-dev
librust-regex-1.3.7+unicode-script-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-script-dev
librust-regex-1.3.7+unicode-segment-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex+unicode-segment-dev
librust-regex-1.3.7+unstable-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7+use-std-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-1.3.7-dev (= 1.3.7-1)
本虛擬套件由這些套件填實: librust-regex-dev
librust-regex-automata+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata+regex-syntax-dev (0.1.8-2)
Automata construction and matching using regular expressions - feature "regex-syntax"
librust-regex-automata+std-dev (0.1.8-2)
Automata construction and matching using regular expressions - feature "std" and 1 more
librust-regex-automata+utf8-ranges-dev (0.1.8-2)
Automata construction and matching using regular expressions - feature "utf8-ranges"
librust-regex-automata-0+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata-0+regex-syntax-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+regex-syntax-dev
librust-regex-automata-0+std-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata-0+utf8-ranges-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+utf8-ranges-dev
librust-regex-automata-0-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata-dev
librust-regex-automata-0.1+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata-0.1+regex-syntax-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+regex-syntax-dev
librust-regex-automata-0.1+std-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata-0.1+utf8-ranges-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+utf8-ranges-dev
librust-regex-automata-0.1-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata-dev
librust-regex-automata-0.1.8+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata-0.1.8+regex-syntax-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+regex-syntax-dev
librust-regex-automata-0.1.8+std-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+std-dev
librust-regex-automata-0.1.8+utf8-ranges-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata+utf8-ranges-dev
librust-regex-automata-0.1.8-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-regex-automata-dev
librust-regex-automata-dev (0.1.8-2)
Automata construction and matching using regular expressions - Rust source code
librust-regex-dev (1.3.7-1)
Regular expressions for Rust - Rust source code
librust-regex-syntax+default-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax+unicode-age-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax+unicode-bool-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax+unicode-case-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax+unicode-dev (0.6.17-1)
Regular expression parser - feature "unicode" and 1 more
librust-regex-syntax+unicode-gencat-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax+unicode-perl-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax+unicode-script-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax+unicode-segment-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+default-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax-0+unicode-age-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+unicode-bool-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+unicode-case-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+unicode-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax-0+unicode-gencat-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+unicode-perl-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+unicode-script-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0+unicode-segment-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+default-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax-0.6+unicode-age-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+unicode-bool-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+unicode-case-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+unicode-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax-0.6+unicode-gencat-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+unicode-perl-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+unicode-script-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6+unicode-segment-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+default-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax-0.6.17+unicode-age-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+unicode-bool-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+unicode-case-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+unicode-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax+unicode-dev
librust-regex-syntax-0.6.17+unicode-gencat-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+unicode-perl-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+unicode-script-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17+unicode-segment-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-0.6.17-dev (= 0.6.17-1)
本虛擬套件由這些套件填實: librust-regex-syntax-dev
librust-regex-syntax-dev (0.6.17-1)
Regular expression parser - Rust source code
librust-remove-dir-all+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-0+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-0-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-0.5+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-0.5-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-0.5.2+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-0.5.2-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-remove-dir-all-dev
librust-remove-dir-all-dev (0.5.2-1)
Safe, reliable implementation of remove_dir_all for Windows - Rust source code
librust-resvg+cairo-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg+cairo-rs-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg+qt-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg+resvg-qt-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0+cairo-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0+cairo-rs-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0+qt-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0+resvg-qt-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8+cairo-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8+cairo-rs-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8+qt-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8+resvg-qt-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8.0+cairo-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8.0+cairo-rs-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8.0+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8.0+qt-backend-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8.0+resvg-qt-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-0.8.0-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-dev
librust-resvg-dev (0.8.0-4)
SVG rendering library - Rust source code
librust-resvg-qt+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-0+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-0-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-0.8+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-0.8-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-0.8.0+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-0.8.0-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-resvg-qt-dev
librust-resvg-qt-dev (0.8.0-4)
Minimal bindings to Qt used by resvg - Rust source code
librust-rfc822-sanitizer+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-0+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-0-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-0.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-0.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-0.3.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-0.3.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-rfc822-sanitizer-dev
librust-rfc822-sanitizer-dev (0.3.3-2)
Rfc822/2822 sanitizer/normalizer - Rust source code
librust-rgb+default-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb+serde-dev (0.8.11-1+b1)
`struct RGB` (etc.) for sharing pixels between crates - feature "serde"
librust-rgb-0+default-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb-0+serde-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb+serde-dev
librust-rgb-0-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb-0.8+default-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb-0.8+serde-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb+serde-dev
librust-rgb-0.8-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb-0.8.11+default-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb-0.8.11+serde-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb+serde-dev
librust-rgb-0.8.11-dev (= 0.8.11-1+b1)
本虛擬套件由這些套件填實: librust-rgb-dev
librust-rgb-dev (0.8.11-1+b1)
`struct RGB` (etc.) for sharing pixels between crates - Rust source code
librust-rle-decode-fast+default-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-1+default-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-1-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-1.0+default-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-1.0-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-1.0.1+default-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-1.0.1-dev (= 1.0.1-3)
本虛擬套件由這些套件填實: librust-rle-decode-fast-dev
librust-rle-decode-fast-dev (1.0.1-3)
Fastest way to implement any kind of decoding for Run Length Encoded data in Rust - Rust source code
librust-ron+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-0+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-0-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-0.6+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-0.6-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-0.6.4+default-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-0.6.4-dev (= 0.6.4-1+b1)
本虛擬套件由這些套件填實: librust-ron-dev
librust-ron-dev (0.6.4-1+b1)
Rusty Object Notation - Rust source code
librust-roxmltree+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-0+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-0-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-0.7+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-0.7-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-0.7.3+default-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-0.7.3-dev (= 0.7.3-1)
本虛擬套件由這些套件填實: librust-roxmltree-dev
librust-roxmltree-dev (0.7.3-1)
Represent an XML as a read-only tree - Rust source code
librust-rpassword+default-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-5+default-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-5-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-5.0+default-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-5.0-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-5.0.0+default-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-5.0.0-dev (= 5.0.0-2)
本虛擬套件由這些套件填實: librust-rpassword-dev
librust-rpassword-dev (5.0.0-2)
Read passwords in console applications - Rust source code
librust-rust-decimal+byteorder-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal+bytes-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal+default-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal+serde-bincode-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal+serde-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal+serde-float-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal+std-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+byteorder-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+bytes-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+default-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+serde-bincode-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+serde-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+serde-float-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1+std-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+byteorder-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+bytes-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+default-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+serde-bincode-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+serde-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+serde-float-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8+std-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+byteorder-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+bytes-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+default-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+serde-bincode-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+serde-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+serde-float-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1+std-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-1.8.1-dev (= 1.8.1-2)
本虛擬套件由這些套件填實: librust-rust-decimal-dev
librust-rust-decimal-dev (1.8.1-2)
Decimal Implementation written in pure Rust suitable for financial calculations - Rust source code
librust-rust-ini+case-insensitive-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini+default-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini+inline-comment-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini+unicase-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0+case-insensitive-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0+default-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0+inline-comment-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0+unicase-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16+case-insensitive-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16+default-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16+inline-comment-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16+unicase-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16.0+case-insensitive-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16.0+default-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16.0+inline-comment-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16.0+unicase-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-0.16.0-dev (= 0.16.0-2)
本虛擬套件由這些套件填實: librust-rust-ini-dev
librust-rust-ini-dev (0.16.0-2)
Ini configuration file parsing library in Rust - Rust source code
librust-rustc-cfg+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-0.4+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-0.4-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-0.4.0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-0.4.0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-rustc-cfg-dev
librust-rustc-cfg-dev (0.4.0-2)
Runs `rustc --print cfg` and parses the output - Rust source code
librust-rustc-demangle+compiler-builtins-dev (0.1.16-4)
Rust compiler symbol demangling - feature "compiler_builtins"
librust-rustc-demangle+core-dev (0.1.16-4)
Rust compiler symbol demangling - feature "core"
librust-rustc-demangle+default-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle+rustc-dep-of-std-dev (0.1.16-4)
Rust compiler symbol demangling - feature "rustc-dep-of-std"
librust-rustc-demangle-0+compiler-builtins-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+compiler-builtins-dev
librust-rustc-demangle-0+core-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+core-dev
librust-rustc-demangle-0+default-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle-0+rustc-dep-of-std-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+rustc-dep-of-std-dev
librust-rustc-demangle-0-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle-0.1+compiler-builtins-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+compiler-builtins-dev
librust-rustc-demangle-0.1+core-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+core-dev
librust-rustc-demangle-0.1+default-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle-0.1+rustc-dep-of-std-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+rustc-dep-of-std-dev
librust-rustc-demangle-0.1-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle-0.1.16+compiler-builtins-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+compiler-builtins-dev
librust-rustc-demangle-0.1.16+core-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+core-dev
librust-rustc-demangle-0.1.16+default-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle-0.1.16+rustc-dep-of-std-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle+rustc-dep-of-std-dev
librust-rustc-demangle-0.1.16-dev (= 0.1.16-4)
本虛擬套件由這些套件填實: librust-rustc-demangle-dev
librust-rustc-demangle-dev (0.1.16-4)
Rust compiler symbol demangling - Rust source code
librust-rustc-hash+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash+std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1+std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1.1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1.1+std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1.1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1.1.0+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1.1.0+std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-1.1.0-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-rustc-hash-dev
librust-rustc-hash-dev (1.1.0-1)
Speed, non-cryptographic hash used in rustc - Rust source code
librust-rustc-serialize+default-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-0+default-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-0-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-0.3+default-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-0.3-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-0.3.24+default-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-0.3.24-dev (= 0.3.24-1+b1)
本虛擬套件由這些套件填實: librust-rustc-serialize-dev
librust-rustc-serialize-dev (0.3.24-1+b1)
Generic serialization/deserialization support corresponding to the `derive(RustcEncodable, RustcDecodable)` mode in the compiler - Rust source code
librust-rustc-std-workspace-core+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-core-dev
librust-rustc-std-workspace-core-dev (1.0.0-1+b1)
Explicitly empty crate for rust-lang/rust integration - Rust source code
librust-rustc-std-workspace-std+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-1+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-1-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-1.0+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-1.0-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-1.0.1+default-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-1.0.1-dev (= 1.0.1-1+b2)
本虛擬套件由這些套件填實: librust-rustc-std-workspace-std-dev
librust-rustc-std-workspace-std-dev (1.0.1-1+b2)
Workaround for rustbuild - Rust source code
librust-rustc-version+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-0+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-0-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-0.2+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-0.2-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-0.2.3+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-0.2.3-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-rustc-version-dev
librust-rustc-version-dev (0.2.3-1+b1)
Querying the version of a installed rustc compiler - Rust source code
librust-rustc-workspace-hack+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-rustc-workspace-hack-dev
librust-rustc-workspace-hack-dev (1.0.0-1+b1)
Hack for the compiler's own build system - Rust source code
librust-rustdoc-stripper+default-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-0+default-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-0-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-0.1+default-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-0.1-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-0.1.12+default-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-0.1.12-dev (= 0.1.12-1+b1)
本虛擬套件由這些套件填實: librust-rustdoc-stripper-dev
librust-rustdoc-stripper-dev (0.1.12-1+b1)
Manipulate rustdoc comments - Rust source code
librust-rustfix+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-0+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-0-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-0.5+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-0.5-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-0.5.1+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-0.5.1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-rustfix-dev
librust-rustfix-dev (0.5.1-1)
Automatically apply the suggestions made by rustc - Rust source code
librust-rusticata-macros+default-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-2+default-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-2-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-2.0+default-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-2.0-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-2.0.4+default-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-2.0.4-dev (= 2.0.4-1)
本虛擬套件由這些套件填實: librust-rusticata-macros-dev
librust-rusticata-macros-dev (2.0.4-1)
Helper macros for Rusticata - Rust source code
librust-rustversion+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-1+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-1-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-1.0+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-1.0-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-1.0.2+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-1.0.2-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-rustversion-dev
librust-rustversion-dev (1.0.2-1)
Conditional compilation according to rustc compiler version - Rust source code
librust-rusty-fork+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork+timeout-dev (0.2.1-1+b1)
Cross-platform library for running Rust tests in sub-processes using a fork-like interface - feature "timeout"
librust-rusty-fork+wait-timeout-dev (0.2.1-1+b1)
Cross-platform library for running Rust tests in sub-processes using a fork-like interface - feature "wait-timeout"
librust-rusty-fork-0+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork-0+timeout-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork-0+wait-timeout-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+wait-timeout-dev
librust-rusty-fork-0-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork-dev
librust-rusty-fork-0.2+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork-0.2+timeout-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork-0.2+wait-timeout-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+wait-timeout-dev
librust-rusty-fork-0.2-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork-dev
librust-rusty-fork-0.2.1+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork-0.2.1+timeout-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+timeout-dev
librust-rusty-fork-0.2.1+wait-timeout-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork+wait-timeout-dev
librust-rusty-fork-0.2.1-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-rusty-fork-dev
librust-rusty-fork-dev (0.2.1-1+b1)
Cross-platform library for running Rust tests in sub-processes using a fork-like interface - Rust source code
librust-rustyline+default-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline+dirs-dev (6.3.0-2)
Readline implementation based on Linenoise - feature "dirs" and 2 more
librust-rustyline+with-dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline+with-fuzzy-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-6+default-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6+dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6+with-dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6+with-fuzzy-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-6-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-6.3+default-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6.3+dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6.3+with-dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6.3+with-fuzzy-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-6.3-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-6.3.0+default-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6.3.0+dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6.3.0+with-dirs-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline+dirs-dev
librust-rustyline-6.3.0+with-fuzzy-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-6.3.0-dev (= 6.3.0-2)
本虛擬套件由這些套件填實: librust-rustyline-dev
librust-rustyline-dev (6.3.0-2)
Readline implementation based on Linenoise - Rust source code
librust-ryu+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu+no-panic-dev (1.0.2-1)
Fast floating point to string conversion - feature "no-panic"
librust-ryu+small-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1+no-panic-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu+no-panic-dev
librust-ryu-1+small-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1.0+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1.0+no-panic-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu+no-panic-dev
librust-ryu-1.0+small-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1.0-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1.0.2+default-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1.0.2+no-panic-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu+no-panic-dev
librust-ryu-1.0.2+small-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-1.0.2-dev (= 1.0.2-1)
本虛擬套件由這些套件填實: librust-ryu-dev
librust-ryu-dev (1.0.2-1)
Fast floating point to string conversion - Rust source code
librust-safe-transmute+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute+test-unaligned-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0+test-unaligned-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10+test-unaligned-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10.1+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10.1+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10.1+test-unaligned-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-0.10.1-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-safe-transmute-dev
librust-safe-transmute-dev (0.10.1-2)
Safeguarded transmute() for Rust - Rust source code
librust-safemem+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem+std-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0+std-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0.3+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0.3+std-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0.3-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0.3.3+default-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0.3.3+std-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-0.3.3-dev (= 0.3.3-1)
本虛擬套件由這些套件填實: librust-safemem-dev
librust-safemem-dev (0.3.3-1)
Safe wrappers for memory-accessing functions, like `std::ptr::copy()` - Rust source code
librust-same-file+default-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-1+default-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-1-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-1.0+default-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-1.0-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-1.0.6+default-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-1.0.6-dev (= 1.0.6-1)
本虛擬套件由這些套件填實: librust-same-file-dev
librust-same-file-dev (1.0.6-1)
Simple crate for determining whether two file paths point to the same file - Rust source code
librust-schannel+default-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-0+default-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-0-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-0.1+default-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-0.1-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-0.1.19+default-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-0.1.19-dev (= 0.1.19-1)
本虛擬套件由這些套件填實: librust-schannel-dev
librust-schannel-dev (0.1.19-1)
Schannel bindings for rust, allowing SSL/TLS (e.g - Rust source code
librust-scoped-threadpool+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool+nightly-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0+nightly-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0.1+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0.1+nightly-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0.1-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0.1.9+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0.1.9+nightly-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-0.1.9-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-scoped-threadpool-dev
librust-scoped-threadpool-dev (0.1.9-1+b1)
Scoped and cached threadpools - Rust source code
librust-scoped-tls+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-1+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-1-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-1.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-1.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-1.0.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-1.0.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-scoped-tls-dev
librust-scoped-tls-dev (1.0.0-1)
Library implementation of the standard library's old `scoped_thread_local!` macro for providing scoped access to thread local storage (TLS) so any type can be stored into TLS - Rust source code
librust-scopeguard+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard+use-std-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard+use-std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-0+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0+use-std-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0.3+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0.3+use-std-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0.3-dev (0.3.3-1+b1)
RAII scope guard that will run a given closure when it goes out of scope, even if the code between panics (assuming unwinding panic) - Rust source code
librust-scopeguard-0.3.3+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0.3.3+use-std-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-0.3.3-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scopeguard-1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1+use-std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1.1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1.1+use-std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1.1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1.1.0+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1.1.0+use-std-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-1.1.0-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-scopeguard-dev
librust-scopeguard-dev (1.1.0-1)
Resource-acquisition-is-initialization scope guard - Rust source code
librust-scopeguard-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-scopeguard-0.3-dev
librust-scrawl+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-1+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-1-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-1.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-1.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-1.0.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-1.0.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-scrawl-dev
librust-scrawl-dev (1.0.0-2)
Rust library to open a user's text editor - Rust source code
librust-scroll+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll+derive-dev (0.10.1-2)
Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - feature "derive" and 1 more
librust-scroll+scroll-derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0+derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll-0+scroll-derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll-0+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0.10+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0.10+derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll-0.10+scroll-derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll-0.10+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0.10-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0.10.1+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0.10.1+derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll-0.10.1+scroll-derive-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll+derive-dev
librust-scroll-0.10.1+std-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-0.10.1-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-dev
librust-scroll-derive+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-0+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-0-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-0.10+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-0.10-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-0.10.1+default-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-0.10.1-dev (= 0.10.1-2)
本虛擬套件由這些套件填實: librust-scroll-derive-dev
librust-scroll-derive-dev (0.10.1-2)
Macros 1.1 derive implementation for Pread and Pwrite traits from the scroll crate - Rust source code
librust-scroll-dev (0.10.1-2)
Suite of powerful, extensible, generic, endian-aware Read/Write traits for byte buffers - Rust source code
librust-seccomp-sys+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-0+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-0-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-0.1+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-0.1-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-0.1.3+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-0.1.3-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-seccomp-sys-dev
librust-seccomp-sys-dev (0.1.3-1)
Low-level bindings to libseccomp - Rust source code
librust-section-testing+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-0+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-0-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-0.0+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-0.0-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-0.0.4+default-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-0.0.4-dev (= 0.0.4-2)
本虛擬套件由這些套件填實: librust-section-testing-dev
librust-section-testing-dev (0.0.4-2)
Section-style testing - Rust source code
librust-security-framework-sys+default-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys+osx-10-10-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys+osx-10-11-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys+osx-10-12-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys+osx-10-13-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys+osx-10-9-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0+default-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0+osx-10-10-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0+osx-10-11-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0+osx-10-12-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0+osx-10-13-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0+osx-10-9-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4+default-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4+osx-10-10-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4+osx-10-11-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4+osx-10-12-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4+osx-10-13-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4+osx-10-9-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2+default-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2+osx-10-10-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2+osx-10-11-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2+osx-10-12-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2+osx-10-13-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2+osx-10-9-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-0.4.2-dev (= 0.4.2-2)
本虛擬套件由這些套件填實: librust-security-framework-sys-dev
librust-security-framework-sys-dev (0.4.2-2)
Apple `Security.framework` low-level FFI bindings - Rust source code
librust-semver+ci-dev (0.9.0-1+b1)
Semantic version parsing and comparison - feature "ci"
librust-semver+default-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver+serde-dev (0.9.0-1+b1)
Semantic version parsing and comparison - feature "serde"
librust-semver-0+ci-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver+ci-dev
librust-semver-0+default-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver-0+serde-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver+serde-dev
librust-semver-0-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver-0.9+ci-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver+ci-dev
librust-semver-0.9+default-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver-0.9+serde-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver+serde-dev
librust-semver-0.9-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver-0.9.0+ci-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver+ci-dev
librust-semver-0.9.0+default-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver-0.9.0+serde-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver+serde-dev
librust-semver-0.9.0-dev (= 0.9.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-dev
librust-semver-dev (0.9.0-1+b1)
Semantic version parsing and comparison - Rust source code
librust-semver-parser+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-semver-parser-0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser-0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser-0+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-semver-parser-0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser-0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser-0-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-semver-parser-0.10+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser-0.10-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser-0.10.0+default-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser-0.10.0-dev (= 0.10.0-1)
本虛擬套件由這些套件填實: librust-semver-parser-dev
librust-semver-parser-0.7+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser-0.7-dev (0.7.0-1+b1)
Parsing of the semver spec - Rust source code
librust-semver-parser-0.7.0+default-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser-0.7.0-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser-0.9+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-semver-parser-0.9-dev (0.9.0-3)
Parsing of the semver spec - Rust source code
librust-semver-parser-0.9.0+default-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-semver-parser-0.9.0-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-semver-parser-dev (0.10.0-1)
Parsing of the semver spec - Rust source code
librust-semver-parser-dev (= 0.7.0-1+b1)
本虛擬套件由這些套件填實: librust-semver-parser-0.7-dev
librust-semver-parser-dev (= 0.9.0-3)
本虛擬套件由這些套件填實: librust-semver-parser-0.9-dev
librust-separator+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-0.4+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-0.4-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-0.4.0+default-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-0.4.0-dev (= 0.4.0-1)
本虛擬套件由這些套件填實: librust-separator-dev
librust-separator-dev (0.4.0-1)
Formats numbers with thousands separators - Rust source code
librust-sequoia-autocrypt+default-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-0+default-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-0-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-0.23+default-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-0.23-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-0.23.1+default-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-0.23.1-dev (= 0.23.1-1)
本虛擬套件由這些套件填實: librust-sequoia-autocrypt-dev
librust-sequoia-autocrypt-dev (0.23.1-1)
Autocrypt support - Rust source code
librust-sequoia-openpgp+bzip2-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "bzip2"
librust-sequoia-openpgp+compression-bzip2-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "compression-bzip2"
librust-sequoia-openpgp+compression-deflate-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "compression-deflate"
librust-sequoia-openpgp+compression-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "compression"
librust-sequoia-openpgp+crypto-nettle-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "crypto-nettle" and 1 more
librust-sequoia-openpgp+default-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "default"
librust-sequoia-openpgp+flate2-dev (1.1.0-3)
OpenPGP data types and associated machinery - feature "flate2"
librust-sequoia-openpgp+nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp+vendored-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp+vendored-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1+bzip2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+bzip2-dev
librust-sequoia-openpgp-1+compression-bzip2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-bzip2-dev
librust-sequoia-openpgp-1+compression-deflate-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-deflate-dev
librust-sequoia-openpgp-1+compression-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-dev
librust-sequoia-openpgp-1+crypto-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp-1+default-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+default-dev
librust-sequoia-openpgp-1+flate2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+flate2-dev
librust-sequoia-openpgp-1+nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp-1+vendored-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1+vendored-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1.1+bzip2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+bzip2-dev
librust-sequoia-openpgp-1.1+compression-bzip2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-bzip2-dev
librust-sequoia-openpgp-1.1+compression-deflate-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-deflate-dev
librust-sequoia-openpgp-1.1+compression-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-dev
librust-sequoia-openpgp-1.1+crypto-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp-1.1+default-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+default-dev
librust-sequoia-openpgp-1.1+flate2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+flate2-dev
librust-sequoia-openpgp-1.1+nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp-1.1+vendored-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1.1+vendored-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1.1-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1.1.0+bzip2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+bzip2-dev
librust-sequoia-openpgp-1.1.0+compression-bzip2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-bzip2-dev
librust-sequoia-openpgp-1.1.0+compression-deflate-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-deflate-dev
librust-sequoia-openpgp-1.1.0+compression-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+compression-dev
librust-sequoia-openpgp-1.1.0+crypto-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp-1.1.0+default-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+default-dev
librust-sequoia-openpgp-1.1.0+flate2-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+flate2-dev
librust-sequoia-openpgp-1.1.0+nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp+crypto-nettle-dev
librust-sequoia-openpgp-1.1.0+vendored-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1.1.0+vendored-nettle-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-1.1.0-dev (= 1.1.0-3)
本虛擬套件由這些套件填實: librust-sequoia-openpgp-dev
librust-sequoia-openpgp-dev (1.1.0-3)
OpenPGP data types and associated machinery - Rust source code
librust-serde+alloc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde+derive-dev (1.0.106-1)
Generic serialization/deserialization framework - feature "derive" and 1 more
librust-serde+rc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde+serde-derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde+std-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde+unstable-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1+alloc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1+derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde-1+rc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1+serde-derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde-1+std-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1+unstable-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0+alloc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0+derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde-1.0+rc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0+serde-derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde-1.0+std-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0+unstable-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0.106+alloc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0.106+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0.106+derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde-1.0.106+rc-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0.106+serde-derive-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde+derive-dev
librust-serde-1.0.106+std-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0.106+unstable-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-1.0.106-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-dev
librust-serde-bytes+alloc-dev (0.11.5-1)
Optimized serde handling of u8 Vec and array - feature "alloc"
librust-serde-bytes+default-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes+std-dev (0.11.5-1)
Optimized serde handling of u8 Vec and array - feature "std" and 1 more
librust-serde-bytes-0+alloc-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+alloc-dev
librust-serde-bytes-0+default-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes-0+std-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes-0-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes-dev
librust-serde-bytes-0.11+alloc-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+alloc-dev
librust-serde-bytes-0.11+default-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes-0.11+std-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes-0.11-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes-dev
librust-serde-bytes-0.11.5+alloc-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+alloc-dev
librust-serde-bytes-0.11.5+default-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes-0.11.5+std-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes+std-dev
librust-serde-bytes-0.11.5-dev (= 0.11.5-1)
本虛擬套件由這些套件填實: librust-serde-bytes-dev
librust-serde-bytes-dev (0.11.5-1)
Optimized serde handling of u8 Vec and array - Rust source code
librust-serde-cbor+alloc-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor+default-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor+std-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor+tags-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor+unsealed-read-write-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0+alloc-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0+default-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0+std-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0+tags-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0+unsealed-read-write-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11+alloc-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11+default-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11+std-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11+tags-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11+unsealed-read-write-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11.1+alloc-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11.1+default-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11.1+std-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11.1+tags-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11.1+unsealed-read-write-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-0.11.1-dev (= 0.11.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-cbor-dev
librust-serde-cbor-dev (0.11.1-1+b1)
CBOR support for serde - Rust source code
librust-serde-derive+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive+deserialize-in-place-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1+deserialize-in-place-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1.0+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1.0+deserialize-in-place-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1.0-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1.0.106+default-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1.0.106+deserialize-in-place-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-1.0.106-dev (= 1.0.106-1)
本虛擬套件由這些套件填實: librust-serde-derive-dev
librust-serde-derive-dev (1.0.106-1)
Macros 1.1 #[derive(Serialize, Deserialize)] - Rust source code
librust-serde-dev (1.0.106-1)
Generic serialization/deserialization framework - Rust source code
librust-serde-ignored+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-0+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-0-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-0.1+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-0.1-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-0.1.0+default-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-0.1.0-dev (= 0.1.0-1)
本虛擬套件由這些套件填實: librust-serde-ignored-dev
librust-serde-ignored-dev (0.1.0-1)
Find out about keys that are ignored when deserializing data - Rust source code
librust-serde-json+arbitrary-precision-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json+default-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json+indexmap-dev (1.0.41-1)
JSON serialization file format - feature "indexmap" and 1 more
librust-serde-json+preserve-order-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json+raw-value-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json+unbounded-depth-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1+arbitrary-precision-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1+default-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1+indexmap-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json-1+preserve-order-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json-1+raw-value-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1+unbounded-depth-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0+arbitrary-precision-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0+default-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0+indexmap-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json-1.0+preserve-order-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json-1.0+raw-value-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0+unbounded-depth-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0.41+arbitrary-precision-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0.41+default-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0.41+indexmap-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json-1.0.41+preserve-order-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json+indexmap-dev
librust-serde-json-1.0.41+raw-value-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0.41+unbounded-depth-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-1.0.41-dev (= 1.0.41-1)
本虛擬套件由這些套件填實: librust-serde-json-dev
librust-serde-json-dev (1.0.41-1)
JSON serialization file format - Rust source code
librust-serde-repr+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-0+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-0-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-0.1+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-0.1-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-0.1.6+default-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-0.1.6-dev (= 0.1.6-2)
本虛擬套件由這些套件填實: librust-serde-repr-dev
librust-serde-repr-dev (0.1.6-2)
Derive Serialize and Deserialize that delegates to the underlying repr of a C-like enum - Rust source code
librust-serde-stacker+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-serde-stacker-dev
librust-serde-stacker-dev (0.1.1-2)
Serde adapter that avoids stack overflow by dynamically growing the stack - Rust source code
librust-serde-test+default-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-1+default-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-1-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-1.0+default-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-1.0-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-1.0.112+default-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-1.0.112-dev (= 1.0.112-1)
本虛擬套件由這些套件填實: librust-serde-test-dev
librust-serde-test-dev (1.0.112-1)
Token De/Serializer for testing De/Serialize implementations - Rust source code
librust-serde-urlencoded+default-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-0+default-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-0-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-0.6+default-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-0.6-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-0.6.1+default-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-0.6.1-dev (= 0.6.1-1+b1)
本虛擬套件由這些套件填實: librust-serde-urlencoded-dev
librust-serde-urlencoded-dev (0.6.1-1+b1)
`x-www-form-urlencoded` meets Serde - Rust source code
librust-serde-xml-rs+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-0.4+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-0.4-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-0.4.0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-0.4.0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-serde-xml-rs-dev
librust-serde-xml-rs-dev (0.4.0-2)
Xml-rs based deserializer for Serde (compatible with 0.9+) - Rust source code
librust-serde-yaml+default-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-0+default-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-0-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-0.8+default-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-0.8-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-0.8.11+default-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-0.8.11-dev (= 0.8.11-2)
本虛擬套件由這些套件填實: librust-serde-yaml-dev
librust-serde-yaml-dev (0.8.11-2)
YAML support for Serde - Rust source code
librust-serial-test+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-0+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-0-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-0.5+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-0.5-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-0.5.1+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-0.5.1-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-dev
librust-serial-test-derive+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-0+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-0-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-0.5+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-0.5-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-0.5.1+default-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-0.5.1-dev (= 0.5.1-1+b1)
本虛擬套件由這些套件填實: librust-serial-test-derive-dev
librust-serial-test-derive-dev (0.5.1-1+b1)
Helper crate for serial_test - Rust source code
librust-serial-test-dev (0.5.1-1+b1)
Allows for the creation of serialised Rust tests - Rust source code
librust-servo-freetype-sys+default-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-4+default-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-4-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-4.0+default-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-4.0-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-4.0.5+default-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-4.0.5-dev (= 4.0.5-2)
本虛擬套件由這些套件填實: librust-servo-freetype-sys-dev
librust-servo-freetype-sys-dev (4.0.5-2)
FreeType is a freely available software library to render fonts - Rust source code
librust-sha1+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1+serde-dev (0.6.0-1+b1)
Minimal implementation of SHA1 for Rust - feature "serde"
librust-sha1+std-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0+serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1+serde-dev
librust-sha1-0+std-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0.6+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0.6+serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1+serde-dev
librust-sha1-0.6+std-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0.6-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0.6.0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0.6.0+serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1+serde-dev
librust-sha1-0.6.0+std-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-0.6.0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-sha1-dev
librust-sha1-dev (0.6.0-1+b1)
Minimal implementation of SHA1 for Rust - Rust source code
librust-sha1collisiondetection+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection+std-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0+std-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0.2+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0.2+std-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0.2-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0.2.3+default-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0.2.3+std-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-0.2.3-dev (= 0.2.3-1+b1)
本虛擬套件由這些套件填實: librust-sha1collisiondetection-dev
librust-sha1collisiondetection-dev (0.2.3-1+b1)
SHA-1 hash function with collision detection and mitigation - Rust source code
librust-sha2+asm-aarch64-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+compress-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+force-soft-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+libc-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+sha2-asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2+std-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+asm-aarch64-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+compress-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+force-soft-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+libc-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+sha2-asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0+std-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+asm-aarch64-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+compress-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+force-soft-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+libc-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+sha2-asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9+std-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+asm-aarch64-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+compress-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+force-soft-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+libc-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+sha2-asm-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2+std-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-0.9.2-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-sha2-dev
librust-sha2-asm+default-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-0+default-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-0-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-0.5+default-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-0.5-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-0.5.1+default-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-0.5.1-dev (= 0.5.1-3+b1)
本虛擬套件由這些套件填實: librust-sha2-asm-dev
librust-sha2-asm-dev (0.5.1-3+b1)
Assembly implementation of SHA-2 compression functions - Rust source code
librust-sha2-dev (0.9.2-2)
Pure Rust implementation of the SHA-2 hash function family including SHA-224, SHA-256, SHA-384, and SHA-512 - Rust source code
librust-sha3+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3+std-dev (0.9.1-1)
SHA-3 (Keccak) hash function - feature "std" and 1 more
librust-sha3-0+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3-0+std-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3-0-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3-dev
librust-sha3-0.9+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3-0.9+std-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3-0.9-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3-dev
librust-sha3-0.9.1+default-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3-0.9.1+std-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3+std-dev
librust-sha3-0.9.1-dev (= 0.9.1-1)
本虛擬套件由這些套件填實: librust-sha3-dev
librust-sha3-dev (0.9.1-1)
SHA-3 (Keccak) hash function - Rust source code
librust-shannon+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-0.2+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-0.2-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-0.2.0+default-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-0.2.0-dev (= 0.2.0-2)
本虛擬套件由這些套件填實: librust-shannon-dev
librust-shannon-dev (0.2.0-2)
Shannon cipher implementation - Rust source code
librust-shared-child+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-0+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-0-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-0.3+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-0.3-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-0.3.3+default-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-0.3.3-dev (= 0.3.3-1+b1)
本虛擬套件由這些套件填實: librust-shared-child-dev
librust-shared-child-dev (0.3.3-1+b1)
Using child processes from multiple threads - Rust source code
librust-shell-escape+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-shell-escape-dev
librust-shell-escape-dev (0.1.4-1+b1)
Escape characters that may have a special meaning in a shell - Rust source code
librust-shell-words+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-1+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-1-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-1.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-1.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-1.0.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-1.0.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-shell-words-dev
librust-shell-words-dev (1.0.0-1)
Process command line according to parsing rules of UNIX shell - Rust source code
librust-shellwords+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-shellwords-dev
librust-shellwords-dev (1.0.0-1+b1)
Word parsing rules of the UNIX Bourne shell - Rust source code
librust-shlex+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-shlex-dev
librust-shlex-dev (0.1.1-1+b1)
Split a string into shell words, like Python's shlex - Rust source code
librust-signal-hook+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook+futures-dev (0.1.13-1)
Unix signal handling - feature "futures"
librust-signal-hook+mio-dev (0.1.13-1)
Unix signal handling - feature "mio" and 1 more
librust-signal-hook+mio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook+tokio-reactor-dev (0.1.13-1)
Unix signal handling - feature "tokio-reactor"
librust-signal-hook+tokio-support-dev (0.1.13-1)
Unix signal handling - feature "tokio-support"
librust-signal-hook-0+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook-0+futures-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+futures-dev
librust-signal-hook-0+mio-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook-0+mio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook-0+tokio-reactor-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+tokio-reactor-dev
librust-signal-hook-0+tokio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+tokio-support-dev
librust-signal-hook-0-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook-0.1+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook-0.1+futures-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+futures-dev
librust-signal-hook-0.1+mio-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook-0.1+mio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook-0.1+tokio-reactor-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+tokio-reactor-dev
librust-signal-hook-0.1+tokio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+tokio-support-dev
librust-signal-hook-0.1-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook-0.1.13+default-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook-0.1.13+futures-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+futures-dev
librust-signal-hook-0.1.13+mio-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook-0.1.13+mio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+mio-dev
librust-signal-hook-0.1.13+tokio-reactor-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+tokio-reactor-dev
librust-signal-hook-0.1.13+tokio-support-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook+tokio-support-dev
librust-signal-hook-0.1.13-dev (= 0.1.13-1)
本虛擬套件由這些套件填實: librust-signal-hook-dev
librust-signal-hook-dev (0.1.13-1)
Unix signal handling - Rust source code
librust-signal-hook-registry+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-1+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-1-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-1.2+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-1.2-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-1.2.0+default-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-1.2.0-dev (= 1.2.0-1)
本虛擬套件由這些套件填實: librust-signal-hook-registry-dev
librust-signal-hook-registry-dev (1.2.0-1)
Backend crate for signal-hook - Rust source code
librust-simplecss+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-simplecss-dev
librust-simplecss-dev (0.2.0-1)
Simple CSS 2 parser and selector - Rust source code
librust-siphasher+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-0+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-0-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-0.3+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-0.3-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-0.3.1+default-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-0.3.1-dev (= 0.3.1-1)
本虛擬套件由這些套件填實: librust-siphasher-dev
librust-siphasher-dev (0.3.1-1)
SipHash-2-4, SipHash-1-3 and 128-bit variants in pure Rust - Rust source code
librust-sized-chunks+default-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks+std-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0+default-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0+std-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0.6+default-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0.6+std-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0.6-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0.6.2+default-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0.6.2+std-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-0.6.2-dev (= 0.6.2-1)
本虛擬套件由這些套件填實: librust-sized-chunks-dev
librust-sized-chunks-dev (0.6.2-1)
Efficient sized chunk datatypes - Rust source code
librust-slab+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-0+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-0-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-0.4+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-0.4-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-0.4.1+default-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-0.4.1-dev (= 0.4.1-1)
本虛擬套件由這些套件填實: librust-slab-dev
librust-slab-dev (0.4.1-1)
Pre-allocated storage for a uniform data type - Rust source code
librust-slog+default-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+dynamic-keys-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+erased-serde-dev (2.5.2-1)
Structured, extensible, composable logging - feature "erased-serde"
librust-slog+max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+nested-values-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog+nothreads-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+release-max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+release-max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+release-max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+release-max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+release-max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+release-max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog+std-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+default-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+dynamic-keys-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+erased-serde-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog-2+max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+nested-values-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog-2+nothreads-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+release-max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+release-max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+release-max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+release-max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+release-max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+release-max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2+std-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+default-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+dynamic-keys-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+erased-serde-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog-2.5+max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+nested-values-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog-2.5+nothreads-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+release-max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+release-max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+release-max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+release-max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+release-max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+release-max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5+std-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+default-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+dynamic-keys-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+erased-serde-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog-2.5.2+max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+nested-values-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog+erased-serde-dev
librust-slog-2.5.2+nothreads-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+release-max-level-debug-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+release-max-level-error-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+release-max-level-info-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+release-max-level-off-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+release-max-level-trace-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+release-max-level-warn-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2+std-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-2.5.2-dev (= 2.5.2-1)
本虛擬套件由這些套件填實: librust-slog-dev
librust-slog-async+default-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async+dynamic-keys-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async+nested-values-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2+default-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2+dynamic-keys-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2+nested-values-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5+default-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5+dynamic-keys-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5+nested-values-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5.0+default-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5.0+dynamic-keys-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5.0+nested-values-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-2.5.0-dev (= 2.5.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-async-dev
librust-slog-async-dev (2.5.0-1+b1)
Asynchronous drain for slog-rs - Rust source code
librust-slog-dev (2.5.2-1)
Structured, extensible, composable logging - Rust source code
librust-slog-term+default-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term+erased-serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term+nested-values-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term+serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term+serde-json-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2+default-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2+erased-serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2+nested-values-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2+serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2+serde-json-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6+default-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6+erased-serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6+nested-values-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6+serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6+serde-json-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6.0+default-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6.0+erased-serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6.0+nested-values-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6.0+serde-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6.0+serde-json-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-2.6.0-dev (= 2.6.0-1+b1)
本虛擬套件由這些套件填實: librust-slog-term-dev
librust-slog-term-dev (2.6.0-1+b1)
Unix terminal drain and formatter for slog-rs - Rust source code
librust-smallvec+const-generics-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec+default-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec+may-dangle-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec+serde-dev (1.4.2-2)
Store up to a small number of items on the stack - feature "serde"
librust-smallvec+specialization-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec+union-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec+write-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1+const-generics-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1+default-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1+may-dangle-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1+serde-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec+serde-dev
librust-smallvec-1+specialization-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1+union-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1+write-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4+const-generics-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4+default-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4+may-dangle-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4+serde-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec+serde-dev
librust-smallvec-1.4+specialization-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4+union-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4+write-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2+const-generics-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2+default-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2+may-dangle-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2+serde-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec+serde-dev
librust-smallvec-1.4.2+specialization-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2+union-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2+write-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-1.4.2-dev (= 1.4.2-2)
本虛擬套件由這些套件填實: librust-smallvec-dev
librust-smallvec-dev (1.4.2-2)
Store up to a small number of items on the stack - Rust source code
librust-sniffglue+default-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-0+default-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-0-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-0.11+default-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-0.11-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-0.11.1+default-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-0.11.1-dev (= 0.11.1-6+b1)
本虛擬套件由這些套件填實: librust-sniffglue-dev
librust-sniffglue-dev (0.11.1-6+b1)
Secure multithreaded packet sniffer - Rust source code
librust-socket2+default-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2+pair-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2+reuseport-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2+unix-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0+default-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0+pair-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0+reuseport-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0+unix-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3+default-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3+pair-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3+reuseport-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3+unix-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3.19+default-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3.19+pair-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3.19+reuseport-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3.19+unix-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-0.3.19-dev (= 0.3.19-2)
本虛擬套件由這些套件填實: librust-socket2-dev
librust-socket2-dev (0.3.19-2)
Utilities for handling networking sockets with a maximal amount of configuration possible intended - Rust source code
librust-sourcefile+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-0+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-0-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-0.1+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-0.1-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-0.1.4+default-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-0.1.4-dev (= 0.1.4-2)
本虛擬套件由這些套件填實: librust-sourcefile-dev
librust-sourcefile-dev (0.1.4-2)
Retain mapping information when concatenating source files - Rust source code
librust-spin+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-0+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-0-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-0.5+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-0.5-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-0.5.2+default-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-0.5.2-dev (= 0.5.2-1)
本虛擬套件由這些套件填實: librust-spin-dev
librust-spin-dev (0.5.2-1)
Synchronization primitives based on spinning - Rust source code
librust-stable-deref-trait+alloc-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait+default-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait+std-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1+alloc-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1+default-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1+std-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1+alloc-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1+default-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1+std-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1.1+alloc-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1.1+default-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1.1+std-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-1.1.1-dev (= 1.1.1-1+b1)
本虛擬套件由這些套件填實: librust-stable-deref-trait-dev
librust-stable-deref-trait-dev (1.1.1-1+b1)
Unsafe marker trait for types that deref to a stable address - Rust source code
librust-stacker+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-0+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-0-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-0.1+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-0.1-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-0.1.9+default-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-0.1.9-dev (= 0.1.9-1+b1)
本虛擬套件由這些套件填實: librust-stacker-dev
librust-stacker-dev (0.1.9-1+b1)
Stack growth library useful when implementing deeply recursive algorithms that may accidentally blow the stack - Rust source code
librust-stackvector+default-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector+std-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1+default-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1+std-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1.0+default-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1.0+std-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1.0-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1.0.6+default-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1.0.6+std-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-1.0.6-dev (= 1.0.6-3)
本虛擬套件由這些套件填實: librust-stackvector-dev
librust-stackvector-dev (1.0.6-3)
StackVec: vector-like facade for stack-allocated arrays - Rust source code
librust-starship-module-config-derive+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-0+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-0-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-0.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-0.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-0.1.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-0.1.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-starship-module-config-derive-dev
librust-starship-module-config-derive-dev (0.1.1-1+b2)
Cross-shell prompt for astronauts - Rust source code
librust-static-assertions+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions+nightly-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1+nightly-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1.1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1.1+nightly-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1.1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1.1.0+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1.1.0+nightly-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-1.1.0-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-static-assertions-dev
librust-static-assertions-dev (1.1.0-1)
Compile-time assertions to ensure that invariants are met - Rust source code
librust-std-prelude+default-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-0+default-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-0-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-0.2+default-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-0.2-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-0.2.12+default-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-0.2.12-dev (= 0.2.12-1+b1)
本虛擬套件由這些套件填實: librust-std-prelude-dev
librust-std-prelude-dev (0.2.12-1+b1)
Prelude that the rust stdlib should have always had - Rust source code
librust-stdweb+default-dev (0.4.20-1)
Standard library for the client-side Web - feature "default"
librust-stdweb+docs-rs-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb+serde-dev (0.4.20-1)
Standard library for the client-side Web - feature "serde"
librust-stdweb+serde-json-dev (0.4.20-1)
Standard library for the client-side Web - feature "serde_json"
librust-stdweb+web-test-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0+default-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+default-dev
librust-stdweb-0+docs-rs-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0+serde-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+serde-dev
librust-stdweb-0+serde-json-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+serde-json-dev
librust-stdweb-0+web-test-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0.4+default-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+default-dev
librust-stdweb-0.4+docs-rs-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0.4+serde-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+serde-dev
librust-stdweb-0.4+serde-json-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+serde-json-dev
librust-stdweb-0.4+web-test-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0.4-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0.4.20+default-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+default-dev
librust-stdweb-0.4.20+docs-rs-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0.4.20+serde-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+serde-dev
librust-stdweb-0.4.20+serde-json-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb+serde-json-dev
librust-stdweb-0.4.20+web-test-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-0.4.20-dev (= 0.4.20-1)
本虛擬套件由這些套件填實: librust-stdweb-dev
librust-stdweb-derive+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-0+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-0-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-0.5+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-0.5-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-0.5.3+default-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-0.5.3-dev (= 0.5.3-1)
本虛擬套件由這些套件填實: librust-stdweb-derive-dev
librust-stdweb-derive-dev (0.5.3-1)
Derive macros for the `stdweb` crate - Rust source code
librust-stdweb-dev (0.4.20-1)
Standard library for the client-side Web - Rust source code
librust-stdweb-internal-macros+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-0+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-0-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-0.2+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-0.2-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-0.2.9+default-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-0.2.9-dev (= 0.2.9-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-macros-dev
librust-stdweb-internal-macros-dev (0.2.9-1)
Internal procedural macros for the `stdweb` crate - Rust source code
librust-stdweb-internal-runtime+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime+docs-rs-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0+docs-rs-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0.1+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0.1+docs-rs-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0.1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0.1.5+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0.1.5+docs-rs-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-0.1.5-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-stdweb-internal-runtime-dev
librust-stdweb-internal-runtime-dev (0.1.5-1)
Internal runtime for the `stdweb` crate - Rust source code
librust-stfu8+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8+testing-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0+testing-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0.2+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0.2+testing-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0.2-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0.2.4+default-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0.2.4+testing-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-0.2.4-dev (= 0.2.4-1+b1)
本虛擬套件由這些套件填實: librust-stfu8-dev
librust-stfu8-dev (0.2.4-1+b1)
Sorta Text Format in UTF-8 - Rust source code
librust-stream-cipher+blobby-dev (0.3.2-2)
Stream cipher traits - feature "blobby" and 1 more
librust-stream-cipher+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher+dev-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher+std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0+blobby-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher-0+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0+dev-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher-0+std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0.3+blobby-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher-0.3+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0.3+dev-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher-0.3+std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0.3-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0.3.2+blobby-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher-0.3.2+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0.3.2+dev-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher+blobby-dev
librust-stream-cipher-0.3.2+std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-0.3.2-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-stream-cipher-dev
librust-stream-cipher-dev (0.3.2-2)
Stream cipher traits - Rust source code
librust-string+bytes-dev (0.2.1-1+b1)
UTF-8 string with configurable byte storage - feature "bytes" and 1 more
librust-string+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0+bytes-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string-dev
librust-string-0.2+bytes-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0.2+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0.2-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string-dev
librust-string-0.2.1+bytes-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0.2.1+default-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string+bytes-dev
librust-string-0.2.1-dev (= 0.2.1-1+b1)
本虛擬套件由這些套件填實: librust-string-dev
librust-string-cache+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-0.8+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-0.8-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-0.8.0+default-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-0.8.0-dev (= 0.8.0-1)
本虛擬套件由這些套件填實: librust-string-cache-dev
librust-string-cache-codegen+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-0+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-0-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-0.5+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-0.5-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-0.5.1+default-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-0.5.1-dev (= 0.5.1-1)
本虛擬套件由這些套件填實: librust-string-cache-codegen-dev
librust-string-cache-codegen-dev (0.5.1-1)
Codegen library for string-cache - Rust source code
librust-string-cache-dev (0.8.0-1)
Rust string interning library - Rust source code
librust-string-cache-shared+default-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-0+default-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-0-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-0.3+default-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-0.3-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-0.3.0+default-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-0.3.0-dev (= 0.3.0-1.1)
本虛擬套件由這些套件填實: librust-string-cache-shared-dev
librust-string-cache-shared-dev (0.3.0-1.1)
Code share between string_cache and string_cache_codegen - Rust source code
librust-string-dev (0.2.1-1+b1)
UTF-8 string with configurable byte storage - Rust source code
librust-stringprep+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-0+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-0-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-0.1+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-0.1-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-0.1.2+default-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-0.1.2-dev (= 0.1.2-1+b1)
本虛擬套件由這些套件填實: librust-stringprep-dev
librust-stringprep-dev (0.1.2-1+b1)
The stringprep algorithm - Rust source code
librust-strip-ansi-escapes+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-0.1+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-0.1-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-0.1.0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-0.1.0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-strip-ansi-escapes-dev
librust-strip-ansi-escapes-dev (0.1.0-2)
Strip ANSI escape sequences from byte streams - Rust source code
librust-strsim+default-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-0+default-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-0-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-0.9+default-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-0.9-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-0.9.3+default-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-0.9.3-dev (= 0.9.3-1)
本虛擬套件由這些套件填實: librust-strsim-dev
librust-strsim-dev (0.9.3-1)
Implementations of string similarity metrics - Rust source code
librust-structopt+color-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "color"
librust-structopt+debug-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "debug"
librust-structopt+default-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "default"
librust-structopt+doc-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "doc"
librust-structopt+lints-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "lints"
librust-structopt+no-cargo-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "no_cargo"
librust-structopt+suggestions-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "suggestions"
librust-structopt+wrap-help-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "wrap_help"
librust-structopt+yaml-dev (0.3.20-1)
Parse command line argument by defining a struct - feature "yaml"
librust-structopt-0+color-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+color-dev
librust-structopt-0+debug-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+debug-dev
librust-structopt-0+default-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+default-dev
librust-structopt-0+doc-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+doc-dev
librust-structopt-0+lints-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+lints-dev
librust-structopt-0+no-cargo-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+no-cargo-dev
librust-structopt-0+suggestions-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+suggestions-dev
librust-structopt-0+wrap-help-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+wrap-help-dev
librust-structopt-0+yaml-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+yaml-dev
librust-structopt-0-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt-dev
librust-structopt-0.3+color-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+color-dev
librust-structopt-0.3+debug-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+debug-dev
librust-structopt-0.3+default-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+default-dev
librust-structopt-0.3+doc-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+doc-dev
librust-structopt-0.3+lints-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+lints-dev
librust-structopt-0.3+no-cargo-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+no-cargo-dev
librust-structopt-0.3+suggestions-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+suggestions-dev
librust-structopt-0.3+wrap-help-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+wrap-help-dev
librust-structopt-0.3+yaml-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+yaml-dev
librust-structopt-0.3-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt-dev
librust-structopt-0.3.20+color-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+color-dev
librust-structopt-0.3.20+debug-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+debug-dev
librust-structopt-0.3.20+default-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+default-dev
librust-structopt-0.3.20+doc-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+doc-dev
librust-structopt-0.3.20+lints-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+lints-dev
librust-structopt-0.3.20+no-cargo-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+no-cargo-dev
librust-structopt-0.3.20+suggestions-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+suggestions-dev
librust-structopt-0.3.20+wrap-help-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+wrap-help-dev
librust-structopt-0.3.20+yaml-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt+yaml-dev
librust-structopt-0.3.20-dev (= 0.3.20-1)
本虛擬套件由這些套件填實: librust-structopt-dev
librust-structopt-derive+default-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive+paw-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0+default-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0+paw-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0.4+default-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0.4+paw-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0.4-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0.4.13+default-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0.4.13+paw-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-0.4.13-dev (= 0.4.13-1)
本虛擬套件由這些套件填實: librust-structopt-derive-dev
librust-structopt-derive-dev (0.4.13-1)
Parse command line argument by defining a struct - Rust source code
librust-structopt-dev (0.3.20-1)
Parse command line argument by defining a struct - Rust source code
librust-strum+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum+derive-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0+derive-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0.19+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0.19+derive-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0.19-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0.19.2+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0.19.2+derive-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-0.19.2-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-dev
librust-strum-dev (0.19.2-1)
Helpful macros for working with enums and strings - Rust source code
librust-strum-macros+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-asrefstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-asstaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-display-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-enumcount-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-enumdiscriminants-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-enumiter-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-enummessage-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-enumproperty-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-enumstring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-intostaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-tostring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros+verbose-variant-names-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-asrefstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-asstaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-display-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-enumcount-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-enumdiscriminants-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-enumiter-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-enummessage-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-enumproperty-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-enumstring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-intostaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-tostring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0+verbose-variant-names-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-asrefstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-asstaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-display-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-enumcount-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-enumdiscriminants-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-enumiter-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-enummessage-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-enumproperty-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-enumstring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-intostaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-tostring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19+verbose-variant-names-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+default-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-asrefstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-asstaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-display-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-enumcount-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-enumdiscriminants-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-enumiter-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-enummessage-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-enumproperty-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-enumstring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-intostaticstr-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-tostring-name-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2+verbose-variant-names-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-0.19.2-dev (= 0.19.2-1)
本虛擬套件由這些套件填實: librust-strum-macros-dev
librust-strum-macros-dev (0.19.2-1)
Helpful macros for working with enums and strings - Rust source code
librust-subprocess+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-0+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-0-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-0.2+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-0.2-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-0.2.4+default-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-0.2.4-dev (= 0.2.4-2)
本虛擬套件由這些套件填實: librust-subprocess-dev
librust-subprocess-dev (0.2.4-2)
execution of child processes and pipelines - Rust source code
librust-subtle+default-dev (2.1.1-2)
Traits and utilities for constant-time cryptography - feature "default"
librust-subtle+i128-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle+nightly-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle+std-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle+default-dev
librust-subtle-2+i128-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2+nightly-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2+std-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle+default-dev
librust-subtle-2.1+i128-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1+nightly-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1+std-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle+default-dev
librust-subtle-2.1.1+i128-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1.1+nightly-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1.1+std-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-2.1.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-subtle-dev
librust-subtle-dev (2.1.1-2)
Traits and utilities for constant-time cryptography - Rust source code
librust-sval-derive+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-0.5+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-0.5-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-0.5.0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-0.5.0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-sval-derive-dev
librust-sval-derive-dev (0.5.0-2)
Custom derive for sval - Rust source code
librust-svgdom+default-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-0+default-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-0-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-0.18+default-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-0.18-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-0.18.0+default-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-0.18.0-dev (= 0.18.0-2)
本虛擬套件由這些套件填實: librust-svgdom-dev
librust-svgdom-dev (0.18.0-2)
[DEPRECATED] Library to represent an SVG as a DOM - Rust source code
librust-svgtypes+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-svgtypes-dev
librust-svgtypes-dev (0.5.0-1)
SVG types parser and writer - Rust source code
librust-sw-composite+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-0+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-0-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-0.7+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-0.7-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-0.7.2+default-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-0.7.2-dev (= 0.7.2-2)
本虛擬套件由這些套件填實: librust-sw-composite-dev
librust-sw-composite-dev (0.7.2-2)
Collection of software compositing routines - Rust source code
librust-syn+clone-impls-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+default-dev (1.0.12-1)
Parser for Rust source code - feature "default"
librust-syn+derive-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+extra-traits-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+fold-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+full-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+parsing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+printing-dev (1.0.12-1)
Parser for Rust source code - feature "printing" and 1 more
librust-syn+proc-macro-dev (1.0.12-1)
Parser for Rust source code - feature "proc-macro"
librust-syn+quote-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn+visit-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn+visit-mut-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+clone-impls-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+default-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+default-dev
librust-syn-1+derive-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+extra-traits-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+fold-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+full-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+parsing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+printing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn-1+proc-macro-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+proc-macro-dev
librust-syn-1+quote-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn-1+visit-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1+visit-mut-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+clone-impls-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+default-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+default-dev
librust-syn-1.0+derive-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+extra-traits-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+fold-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+full-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+parsing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+printing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn-1.0+proc-macro-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+proc-macro-dev
librust-syn-1.0+quote-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn-1.0+visit-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0+visit-mut-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+clone-impls-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+default-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+default-dev
librust-syn-1.0.12+derive-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+extra-traits-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+fold-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+full-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+parsing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+printing-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn-1.0.12+proc-macro-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+proc-macro-dev
librust-syn-1.0.12+quote-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn+printing-dev
librust-syn-1.0.12+visit-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12+visit-mut-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-1.0.12-dev (= 1.0.12-1)
本虛擬套件由這些套件填實: librust-syn-dev
librust-syn-dev (1.0.12-1)
Parser for Rust source code - Rust source code
librust-syn-mid+clone-impls-dev (0.5.0-2)
Providing the features between "full" and "derive" of syn - feature "clone-impls"
librust-syn-mid+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-0+clone-impls-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid+clone-impls-dev
librust-syn-mid-0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-0.5+clone-impls-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid+clone-impls-dev
librust-syn-mid-0.5+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-0.5-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-0.5.0+clone-impls-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid+clone-impls-dev
librust-syn-mid-0.5.0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-0.5.0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-syn-mid-dev
librust-syn-mid-dev (0.5.0-2)
Providing the features between "full" and "derive" of syn - Rust source code
librust-synstructure+default-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure+proc-macro-dev (0.12.3-2)
Helper methods and macros for custom derives - feature "proc-macro" and 1 more
librust-synstructure-0+default-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure-0+proc-macro-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure-0-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure-dev
librust-synstructure-0.12+default-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure-0.12+proc-macro-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure-0.12-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure-dev
librust-synstructure-0.12.3+default-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure-0.12.3+proc-macro-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure+proc-macro-dev
librust-synstructure-0.12.3-dev (= 0.12.3-2)
本虛擬套件由這些套件填實: librust-synstructure-dev
librust-synstructure-dev (0.12.3-2)
Helper methods and macros for custom derives - Rust source code
librust-synstructure-test-traits+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-0.1+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-0.1-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-0.1.0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-0.1.0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-synstructure-test-traits-dev
librust-synstructure-test-traits-dev (0.1.0-2)
Helper test traits for synstructure doctests - Rust source code
librust-syntect+assets-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect+bincode-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "bincode"
librust-syntect+default-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "default"
librust-syntect+dump-create-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "dump-create" and 1 more
librust-syntect+dump-create-rs-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "dump-create-rs" and 1 more
librust-syntect+dump-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect+dump-load-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect+flate2-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "flate2"
librust-syntect+fnv-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "fnv"
librust-syntect+html-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "html"
librust-syntect+metadata-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect+onig-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "onig"
librust-syntect+parsing-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "parsing" and 1 more
librust-syntect+regex-syntax-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "regex-syntax"
librust-syntect+yaml-load-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "yaml-load"
librust-syntect+yaml-rust-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - feature "yaml-rust"
librust-syntect-3+assets-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect-3+bincode-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+bincode-dev
librust-syntect-3+default-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+default-dev
librust-syntect-3+dump-create-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect-3+dump-create-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect-3+dump-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect-3+dump-load-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect-3+flate2-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+flate2-dev
librust-syntect-3+fnv-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+fnv-dev
librust-syntect-3+html-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+html-dev
librust-syntect-3+metadata-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect-3+onig-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+onig-dev
librust-syntect-3+parsing-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect-3+regex-syntax-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+regex-syntax-dev
librust-syntect-3+yaml-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+yaml-load-dev
librust-syntect-3+yaml-rust-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+yaml-rust-dev
librust-syntect-3-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect-3.3+assets-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect-3.3+bincode-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+bincode-dev
librust-syntect-3.3+default-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+default-dev
librust-syntect-3.3+dump-create-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect-3.3+dump-create-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect-3.3+dump-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect-3.3+dump-load-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect-3.3+flate2-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+flate2-dev
librust-syntect-3.3+fnv-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+fnv-dev
librust-syntect-3.3+html-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+html-dev
librust-syntect-3.3+metadata-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect-3.3+onig-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+onig-dev
librust-syntect-3.3+parsing-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect-3.3+regex-syntax-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+regex-syntax-dev
librust-syntect-3.3+yaml-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+yaml-load-dev
librust-syntect-3.3+yaml-rust-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+yaml-rust-dev
librust-syntect-3.3-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect-3.3.0+assets-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect-3.3.0+bincode-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+bincode-dev
librust-syntect-3.3.0+default-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+default-dev
librust-syntect-3.3.0+dump-create-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect-3.3.0+dump-create-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect-3.3.0+dump-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-dev
librust-syntect-3.3.0+dump-load-rs-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+dump-create-rs-dev
librust-syntect-3.3.0+flate2-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+flate2-dev
librust-syntect-3.3.0+fnv-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+fnv-dev
librust-syntect-3.3.0+html-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+html-dev
librust-syntect-3.3.0+metadata-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect-3.3.0+onig-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+onig-dev
librust-syntect-3.3.0+parsing-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+parsing-dev
librust-syntect-3.3.0+regex-syntax-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+regex-syntax-dev
librust-syntect-3.3.0+yaml-load-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+yaml-load-dev
librust-syntect-3.3.0+yaml-rust-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect+yaml-rust-dev
librust-syntect-3.3.0-dev (= 3.3.0-4)
本虛擬套件由這些套件填實: librust-syntect-dev
librust-syntect-dev (3.3.0-4)
Syntax highlighting and code intelligence using Sublime Text's grammars - Rust source code
librust-syscallz+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-0+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-0-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-0.15+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-0.15-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-0.15.0+default-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-0.15.0-dev (= 0.15.0-1)
本虛擬套件由這些套件填實: librust-syscallz-dev
librust-syscallz-dev (0.15.0-1)
Simple seccomp library for rust - Rust source code
librust-sysinfo+c-interface-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo+debug-dev (0.13.2-3)
Get system information such as processes, processors, disks, components and networks - feature "debug"
librust-sysinfo+default-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0+c-interface-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0+debug-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo+debug-dev
librust-sysinfo-0+default-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0.13+c-interface-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0.13+debug-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo+debug-dev
librust-sysinfo-0.13+default-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0.13-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0.13.2+c-interface-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0.13.2+debug-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo+debug-dev
librust-sysinfo-0.13.2+default-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-0.13.2-dev (= 0.13.2-3)
本虛擬套件由這些套件填實: librust-sysinfo-dev
librust-sysinfo-dev (0.13.2-3)
Get system information such as processes, processors, disks, components and networks - Rust source code
librust-syslog+default-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog+nightly-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4+default-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4+nightly-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4.0+default-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4.0+nightly-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4.0-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4.0.1+default-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4.0.1+nightly-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-4.0.1-dev (= 4.0.1-2)
本虛擬套件由這些套件填實: librust-syslog-dev
librust-syslog-dev (4.0.1-2)
Send log messages to syslog - Rust source code
librust-tabwriter+ansi-formatting-dev (1.2.1-1)
Elastic tabstops - feature "ansi_formatting"
librust-tabwriter+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter+lazy-static-dev (1.2.1-1)
Elastic tabstops - feature "lazy_static"
librust-tabwriter+regex-dev (1.2.1-1)
Elastic tabstops - feature "regex"
librust-tabwriter-1+ansi-formatting-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+ansi-formatting-dev
librust-tabwriter-1+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter-1+lazy-static-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+lazy-static-dev
librust-tabwriter-1+regex-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+regex-dev
librust-tabwriter-1-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter-1.2+ansi-formatting-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+ansi-formatting-dev
librust-tabwriter-1.2+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter-1.2+lazy-static-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+lazy-static-dev
librust-tabwriter-1.2+regex-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+regex-dev
librust-tabwriter-1.2-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter-1.2.1+ansi-formatting-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+ansi-formatting-dev
librust-tabwriter-1.2.1+default-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter-1.2.1+lazy-static-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+lazy-static-dev
librust-tabwriter-1.2.1+regex-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter+regex-dev
librust-tabwriter-1.2.1-dev (= 1.2.1-1)
本虛擬套件由這些套件填實: librust-tabwriter-dev
librust-tabwriter-dev (1.2.1-1)
Elastic tabstops - Rust source code
librust-take+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-0.1+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-0.1-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-0.1.0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-0.1.0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-take-dev
librust-take-dev (0.1.0-1+b1)
Rust crate "take" - Rust source code
librust-take-mut+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-0+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-0-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-0.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-0.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-0.2.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-0.2.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-take-mut-dev
librust-take-mut-dev (0.2.2-1+b1)
Take a T from a &mut T temporarily - Rust source code
librust-tar+default-dev (0.4.26-1)
tar archive reading/writing library - feature "default" and 1 more
librust-tar+xattr-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0+default-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0+xattr-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar-dev
librust-tar-0.4+default-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0.4+xattr-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0.4-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar-dev
librust-tar-0.4.26+default-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0.4.26+xattr-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar+default-dev
librust-tar-0.4.26-dev (= 0.4.26-1)
本虛擬套件由這些套件填實: librust-tar-dev
librust-tar-dev (0.4.26-1)
tar archive reading/writing library - Rust source code
librust-target-lexicon+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0.10+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0.10+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0.10-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0.10.0+default-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0.10.0+std-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-0.10.0-dev (= 0.10.0-2)
本虛擬套件由這些套件填實: librust-target-lexicon-dev
librust-target-lexicon-dev (0.10.0-2)
Targeting utilities for compilers and related tools - Rust source code
librust-tempfile+default-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-3+default-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-3-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-3.1+default-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-3.1-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-3.1.0+default-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-3.1.0-dev (= 3.1.0-1)
本虛擬套件由這些套件填實: librust-tempfile-dev
librust-tempfile-dev (3.1.0-1)
Managing temporary files and directories - Rust source code
librust-tendril+bench-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril+encoding-dev (0.4.0-1+b1)
Compact buffer/string type for zero-copy parsing - feature "encoding"
librust-tendril-0+bench-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0+encoding-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril+encoding-dev
librust-tendril-0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0.4+bench-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0.4+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0.4+encoding-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril+encoding-dev
librust-tendril-0.4-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0.4.0+bench-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0.4.0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-0.4.0+encoding-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril+encoding-dev
librust-tendril-0.4.0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-tendril-dev
librust-tendril-dev (0.4.0-1+b1)
Compact buffer/string type for zero-copy parsing - Rust source code
librust-term+default-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-0+default-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-0-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-0.5+default-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-0.5-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-0.5.2+default-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-0.5.2-dev (= 0.5.2-3)
本虛擬套件由這些套件填實: librust-term-dev
librust-term-dev (0.5.2-3)
Terminal formatting library - Rust source code
librust-term-grid+default-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-0+default-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-0-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-0.1+default-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-0.1-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-0.1.7+default-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-0.1.7-dev (= 0.1.7-1+b1)
本虛擬套件由這些套件填實: librust-term-grid-dev
librust-term-grid-dev (0.1.7-1+b1)
Formatting strings into a grid layout - Rust source code
librust-term-size+debug-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size+nightly-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0+debug-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0+nightly-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3+debug-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3+nightly-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3.1+debug-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3.1+default-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3.1+nightly-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3.1+unstable-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-0.3.1-dev (= 0.3.1-2)
本虛擬套件由這些套件填實: librust-term-size-dev
librust-term-size-dev (0.3.1-2)
Functions for determining terminal sizes and dimensions - Rust source code
librust-termcolor+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-1.1+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-1.1-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-1.1.0+default-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-1.1.0-dev (= 1.1.0-1)
本虛擬套件由這些套件填實: librust-termcolor-dev
librust-termcolor-dev (1.1.0-1)
Simple cross platform library for writing colored text to a terminal - Rust source code
librust-termios+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-0+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-0-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-0.3+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-0.3-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-0.3.0+default-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-0.3.0-dev (= 0.3.0-1+b1)
本虛擬套件由這些套件填實: librust-termios-dev
librust-termios-dev (0.3.0-1+b1)
Safe bindings for the termios library - Rust source code
librust-textwrap+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap+hyphenation-dev (0.11.0-1+b1)
Small library for word wrapping, indenting, and dedenting strings - feature "hyphenation"
librust-textwrap+term-size-dev (0.11.0-1+b1)
Small library for word wrapping, indenting, and dedenting strings - feature "term_size"
librust-textwrap-0+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap-0+hyphenation-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap+hyphenation-dev
librust-textwrap-0+term-size-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap+term-size-dev
librust-textwrap-0-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap-0.11+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap-0.11+hyphenation-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap+hyphenation-dev
librust-textwrap-0.11+term-size-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap+term-size-dev
librust-textwrap-0.11-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap-0.11.0+default-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap-0.11.0+hyphenation-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap+hyphenation-dev
librust-textwrap-0.11.0+term-size-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap+term-size-dev
librust-textwrap-0.11.0-dev (= 0.11.0-1+b1)
本虛擬套件由這些套件填實: librust-textwrap-dev
librust-textwrap-dev (0.11.0-1+b1)
Small library for word wrapping, indenting, and dedenting strings - Rust source code
librust-thin-slice+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-thin-slice-dev
librust-thin-slice-dev (0.1.1-1+b1)
Owned slice that packs the slice storage into a single word - Rust source code
librust-thiserror+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-1+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-1-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-1.0+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-1.0-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-1.0.20+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-1.0.20-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-dev
librust-thiserror-dev (1.0.20-1)
Derive(Error) - Rust source code
librust-thiserror-impl+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-1+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-1-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-1.0+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-1.0-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-1.0.20+default-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-1.0.20-dev (= 1.0.20-1)
本虛擬套件由這些套件填實: librust-thiserror-impl-dev
librust-thiserror-impl-dev (1.0.20-1)
Implementation detail of the `thiserror` crate - Rust source code
librust-thread-id+default-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-3+default-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-3-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-3.3+default-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-3.3-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-3.3.0+default-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-3.3.0-dev (= 3.3.0-1+b1)
本虛擬套件由這些套件填實: librust-thread-id-dev
librust-thread-id-dev (3.3.0-1+b1)
Get a unique thread ID - Rust source code
librust-thread-local+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-1.0+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-1.0-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-1.0.1+default-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-1.0.1-dev (= 1.0.1-1)
本虛擬套件由這些套件填實: librust-thread-local-dev
librust-thread-local-dev (1.0.1-1)
Per-object thread-local storage - Rust source code
librust-thread-scoped+default-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-1+default-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-1-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-1.0+default-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-1.0-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-1.0.2+default-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-1.0.2-dev (= 1.0.2-2)
本虛擬套件由這些套件填實: librust-thread-scoped-dev
librust-thread-scoped-dev (1.0.2-2)
Unsafe and deprecated std::thread::scoped - Rust source code
librust-threadpool+default-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-1+default-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-1-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-1.7+default-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-1.7-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-1.7.1+default-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-1.7.1-dev (= 1.7.1-1+b1)
本虛擬套件由這些套件填實: librust-threadpool-dev
librust-threadpool-dev (1.7.1-1+b1)
Thread pool for running a number of jobs on a fixed set of worker threads - Rust source code
librust-tiff+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-0.5+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-0.5-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-0.5.0+default-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-0.5.0-dev (= 0.5.0-1)
本虛擬套件由這些套件填實: librust-tiff-dev
librust-tiff-dev (0.5.0-1)
TIFF decoding and encoding library in pure Rust - Rust source code
librust-time+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time+rustc-serialize-dev (0.1.42-1)
Utilities for working with time-related functions - feature "rustc-serialize"
librust-time-0+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time-0+rustc-serialize-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time+rustc-serialize-dev
librust-time-0-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time-0.1+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time-0.1+rustc-serialize-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time+rustc-serialize-dev
librust-time-0.1-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time-0.1.42+default-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time-0.1.42+rustc-serialize-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time+rustc-serialize-dev
librust-time-0.1.42-dev (= 0.1.42-1)
本虛擬套件由這些套件填實: librust-time-dev
librust-time-dev (0.1.42-1)
Utilities for working with time-related functions - Rust source code
librust-timerfd+default-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-1+default-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-1-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-1.1+default-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-1.1-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-1.1.1+default-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-1.1.1-dev (= 1.1.1-1)
本虛擬套件由這些套件填實: librust-timerfd-dev
librust-timerfd-dev (1.1.1-1)
Rust interface to the Linux kernel's timerfd API - Rust source code
librust-tinystr+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-0+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-0-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-0.3+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-0.3-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-0.3.2+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-0.3.2-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-tinystr-dev
librust-tinystr-dev (0.3.2-2)
Small ASCII-only bounded length string representation - Rust source code
librust-tinytemplate+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-1+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-1-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-1.0+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-1.0-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-1.0.3+default-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-1.0.3-dev (= 1.0.3-1)
本虛擬套件由這些套件填實: librust-tinytemplate-dev
librust-tinytemplate-dev (1.0.3-1)
Simple, lightweight template engine - Rust source code
librust-tls-parser+cookie-factory-dev (0.9.2-3)
Parser for the TLS protocol - feature "cookie-factory" and 1 more
librust-tls-parser+default-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser+serialize-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0+cookie-factory-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0+default-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser-0+serialize-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser-0.9+cookie-factory-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0.9+default-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser-0.9+serialize-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0.9-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser-0.9.2+cookie-factory-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0.9.2+default-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser-0.9.2+serialize-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser+cookie-factory-dev
librust-tls-parser-0.9.2-dev (= 0.9.2-3)
本虛擬套件由這些套件填實: librust-tls-parser-dev
librust-tls-parser-dev (0.9.2-3)
Parser for the TLS protocol - Rust source code
librust-tokio+async-await-preview-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "async-await-preview"
librust-tokio+bytes-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "bytes"
librust-tokio+codec-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "codec"
librust-tokio+default-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "default"
librust-tokio+fs-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "fs"
librust-tokio+io-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "io"
librust-tokio+mio-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "mio"
librust-tokio+num-cpus-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "num_cpus"
librust-tokio+reactor-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "reactor"
librust-tokio+rt-full-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "rt-full"
librust-tokio+tcp-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tcp"
librust-tokio+timer-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "timer"
librust-tokio+tokio-async-await-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-async-await"
librust-tokio+tokio-codec-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-codec"
librust-tokio+tokio-current-thread-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-current-thread"
librust-tokio+tokio-executor-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-executor"
librust-tokio+tokio-fs-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-fs"
librust-tokio+tokio-io-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-io"
librust-tokio+tokio-reactor-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-reactor"
librust-tokio+tokio-tcp-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-tcp"
librust-tokio+tokio-threadpool-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-threadpool"
librust-tokio+tokio-timer-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-timer"
librust-tokio+tokio-udp-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-udp"
librust-tokio+tokio-uds-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "tokio-uds"
librust-tokio+udp-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "udp"
librust-tokio+uds-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - feature "uds"
librust-tokio-0+async-await-preview-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+async-await-preview-dev
librust-tokio-0+bytes-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+bytes-dev
librust-tokio-0+codec-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+codec-dev
librust-tokio-0+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+default-dev
librust-tokio-0+fs-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+fs-dev
librust-tokio-0+io-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+io-dev
librust-tokio-0+mio-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+mio-dev
librust-tokio-0+num-cpus-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+num-cpus-dev
librust-tokio-0+reactor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+reactor-dev
librust-tokio-0+rt-full-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+rt-full-dev
librust-tokio-0+tcp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tcp-dev
librust-tokio-0+timer-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+timer-dev
librust-tokio-0+tokio-async-await-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-async-await-dev
librust-tokio-0+tokio-codec-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-codec-dev
librust-tokio-0+tokio-current-thread-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-current-thread-dev
librust-tokio-0+tokio-executor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-executor-dev
librust-tokio-0+tokio-fs-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-fs-dev
librust-tokio-0+tokio-io-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-io-dev
librust-tokio-0+tokio-reactor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-reactor-dev
librust-tokio-0+tokio-tcp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-tcp-dev
librust-tokio-0+tokio-threadpool-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-threadpool-dev
librust-tokio-0+tokio-timer-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-timer-dev
librust-tokio-0+tokio-udp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-udp-dev
librust-tokio-0+tokio-uds-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-uds-dev
librust-tokio-0+udp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+udp-dev
librust-tokio-0+uds-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+uds-dev
librust-tokio-0-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio-dev
librust-tokio-0.1+async-await-preview-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+async-await-preview-dev
librust-tokio-0.1+bytes-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+bytes-dev
librust-tokio-0.1+codec-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+codec-dev
librust-tokio-0.1+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+default-dev
librust-tokio-0.1+fs-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+fs-dev
librust-tokio-0.1+io-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+io-dev
librust-tokio-0.1+mio-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+mio-dev
librust-tokio-0.1+num-cpus-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+num-cpus-dev
librust-tokio-0.1+reactor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+reactor-dev
librust-tokio-0.1+rt-full-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+rt-full-dev
librust-tokio-0.1+tcp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tcp-dev
librust-tokio-0.1+timer-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+timer-dev
librust-tokio-0.1+tokio-async-await-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-async-await-dev
librust-tokio-0.1+tokio-codec-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-codec-dev
librust-tokio-0.1+tokio-current-thread-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-current-thread-dev
librust-tokio-0.1+tokio-executor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-executor-dev
librust-tokio-0.1+tokio-fs-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-fs-dev
librust-tokio-0.1+tokio-io-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-io-dev
librust-tokio-0.1+tokio-reactor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-reactor-dev
librust-tokio-0.1+tokio-tcp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-tcp-dev
librust-tokio-0.1+tokio-threadpool-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-threadpool-dev
librust-tokio-0.1+tokio-timer-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-timer-dev
librust-tokio-0.1+tokio-udp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-udp-dev
librust-tokio-0.1+tokio-uds-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-uds-dev
librust-tokio-0.1+udp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+udp-dev
librust-tokio-0.1+uds-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+uds-dev
librust-tokio-0.1-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio-dev
librust-tokio-0.1.14+async-await-preview-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+async-await-preview-dev
librust-tokio-0.1.14+bytes-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+bytes-dev
librust-tokio-0.1.14+codec-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+codec-dev
librust-tokio-0.1.14+default-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+default-dev
librust-tokio-0.1.14+fs-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+fs-dev
librust-tokio-0.1.14+io-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+io-dev
librust-tokio-0.1.14+mio-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+mio-dev
librust-tokio-0.1.14+num-cpus-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+num-cpus-dev
librust-tokio-0.1.14+reactor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+reactor-dev
librust-tokio-0.1.14+rt-full-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+rt-full-dev
librust-tokio-0.1.14+tcp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tcp-dev
librust-tokio-0.1.14+timer-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+timer-dev
librust-tokio-0.1.14+tokio-async-await-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-async-await-dev
librust-tokio-0.1.14+tokio-codec-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-codec-dev
librust-tokio-0.1.14+tokio-current-thread-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-current-thread-dev
librust-tokio-0.1.14+tokio-executor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-executor-dev
librust-tokio-0.1.14+tokio-fs-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-fs-dev
librust-tokio-0.1.14+tokio-io-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-io-dev
librust-tokio-0.1.14+tokio-reactor-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-reactor-dev
librust-tokio-0.1.14+tokio-tcp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-tcp-dev
librust-tokio-0.1.14+tokio-threadpool-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-threadpool-dev
librust-tokio-0.1.14+tokio-timer-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-timer-dev
librust-tokio-0.1.14+tokio-udp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-udp-dev
librust-tokio-0.1.14+tokio-uds-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+tokio-uds-dev
librust-tokio-0.1.14+udp-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+udp-dev
librust-tokio-0.1.14+uds-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio+uds-dev
librust-tokio-0.1.14-dev (= 0.1.14-2)
本虛擬套件由這些套件填實: librust-tokio-dev
librust-tokio-async-await+async-await-preview-dev (0.1.5-1+b1)
Experimental async/await support for Tokio - feature "async-await-preview"
librust-tokio-async-await+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-0+async-await-preview-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await+async-await-preview-dev
librust-tokio-async-await-0+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-0-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-0.1+async-await-preview-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await+async-await-preview-dev
librust-tokio-async-await-0.1+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-0.1-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-0.1.5+async-await-preview-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await+async-await-preview-dev
librust-tokio-async-await-0.1.5+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-0.1.5-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-async-await-dev
librust-tokio-async-await-dev (0.1.5-1+b1)
Experimental async/await support for Tokio - Rust source code
librust-tokio-buf+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf+either-dev (0.1.1-2)
Asynchronous stream of byte buffers - feature "either"
librust-tokio-buf+util-dev (0.1.1-2)
Asynchronous stream of byte buffers - feature "util"
librust-tokio-buf-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf-0+either-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+either-dev
librust-tokio-buf-0+util-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf-dev
librust-tokio-buf-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf-0.1+either-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+either-dev
librust-tokio-buf-0.1+util-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf-dev
librust-tokio-buf-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf-0.1.1+either-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+either-dev
librust-tokio-buf-0.1.1+util-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf+util-dev
librust-tokio-buf-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-tokio-buf-dev
librust-tokio-buf-dev (0.1.1-2)
Asynchronous stream of byte buffers - Rust source code
librust-tokio-codec+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-tokio-codec-dev
librust-tokio-codec-dev (0.1.1-1+b1)
Utilities for encoding and decoding frames - Rust source code
librust-tokio-current-thread+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-0+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-0-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-0.1+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-0.1-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-0.1.6+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-0.1.6-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-current-thread-dev
librust-tokio-current-thread-dev (0.1.6-1)
Manage many tasks concurrently on the current thread - Rust source code
librust-tokio-dev (0.1.14-2)
Event-driven, non-blocking I/O platform - Rust source code
librust-tokio-executor+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-0+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-0-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-0.1+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-0.1-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-0.1.8+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-0.1.8-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-executor-dev
librust-tokio-executor-dev (0.1.8-2)
Future execution primitives - Rust source code
librust-tokio-fs+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-0+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-0-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-0.1+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-0.1-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-0.1.6+default-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-0.1.6-dev (= 0.1.6-1)
本虛擬套件由這些套件填實: librust-tokio-fs-dev
librust-tokio-fs-dev (0.1.6-1)
Filesystem API for Tokio - Rust source code
librust-tokio-io+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-0+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-0-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-0.1+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-0.1-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-0.1.12+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-0.1.12-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-tokio-io-dev
librust-tokio-io-dev (0.1.12-1)
Core I/O primitives for asynchronous I/O in Rust - Rust source code
librust-tokio-macros+default-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-0+default-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-0-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-0.2+default-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-0.2-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-0.2.5+default-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-0.2.5-dev (= 0.2.5-1+b1)
本虛擬套件由這些套件填實: librust-tokio-macros-dev
librust-tokio-macros-dev (0.2.5-1+b1)
Tokio's proc macros - Rust source code
librust-tokio-reactor+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-0+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-0-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-0.1+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-0.1-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-0.1.8+default-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-0.1.8-dev (= 0.1.8-2)
本虛擬套件由這些套件填實: librust-tokio-reactor-dev
librust-tokio-reactor-dev (0.1.8-2)
Event loop that drives Tokio I/O resources - Rust source code
librust-tokio-signal+default-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-0+default-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-0-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-0.2+default-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-0.2-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-0.2.7+default-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-0.2.7-dev (= 0.2.7-2)
本虛擬套件由這些套件填實: librust-tokio-signal-dev
librust-tokio-signal-dev (0.2.7-2)
Asynchronous Unix signal handling backed futures - Rust source code
librust-tokio-sync+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-0+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-0-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-0.1+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-0.1-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-0.1.7+default-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-0.1.7-dev (= 0.1.7-2)
本虛擬套件由這些套件填實: librust-tokio-sync-dev
librust-tokio-sync-dev (0.1.7-2)
Synchronization utilities - Rust source code
librust-tokio-tcp+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-0+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-0-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-0.1+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-0.1-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-0.1.4+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-0.1.4-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-tokio-tcp-dev
librust-tokio-tcp-dev (0.1.4-1)
TCP bindings for tokio - Rust source code
librust-tokio-threadpool+default-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-0+default-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-0-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-0.1+default-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-0.1-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-0.1.16+default-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-0.1.16-dev (= 0.1.16-2)
本虛擬套件由這些套件填實: librust-tokio-threadpool-dev
librust-tokio-threadpool-dev (0.1.16-2)
Task scheduler backed by a work-stealing thread pool - Rust source code
librust-tokio-timer+default-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-0+default-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-0-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-0.2+default-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-0.2-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-0.2.11+default-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-0.2.11-dev (= 0.2.11-2)
本虛擬套件由這些套件填實: librust-tokio-timer-dev
librust-tokio-timer-dev (0.2.11-2)
Timer facilities for Tokio - Rust source code
librust-tokio-udp+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-0+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-0-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-0.1+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-0.1-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-0.1.3+default-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-0.1.3-dev (= 0.1.3-2)
本虛擬套件由這些套件填實: librust-tokio-udp-dev
librust-tokio-udp-dev (0.1.3-2)
UDP bindings for tokio - Rust source code
librust-tokio-uds+default-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-0+default-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-0-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-0.2+default-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-0.2-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-0.2.5+default-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-0.2.5-dev (= 0.2.5-1)
本虛擬套件由這些套件填實: librust-tokio-uds-dev
librust-tokio-uds-dev (0.2.5-1)
Unix Domain sockets for Tokio - Rust source code
librust-toml+default-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml+indexmap-dev (0.5.5-1+b1)
Native encoder and decoder of TOML-formatted data - feature "indexmap" and 1 more
librust-toml+preserve-order-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0+default-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml-0+indexmap-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0+preserve-order-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml-0.5+default-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml-0.5+indexmap-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0.5+preserve-order-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0.5-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml-0.5.5+default-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml-0.5.5+indexmap-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0.5.5+preserve-order-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml+indexmap-dev
librust-toml-0.5.5-dev (= 0.5.5-1+b1)
本虛擬套件由這些套件填實: librust-toml-dev
librust-toml-dev (0.5.5-1+b1)
Native encoder and decoder of TOML-formatted data - Rust source code
librust-tree-sitter+default-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-0+default-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-0-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-0.17+default-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-0.17-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-0.17.1+default-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-0.17.1-dev (= 0.17.1-2)
本虛擬套件由這些套件填實: librust-tree-sitter-dev
librust-tree-sitter-dev (0.17.1-2)
Rust bindings to the Tree-sitter parsing library - Rust source code
librust-try-from+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from+no-std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0+no-std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0.3+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0.3+no-std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0.3-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0.3.2+default-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0.3.2+no-std-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-0.3.2-dev (= 0.3.2-2)
本虛擬套件由這些套件填實: librust-try-from-dev
librust-try-from-dev (0.3.2-2)
TryFrom and TryInto traits for failable conversions - Rust source code
librust-try-lock+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-0+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-0-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-0.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-0.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-0.2.2+default-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-0.2.2-dev (= 0.2.2-2)
本虛擬套件由這些套件填實: librust-try-lock-dev
librust-try-lock-dev (0.2.2-2)
Lightweight atomic lock - Rust source code
librust-try-or+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-try-or-dev
librust-try-or-dev (0.2.0-1+b1)
Contains helper macros for unwrapping Results and Options - Rust source code
librust-ttf-parser+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser+std-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0+std-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0.3+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0.3+std-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0.3-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0.3.0+default-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0.3.0+std-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-0.3.0-dev (= 0.3.0-2)
本虛擬套件由這些套件填實: librust-ttf-parser-dev
librust-ttf-parser-dev (0.3.0-2)
High-level, safe, zero-allocation TrueType font parser - Rust source code
librust-typed-arena+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena+std-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2+std-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2.0+std-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2.0.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2.0.0+std-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-2.0.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-typed-arena-dev
librust-typed-arena-dev (2.0.0-2)
Arena, a fast but limited type of allocator - Rust source code
librust-typenum+default-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum+force-unix-path-separator-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum+i128-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum+no-std-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum+strict-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1+default-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1+force-unix-path-separator-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1+i128-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1+no-std-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1+strict-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12+default-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12+force-unix-path-separator-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12+i128-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12+no-std-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12+strict-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12.0+default-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12.0+force-unix-path-separator-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12.0+i128-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12.0+no-std-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12.0+strict-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-1.12.0-dev (= 1.12.0-1)
本虛擬套件由這些套件填實: librust-typenum-dev
librust-typenum-dev (1.12.0-1)
Type-level numbers evaluated at compile time - Rust source code
librust-ucd-parse+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-0+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-0-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-0.1+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-0.1-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-0.1.4+default-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-0.1.4-dev (= 0.1.4-1)
本虛擬套件由這些套件填實: librust-ucd-parse-dev
librust-ucd-parse-dev (0.1.4-1)
Parsing data files in the Unicode character database - Rust source code
librust-ucd-trie+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie+std-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0+std-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0.1+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0.1+std-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0.1-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0.1.3+default-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0.1.3+std-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-0.1.3-dev (= 0.1.3-1)
本虛擬套件由這些套件填實: librust-ucd-trie-dev
librust-ucd-trie-dev (0.1.3-1)
Trie for storing Unicode codepoint sets and maps - Rust source code
librust-ucd-util+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-0+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-0-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-0.1+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-0.1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-0.1.5+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-0.1.5-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-ucd-util-dev
librust-ucd-util-dev (0.1.5-1)
Small utility library for working with the Unicode character database - Rust source code
librust-umask+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-umask-dev
librust-umask-dev (1.0.0-1+b1)
light utility helping with unix mode representation - Rust source code
librust-unchecked-index+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-0+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-0-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-0.2+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-0.2-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-0.2.2+default-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-0.2.2-dev (= 0.2.2-1+b2)
本虛擬套件由這些套件填實: librust-unchecked-index-dev
librust-unchecked-index-dev (0.2.2-1+b2)
Unchecked indexing wrapper using regular index syntax - Rust source code
librust-unicase+default-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase+nightly-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2+default-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2+nightly-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2.6+default-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2.6+nightly-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2.6-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2.6.0+default-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2.6.0+nightly-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-2.6.0-dev (= 2.6.0-1)
本虛擬套件由這些套件填實: librust-unicase-dev
librust-unicase-dev (2.6.0-1)
Case-insensitive wrapper around strings - Rust source code
librust-unicode-bidi+bench-it-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi+serde-dev (0.3.4-2)
The Unicode Bidirectional Algorithm - feature "serde"
librust-unicode-bidi+unstable-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi+with-serde-dev (0.3.4-2)
The Unicode Bidirectional Algorithm - feature "with_serde"
librust-unicode-bidi-0+bench-it-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0+serde-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi+serde-dev
librust-unicode-bidi-0+unstable-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0+with-serde-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi+with-serde-dev
librust-unicode-bidi-0-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3+bench-it-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3+serde-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi+serde-dev
librust-unicode-bidi-0.3+unstable-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3+with-serde-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi+with-serde-dev
librust-unicode-bidi-0.3-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3.4+bench-it-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3.4+default-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3.4+serde-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi+serde-dev
librust-unicode-bidi-0.3.4+unstable-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-0.3.4+with-serde-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi+with-serde-dev
librust-unicode-bidi-0.3.4-dev (= 0.3.4-2)
本虛擬套件由這些套件填實: librust-unicode-bidi-dev
librust-unicode-bidi-dev (0.3.4-2)
The Unicode Bidirectional Algorithm - Rust source code
librust-unicode-categories+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-unicode-categories-dev
librust-unicode-categories-dev (0.1.1-2)
Query Unicode category membership for chars - Rust source code
librust-unicode-normalization+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-0+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-0-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-0.1+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-0.1-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-0.1.12+default-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-0.1.12-dev (= 0.1.12-1)
本虛擬套件由這些套件填實: librust-unicode-normalization-dev
librust-unicode-normalization-dev (0.1.12-1)
Functions for normalization of Unicode strings, including Canonical and Compatible Decomposition and Recomposition, as described in Unicode Standard Annex #15 - Rust source code
librust-unicode-script+bench-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script+default-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0+bench-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0+default-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0.5+bench-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0.5+default-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0.5-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0.5.2+bench-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0.5.2+default-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-0.5.2-dev (= 0.5.2-4)
本虛擬套件由這些套件填實: librust-unicode-script-dev
librust-unicode-script-dev (0.5.2-4)
Unicode Script and Script_Extension properties from UAX #24
librust-unicode-segmentation+default-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation+no-std-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1+default-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1+no-std-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1.6+default-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1.6+no-std-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1.6-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1.6.0+default-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1.6.0+no-std-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-1.6.0-dev (= 1.6.0-1)
本虛擬套件由這些套件填實: librust-unicode-segmentation-dev
librust-unicode-segmentation-dev (1.6.0-1)
Grapheme Cluster, Word and Sentence boundaries according to Unicode Standard Annex #29 rules - Rust source code
librust-unicode-vo+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-0.1+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-0.1-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-0.1.0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-0.1.0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-unicode-vo-dev
librust-unicode-vo-dev (0.1.0-2)
Unicode vertical orientation detection - Rust source code
librust-unicode-width+bench-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width+compiler-builtins-dev (0.1.8-1)
Determine displayed width of `char` and `str` types according to Unicode Standard Annex #11 rules - feature "compiler_builtins"
librust-unicode-width+core-dev (0.1.8-1)
Determine displayed width of `char` and `str` types according to Unicode Standard Annex #11 rules - feature "core"
librust-unicode-width+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width+no-std-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0+bench-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0+compiler-builtins-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width+compiler-builtins-dev
librust-unicode-width-0+core-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width+core-dev
librust-unicode-width-0+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0+no-std-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1+bench-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1+compiler-builtins-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width+compiler-builtins-dev
librust-unicode-width-0.1+core-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width+core-dev
librust-unicode-width-0.1+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1+no-std-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1.8+bench-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1.8+compiler-builtins-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width+compiler-builtins-dev
librust-unicode-width-0.1.8+core-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width+core-dev
librust-unicode-width-0.1.8+default-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1.8+no-std-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-0.1.8-dev (= 0.1.8-1)
本虛擬套件由這些套件填實: librust-unicode-width-dev
librust-unicode-width-dev (0.1.8-1)
Determine displayed width of `char` and `str` types according to Unicode Standard Annex #11 rules - Rust source code
librust-unicode-xid+bench-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid+no-std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0+bench-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0+no-std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2+bench-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2+no-std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2.0+bench-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2.0+no-std-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-unicode-xid-dev
librust-unicode-xid-dev (0.2.0-1)
Determine whether characters have the XID_Start or XID_Continue properties according to Unicode Standard Annex #31 - Rust source code
librust-unindent+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-0+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-0-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-0.1+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-0.1-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-0.1.5+default-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-0.1.5-dev (= 0.1.5-2)
本虛擬套件由這些套件填實: librust-unindent-dev
librust-unindent-dev (0.1.5-2)
Remove a column of leading whitespace from a string - Rust source code
librust-universal-hash+default-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash+std-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0+default-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0+std-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0.3+default-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0.3+std-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0.3-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0.3.0+default-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0.3.0+std-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-0.3.0-dev (= 0.3.0-3)
本虛擬套件由這些套件填實: librust-universal-hash-dev
librust-universal-hash-dev (0.3.0-3)
Trait for universal hash functions - Rust source code
librust-unix-socket+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-0.5+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-0.5-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-0.5.0+default-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-0.5.0-dev (= 0.5.0-2)
本虛擬套件由這些套件填實: librust-unix-socket-dev
librust-unix-socket-dev (0.5.0-2)
Unix domain socket bindings - Rust source code
librust-unreachable+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-unreachable-dev
librust-unreachable-dev (1.0.0-1+b1)
Unreachable code optimization hint in stable rust - Rust source code
librust-untrusted+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-0+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-0-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-0.7+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-0.7-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-0.7.0+default-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-0.7.0-dev (= 0.7.0-1)
本虛擬套件由這些套件填實: librust-untrusted-dev
librust-untrusted-dev (0.7.0-1)
Safe, fast, zero-allocation parsing of untrusted inputs - Rust source code
librust-unveil+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-0.2+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-0.2-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-0.2.0+default-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-0.2.0-dev (= 0.2.0-1+b1)
本虛擬套件由這些套件填實: librust-unveil-dev
librust-unveil-dev (0.2.0-1+b1)
Rust binding for OpenBSD's unveil(2) - Rust source code
librust-unwrap+default-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-1+default-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-1-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-1.2+default-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-1.2-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-1.2.1+default-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-1.2.1-dev (= 1.2.1-2)
本虛擬套件由這些套件填實: librust-unwrap-dev
librust-unwrap-dev (1.2.1-2)
Unwrap! and an unwrap_err! macro - Rust source code
librust-url+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url+serde-dev (2.1.1-2)
URL library for Rust - feature "serde"
librust-url-2+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url-2+serde-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url+serde-dev
librust-url-2-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url-2.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url-2.1+serde-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url+serde-dev
librust-url-2.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url-2.1.1+default-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url-2.1.1+serde-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url+serde-dev
librust-url-2.1.1-dev (= 2.1.1-2)
本虛擬套件由這些套件填實: librust-url-dev
librust-url-dev (2.1.1-2)
URL library for Rust - Rust source code
librust-urlencoding+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-1+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-1-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-1.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-1.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-1.0.0+default-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-1.0.0-dev (= 1.0.0-1+b1)
本虛擬套件由這些套件填實: librust-urlencoding-dev
librust-urlencoding-dev (1.0.0-1+b1)
Doing URL percentage encoding - Rust source code
librust-urlocator+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-0+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-0-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-0.1+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-0.1-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-0.1.4+default-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-0.1.4-dev (= 0.1.4-1+b1)
本虛擬套件由這些套件填實: librust-urlocator-dev
librust-urlocator-dev (0.1.4-1+b1)
Locate URLs in character streams - Rust source code
librust-users+cache-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users+default-dev (0.10.0-1+b1)
Accessing Unix users and groups - feature "default"
librust-users+log-dev (0.10.0-1+b1)
Accessing Unix users and groups - feature "log" and 1 more
librust-users+logging-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users+mock-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0+cache-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+default-dev
librust-users-0+log-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users-0+logging-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users-0+mock-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0.10+cache-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0.10+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+default-dev
librust-users-0.10+log-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users-0.10+logging-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users-0.10+mock-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0.10-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0.10.0+cache-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0.10.0+default-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+default-dev
librust-users-0.10.0+log-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users-0.10.0+logging-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users+log-dev
librust-users-0.10.0+mock-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-0.10.0-dev (= 0.10.0-1+b1)
本虛擬套件由這些套件填實: librust-users-dev
librust-users-dev (0.10.0-1+b1)
Accessing Unix users and groups - Rust source code
librust-usvg+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-0+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-0-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-0.8+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-0.8-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-0.8.0+default-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-0.8.0-dev (= 0.8.0-4)
本虛擬套件由這些套件填實: librust-usvg-dev
librust-usvg-dev (0.8.0-4)
SVG simplification library - Rust source code
librust-utf-8+default-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-0+default-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-0-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-0.7+default-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-0.7-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-0.7.5+default-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-0.7.5-dev (= 0.7.5-1)
本虛擬套件由這些套件填實: librust-utf-8-dev
librust-utf-8-dev (0.7.5-1)
Incremental, zero-copy UTF-8 decoding with error handling - Rust source code
librust-utf8-ranges+default-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-1+default-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-1-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-1.0+default-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-1.0-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-1.0.4+default-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-1.0.4-dev (= 1.0.4-1)
本虛擬套件由這些套件填實: librust-utf8-ranges-dev
librust-utf8-ranges-dev (1.0.4-1)
DEPRECATED - Rust source code
librust-utf8parse+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse+nightly-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0+nightly-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0.2+nightly-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0.2.0+nightly-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-utf8parse-dev
librust-utf8parse-dev (0.2.0-1)
Table-driven UTF-8 parser - Rust source code
librust-uuid+default-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid+guid-dev (0.8.1-3)
Generate and parse UUIDs - feature "guid" and 1 more
librust-uuid+md5-dev (0.8.1-3)
Generate and parse UUIDs - feature "md5" and 1 more
librust-uuid+rand-dev (0.8.1-3)
Generate and parse UUIDs - feature "rand" and 1 more
librust-uuid+serde-dev (0.8.1-3)
Generate and parse UUIDs - feature "serde"
librust-uuid+sha1-dev (0.8.1-3)
Generate and parse UUIDs - feature "sha1" and 1 more
librust-uuid+slog-dev (0.8.1-3)
Generate and parse UUIDs - feature "slog"
librust-uuid+std-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid+stdweb-dev (0.8.1-3)
Generate and parse UUIDs - feature "stdweb"
librust-uuid+v1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid+v3-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid+v4-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid+v5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid+wasm-bindgen-dev (0.8.1-3)
Generate and parse UUIDs - feature "wasm-bindgen"
librust-uuid+winapi-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0+default-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0+guid-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0+md5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid-0+rand-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid-0+serde-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+serde-dev
librust-uuid-0+sha1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid-0+slog-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+slog-dev
librust-uuid-0+std-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0+stdweb-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+stdweb-dev
librust-uuid-0+v1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0+v3-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid-0+v4-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid-0+v5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid-0+wasm-bindgen-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+wasm-bindgen-dev
librust-uuid-0+winapi-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8+default-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8+guid-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0.8+md5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid-0.8+rand-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid-0.8+serde-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+serde-dev
librust-uuid-0.8+sha1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid-0.8+slog-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+slog-dev
librust-uuid-0.8+std-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8+stdweb-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+stdweb-dev
librust-uuid-0.8+v1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8+v3-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid-0.8+v4-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid-0.8+v5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid-0.8+wasm-bindgen-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+wasm-bindgen-dev
librust-uuid-0.8+winapi-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0.8-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8.1+default-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8.1+guid-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0.8.1+md5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid-0.8.1+rand-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid-0.8.1+serde-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+serde-dev
librust-uuid-0.8.1+sha1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid-0.8.1+slog-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+slog-dev
librust-uuid-0.8.1+std-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8.1+stdweb-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+stdweb-dev
librust-uuid-0.8.1+v1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-0.8.1+v3-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+md5-dev
librust-uuid-0.8.1+v4-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+rand-dev
librust-uuid-0.8.1+v5-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+sha1-dev
librust-uuid-0.8.1+wasm-bindgen-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+wasm-bindgen-dev
librust-uuid-0.8.1+winapi-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid+guid-dev
librust-uuid-0.8.1-dev (= 0.8.1-3)
本虛擬套件由這些套件填實: librust-uuid-dev
librust-uuid-dev (0.8.1-3)
Generate and parse UUIDs - Rust source code
librust-vcpkg+default-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-0+default-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-0-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-0.2+default-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-0.2-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-0.2.8+default-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-0.2.8-dev (= 0.2.8-1)
本虛擬套件由這些套件填實: librust-vcpkg-dev
librust-vcpkg-dev (0.2.8-1)
Find native dependencies in a vcpkg tree at build time - Rust source code
librust-vec-map+default-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map+eders-dev (0.8.1-2+b1)
Simple map based on a vector for small integer keys - feature "eders"
librust-vec-map+serde-dev (0.8.1-2+b1)
Simple map based on a vector for small integer keys - feature "serde"
librust-vec-map-0+default-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map-0+eders-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map+eders-dev
librust-vec-map-0+serde-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map+serde-dev
librust-vec-map-0-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map-0.8+default-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map-0.8+eders-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map+eders-dev
librust-vec-map-0.8+serde-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map+serde-dev
librust-vec-map-0.8-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map-0.8.1+default-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map-0.8.1+eders-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map+eders-dev
librust-vec-map-0.8.1+serde-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map+serde-dev
librust-vec-map-0.8.1-dev (= 0.8.1-2+b1)
本虛擬套件由這些套件填實: librust-vec-map-dev
librust-vec-map-dev (0.8.1-2+b1)
Simple map based on a vector for small integer keys - Rust source code
librust-vergen+default-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-3+default-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-3-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-3.0+default-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-3.0-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-3.0.4+default-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-3.0.4-dev (= 3.0.4-2)
本虛擬套件由這些套件填實: librust-vergen-dev
librust-vergen-dev (3.0.4-2)
Generate version related functions - Rust source code
librust-version-check+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-0+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-0-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-0.9+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-0.9-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-0.9.2+default-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-0.9.2-dev (= 0.9.2-1)
本虛擬套件由這些套件填實: librust-version-check-dev
librust-version-check-dev (0.9.2-1)
Tiny crate to check the version of the installed/running rustc - Rust source code
librust-version-compare+default-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare+dev-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0+default-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0+dev-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0.0+default-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0.0+dev-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0.0-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0.0.10+default-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0.0.10+dev-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-0.0.10-dev (= 0.0.10-1)
本虛擬套件由這些套件填實: librust-version-compare-dev
librust-version-compare-dev (0.0.10-1)
Easily compare version numbers, and test them against various comparison operators - Rust source code
librust-void+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void+std-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1+std-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1.0+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1.0+std-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1.0-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1.0.2+default-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1.0.2+std-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-1.0.2-dev (= 1.0.2-1+b1)
本虛擬套件由這些套件填實: librust-void-dev
librust-void-dev (1.0.2-1+b1)
Uninhabited void type for use in statically impossible cases - Rust source code
librust-vte+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-0+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-0-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-0.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-0.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-0.3.3+default-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-0.3.3-dev (= 0.3.3-2)
本虛擬套件由這些套件填實: librust-vte-dev
librust-vte-dev (0.3.3-2)
Parser for implementing terminal emulators - Rust source code
librust-vte-generate-state-changes+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-0+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-0-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-0.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-0.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-0.1.1+default-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-0.1.1-dev (= 0.1.1-1+b2)
本虛擬套件由這些套件填實: librust-vte-generate-state-changes-dev
librust-vte-generate-state-changes-dev (0.1.1-1+b2)
Proc macro for generating VTE state changes - Rust source code
librust-wait-timeout+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-0+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-0-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-0.1+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-0.1-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-0.1.5+default-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-0.1.5-dev (= 0.1.5-1+b1)
本虛擬套件由這些套件填實: librust-wait-timeout-dev
librust-wait-timeout-dev (0.1.5-1+b1)
Wait on a child process with a timeout, cross-platform - Rust source code
librust-walkdir+default-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-2+default-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-2-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-2.3+default-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-2.3-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-2.3.1+default-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-2.3.1-dev (= 2.3.1-1)
本虛擬套件由這些套件填實: librust-walkdir-dev
librust-walkdir-dev (2.3.1-1)
Recursively walk a directory - Rust source code
librust-want+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-0.2+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-0.2-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-0.2.0+default-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-0.2.0-dev (= 0.2.0-1)
本虛擬套件由這些套件填實: librust-want-dev
librust-want-dev (0.2.0-1)
Detect when another Future wants a result - Rust source code
librust-wasm-bindgen+default-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "default"
librust-wasm-bindgen+enable-interning-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen+nightly-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen+serde-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "serde"
librust-wasm-bindgen+serde-json-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "serde_json"
librust-wasm-bindgen+serde-serialize-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "serde-serialize"
librust-wasm-bindgen+spans-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "spans"
librust-wasm-bindgen+std-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen+strict-macro-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "strict-macro"
librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev (0.2.58-1)
Easy support for JS and Rust interaction - feature "xxx_debug_only_print_generated_code"
librust-wasm-bindgen-0+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+default-dev
librust-wasm-bindgen-0+enable-interning-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0+nightly-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0+serde-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-dev
librust-wasm-bindgen-0+serde-json-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-json-dev
librust-wasm-bindgen-0+serde-serialize-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-serialize-dev
librust-wasm-bindgen-0+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+spans-dev
librust-wasm-bindgen-0+std-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0+strict-macro-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+strict-macro-dev
librust-wasm-bindgen-0+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
librust-wasm-bindgen-0-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+default-dev
librust-wasm-bindgen-0.2+enable-interning-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2+nightly-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2+serde-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-dev
librust-wasm-bindgen-0.2+serde-json-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-json-dev
librust-wasm-bindgen-0.2+serde-serialize-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-serialize-dev
librust-wasm-bindgen-0.2+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+spans-dev
librust-wasm-bindgen-0.2+std-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2+strict-macro-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+strict-macro-dev
librust-wasm-bindgen-0.2+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
librust-wasm-bindgen-0.2-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2.58+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+default-dev
librust-wasm-bindgen-0.2.58+enable-interning-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2.58+nightly-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2.58+serde-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-dev
librust-wasm-bindgen-0.2.58+serde-json-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-json-dev
librust-wasm-bindgen-0.2.58+serde-serialize-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+serde-serialize-dev
librust-wasm-bindgen-0.2.58+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+spans-dev
librust-wasm-bindgen-0.2.58+std-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-0.2.58+strict-macro-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+strict-macro-dev
librust-wasm-bindgen-0.2.58+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen+xxx-debug-only-print-generated-code-dev
librust-wasm-bindgen-0.2.58-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-dev
librust-wasm-bindgen-backend+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend+extra-traits-dev (0.2.58-1)
Backend code generation of the wasm-bindgen tool - feature "extra-traits"
librust-wasm-bindgen-backend+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0+extra-traits-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend+extra-traits-dev
librust-wasm-bindgen-backend-0+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0.2+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0.2+extra-traits-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend+extra-traits-dev
librust-wasm-bindgen-backend-0.2+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0.2-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0.2.58+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0.2.58+extra-traits-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend+extra-traits-dev
librust-wasm-bindgen-backend-0.2.58+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-0.2.58-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-backend-dev
librust-wasm-bindgen-backend-dev (0.2.58-1)
Backend code generation of the wasm-bindgen tool - Rust source code
librust-wasm-bindgen-dev (0.2.58-1)
Easy support for JS and Rust interaction - Rust source code
librust-wasm-bindgen-macro+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro+spans-dev (0.2.58-1)
Definition of the `#[wasm_bindgen]` attribute - feature "spans"
librust-wasm-bindgen-macro+strict-macro-dev (0.2.58-1)
Definition of the `#[wasm_bindgen]` attribute - feature "strict-macro"
librust-wasm-bindgen-macro+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro+spans-dev
librust-wasm-bindgen-macro-0+strict-macro-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro+strict-macro-dev
librust-wasm-bindgen-macro-0+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0.2+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0.2+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro+spans-dev
librust-wasm-bindgen-macro-0.2+strict-macro-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro+strict-macro-dev
librust-wasm-bindgen-macro-0.2+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0.2-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0.2.58+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0.2.58+spans-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro+spans-dev
librust-wasm-bindgen-macro-0.2.58+strict-macro-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro+strict-macro-dev
librust-wasm-bindgen-macro-0.2.58+xxx-debug-only-print-generated-code-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-0.2.58-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-dev
librust-wasm-bindgen-macro-dev (0.2.58-1)
Definition of the `#[wasm_bindgen]` attribute - Rust source code
librust-wasm-bindgen-macro-support+default-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support+extra-traits-dev (0.2.58-2)
Implementation of the `#[wasm_bindgen]` attribute - feature "extra-traits"
librust-wasm-bindgen-macro-support+spans-dev (0.2.58-2)
Implementation of the `#[wasm_bindgen]` attribute - feature "spans"
librust-wasm-bindgen-macro-support+strict-macro-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0+default-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0+extra-traits-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support+extra-traits-dev
librust-wasm-bindgen-macro-support-0+spans-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support+spans-dev
librust-wasm-bindgen-macro-support-0+strict-macro-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0.2+default-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0.2+extra-traits-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support+extra-traits-dev
librust-wasm-bindgen-macro-support-0.2+spans-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support+spans-dev
librust-wasm-bindgen-macro-support-0.2+strict-macro-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0.2-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0.2.58+default-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0.2.58+extra-traits-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support+extra-traits-dev
librust-wasm-bindgen-macro-support-0.2.58+spans-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support+spans-dev
librust-wasm-bindgen-macro-support-0.2.58+strict-macro-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-0.2.58-dev (= 0.2.58-2)
本虛擬套件由這些套件填實: librust-wasm-bindgen-macro-support-dev
librust-wasm-bindgen-macro-support-dev (0.2.58-2)
Implementation of the `#[wasm_bindgen]` attribute - Rust source code
librust-wasm-bindgen-shared+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-0+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-0-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-0.2+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-0.2-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-0.2.58+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-0.2.58-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-shared-dev
librust-wasm-bindgen-shared-dev (0.2.58-1)
Shared support of wasm-bindgen and wasm-bindgen cli - Rust source code
librust-wasm-bindgen-webidl+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-0+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-0-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-0.2+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-0.2-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-0.2.58+default-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-0.2.58-dev (= 0.2.58-1)
本虛擬套件由這些套件填實: librust-wasm-bindgen-webidl-dev
librust-wasm-bindgen-webidl-dev (0.2.58-1)
Support for parsing WebIDL specific to wasm-bindgen - Rust source code
librust-weedle+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-0+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-0-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-0.10+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-0.10-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-0.10.0+default-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-0.10.0-dev (= 0.10.0-3)
本虛擬套件由這些套件填實: librust-weedle-dev
librust-weedle-dev (0.10.0-3)
WebIDL Parser - Rust source code
librust-which+default-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which+failure-dev (3.0.0-2)
Rust equivalent of Unix command "which" - feature "failure" and 2 more
librust-which+use-failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3+default-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3+failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3+use-failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which-dev
librust-which-3.0+default-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3.0+failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3.0+use-failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3.0-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which-dev
librust-which-3.0.0+default-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3.0.0+failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3.0.0+use-failure-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which+failure-dev
librust-which-3.0.0-dev (= 3.0.0-2)
本虛擬套件由這些套件填實: librust-which-dev
librust-which-dev (3.0.0-2)
Rust equivalent of Unix command "which" - Rust source code
librust-whoami+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-0+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-0-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-0.8+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-0.8-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-0.8.1+default-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-0.8.1-dev (= 0.8.1-1)
本虛擬套件由這些套件填實: librust-whoami-dev
librust-whoami-dev (0.8.1-1)
Retrieve the current user and environment - Rust source code
librust-widestring+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-0.4+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-0.4-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-0.4.0+default-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-0.4.0-dev (= 0.4.0-2)
本虛擬套件由這些套件填實: librust-widestring-dev
librust-widestring-dev (0.4.0-2)
Library for converting to and from wide strings - Rust source code
librust-wild+default-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-2+default-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-2-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-2.0+default-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-2.0-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-2.0.2+default-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-2.0.2-dev (= 2.0.2-1+b1)
本虛擬套件由這些套件填實: librust-wild-dev
librust-wild-dev (2.0.2-1+b1)
Glob (wildcard) expanded command-line arguments on Windows - Rust source code
librust-winapi+accctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+aclapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+activation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+appmgmt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+audioclient-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+audiosessiontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+avrt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+basetsd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bcrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits2-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits2-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits3-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits4-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bits5-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bitscfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bitsmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bluetoothapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bluetoothleapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bthdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bthioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bthledef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bthsdpdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+bugcodes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+cderr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+cfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+cfgmgr32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+cguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+combaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+coml2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+commapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+commctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+commdlg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+commoncontrols-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+consoleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+corsym-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1effectauthor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1effects-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1effects-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1effects-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2d1svg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d2dbasetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10-1shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10effect-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10misc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d10shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11on12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d11tokenizedprogramformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d12sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d12shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d9-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d9caps-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3d9types-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dcompiler-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dcsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dkmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dkmthk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dukmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dx10core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dx10math-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+d3dx10mesh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+datetimeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+davclnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dbghelp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dbt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dcomp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dcompanimation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dcomptypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dde-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ddraw-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ddrawi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ddrawint-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+debugapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+devguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+devicetopology-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+devpropdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dinputd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dispex-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dmksctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dmusicc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+docobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+documenttarget-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dpa-dsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dpapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dsgetdc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dsound-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dsrole-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dvp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dwmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dwrite-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dwrite-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dwrite-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dwrite-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxdiag-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxfile-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgi1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgi1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgi1-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgi1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgi1-6-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgidebug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgiformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxgitype-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxva2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+dxvahd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+enclaveapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+endpointvolume-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+errhandlingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+everything-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+evntcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+evntprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+evntrace-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+excpt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+exdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+fibersapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+fileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+functiondiscoverykeys-devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+gl-gl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+guiddef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+handleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+heapapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+hidclass-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+hidpi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+hidsdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+hidusage-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+highlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+hstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+http-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ifdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+imm-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+impl-debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+impl-default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+in6addr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+inaddr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+inspectable-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+interlockedapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+intsafe-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ioapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+jobapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+jobapi2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+knownfolders-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ks-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ksmedia-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ktmtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ktmw32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+libloaderapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+limits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmaccess-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmalert-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmapibuf-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmdfs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmerrlog-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmjoin-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmremutl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmrepl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmserver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmshare-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmstats-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmuse-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lmwksta-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lowlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+lsalookup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+memoryapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+minschannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+minwinbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+minwindef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mmdeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mmeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mmreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mmsystem-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+msaatext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mscat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mschapp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mssip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mstcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mswsock-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+mswsockdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+namedpipeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+namespaceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+nb30-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ncrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+netioapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ntddscsi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ntddser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ntdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ntlsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ntsecapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ntstatus-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+oaidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+objbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+objidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+objidlbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ocidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ole2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+oleauto-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+olectl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+oleidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+opmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+pdh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+perflib-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+physicalmonitorenumerationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+playsoundapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+portabledevice-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+portabledeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+portabledevicetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+powerbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+powersetting-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+powrprof-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+processenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+processsnapshot-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+processthreadsapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+processtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+profileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+propidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+propkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+propkeydef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+propsys-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+prsht-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+psapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+qos-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+realtimeapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+reason-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+restartmanager-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+restrictederrorinfo-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+rmxfguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+roapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+robuffer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+roerrorapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+rpc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+rpcdce-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+rpcndr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sapi51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sapi53-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sapiddk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sapiddk51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+schannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sddl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+securityappcontainer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+securitybaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+servprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+setupapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+shellapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+shellscalingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+shlobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+shobjidl-core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+shobjidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+shtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+spapidef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+spellcheck-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sporder-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sql-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sqlext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sqltypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sqlucode-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sspi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+std-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+stralign-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+stringapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+strmif-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+subauth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+synchapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+sysinfoapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+systemtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+taskschd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+textstor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+threadpoolapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+threadpoollegacyapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+timeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+timezoneapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+tlhelp32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+transportsettingcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+tvout-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+unknwnbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+urlhist-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+urlmon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+usb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+usbiodef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+usbspec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+userenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+usp10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+utilapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+uxtheme-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+vadefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+vcruntime-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+vsbackup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+vss-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+vsserror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+vswriter-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wbemads-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wbemcli-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wbemdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wbemprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wbemtran-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wct-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+werapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wincodec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wincodecsdk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wincon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wincontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wincred-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wincrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+windef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+windowsceip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+windowsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winerror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winevt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wingdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winhttp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wininet-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winineti-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winnetwk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winnls-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winsafer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winscard-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winsmcrd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winsock2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winspool-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winusb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winusbio-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winuser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+winver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wmistr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wnnc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wow64apiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wpdmtpextensions-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ws2bth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ws2def-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ws2ipdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ws2spi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+ws2tcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+wtypesbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi+xinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+accctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+aclapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+activation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+appmgmt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+audioclient-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+audiosessiontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+avrt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+basetsd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bcrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits2-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits2-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits3-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits4-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bits5-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bitscfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bitsmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bluetoothapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bluetoothleapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bthdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bthioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bthledef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bthsdpdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+bugcodes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+cderr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+cfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+cfgmgr32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+cguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+combaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+coml2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+commapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+commctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+commdlg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+commoncontrols-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+consoleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+corsym-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1effectauthor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1effects-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1effects-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1effects-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2d1svg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d2dbasetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10-1shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10effect-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10misc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d10shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11on12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d11tokenizedprogramformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d12sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d12shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d9-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d9caps-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3d9types-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dcompiler-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dcsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dkmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dkmthk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dukmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dx10core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dx10math-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+d3dx10mesh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+datetimeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+davclnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dbghelp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dbt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dcomp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dcompanimation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dcomptypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dde-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ddraw-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ddrawi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ddrawint-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+debugapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+devguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+devicetopology-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+devpropdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dinputd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dispex-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dmksctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dmusicc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+docobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+documenttarget-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dpa-dsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dpapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dsgetdc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dsound-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dsrole-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dvp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dwmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dwrite-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dwrite-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dwrite-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dwrite-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxdiag-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxfile-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgi1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgi1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgi1-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgi1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgi1-6-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgidebug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgiformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxgitype-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxva2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+dxvahd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+enclaveapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+endpointvolume-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+errhandlingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+everything-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+evntcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+evntprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+evntrace-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+excpt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+exdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+fibersapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+fileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+functiondiscoverykeys-devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+gl-gl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+guiddef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+handleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+heapapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+hidclass-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+hidpi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+hidsdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+hidusage-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+highlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+hstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+http-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ifdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+imm-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+impl-debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+impl-default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+in6addr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+inaddr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+inspectable-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+interlockedapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+intsafe-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ioapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+jobapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+jobapi2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+knownfolders-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ks-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ksmedia-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ktmtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ktmw32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+libloaderapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+limits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmaccess-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmalert-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmapibuf-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmdfs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmerrlog-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmjoin-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmremutl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmrepl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmserver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmshare-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmstats-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmuse-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lmwksta-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lowlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+lsalookup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+memoryapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+minschannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+minwinbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+minwindef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mmdeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mmeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mmreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mmsystem-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+msaatext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mscat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mschapp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mssip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mstcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mswsock-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+mswsockdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+namedpipeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+namespaceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+nb30-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ncrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+netioapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ntddscsi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ntddser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ntdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ntlsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ntsecapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ntstatus-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+oaidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+objbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+objidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+objidlbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ocidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ole2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+oleauto-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+olectl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+oleidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+opmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+pdh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+perflib-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+physicalmonitorenumerationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+playsoundapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+portabledevice-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+portabledeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+portabledevicetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+powerbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+powersetting-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+powrprof-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+processenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+processsnapshot-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+processthreadsapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+processtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+profileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+propidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+propkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+propkeydef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+propsys-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+prsht-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+psapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+qos-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+realtimeapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+reason-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+restartmanager-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+restrictederrorinfo-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+rmxfguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+roapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+robuffer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+roerrorapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+rpc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+rpcdce-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+rpcndr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sapi51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sapi53-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sapiddk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sapiddk51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+schannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sddl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+securityappcontainer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+securitybaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+servprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+setupapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+shellapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+shellscalingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+shlobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+shobjidl-core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+shobjidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+shtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+spapidef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+spellcheck-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sporder-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sql-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sqlext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sqltypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sqlucode-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sspi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+std-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+stralign-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+stringapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+strmif-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+subauth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+synchapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+sysinfoapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+systemtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+taskschd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+textstor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+threadpoolapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+threadpoollegacyapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+timeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+timezoneapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+tlhelp32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+transportsettingcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+tvout-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+unknwnbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+urlhist-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+urlmon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+usb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+usbiodef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+usbspec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+userenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+usp10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+utilapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+uxtheme-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+vadefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+vcruntime-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+vsbackup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+vss-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+vsserror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+vswriter-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wbemads-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wbemcli-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wbemdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wbemprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wbemtran-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wct-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+werapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wincodec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wincodecsdk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wincon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wincontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wincred-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wincrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+windef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+windowsceip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+windowsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winerror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winevt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wingdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winhttp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wininet-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winineti-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winnetwk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winnls-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winsafer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winscard-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winsmcrd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winsock2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winspool-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winusb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winusbio-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winuser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+winver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wmistr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wnnc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wow64apiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wpdmtpextensions-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ws2bth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ws2def-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ws2ipdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ws2spi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+ws2tcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+wtypesbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0+xinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+accctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+aclapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+activation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+appmgmt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+audioclient-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+audiosessiontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+avrt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+basetsd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bcrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits2-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits2-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits3-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits4-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bits5-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bitscfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bitsmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bluetoothapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bluetoothleapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bthdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bthioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bthledef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bthsdpdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+bugcodes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+cderr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+cfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+cfgmgr32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+cguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+combaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+coml2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+commapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+commctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+commdlg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+commoncontrols-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+consoleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+corsym-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1effectauthor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1effects-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1effects-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1effects-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2d1svg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d2dbasetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10-1shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10effect-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10misc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d10shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11on12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d11tokenizedprogramformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d12sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d12shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d9-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d9caps-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3d9types-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dcompiler-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dcsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dkmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dkmthk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dukmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dx10core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dx10math-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+d3dx10mesh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+datetimeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+davclnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dbghelp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dbt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dcomp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dcompanimation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dcomptypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dde-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ddraw-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ddrawi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ddrawint-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+debugapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+devguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+devicetopology-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+devpropdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dinputd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dispex-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dmksctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dmusicc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+docobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+documenttarget-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dpa-dsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dpapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dsgetdc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dsound-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dsrole-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dvp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dwmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dwrite-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dwrite-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dwrite-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dwrite-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxdiag-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxfile-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgi1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgi1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgi1-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgi1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgi1-6-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgidebug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgiformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxgitype-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxva2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+dxvahd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+enclaveapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+endpointvolume-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+errhandlingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+everything-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+evntcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+evntprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+evntrace-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+excpt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+exdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+fibersapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+fileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+functiondiscoverykeys-devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+gl-gl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+guiddef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+handleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+heapapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+hidclass-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+hidpi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+hidsdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+hidusage-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+highlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+hstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+http-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ifdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+imm-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+impl-debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+impl-default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+in6addr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+inaddr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+inspectable-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+interlockedapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+intsafe-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ioapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+jobapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+jobapi2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+knownfolders-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ks-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ksmedia-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ktmtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ktmw32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+libloaderapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+limits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmaccess-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmalert-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmapibuf-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmdfs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmerrlog-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmjoin-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmremutl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmrepl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmserver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmshare-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmstats-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmuse-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lmwksta-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lowlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+lsalookup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+memoryapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+minschannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+minwinbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+minwindef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mmdeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mmeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mmreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mmsystem-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+msaatext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mscat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mschapp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mssip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mstcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mswsock-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+mswsockdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+namedpipeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+namespaceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+nb30-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ncrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+netioapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ntddscsi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ntddser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ntdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ntlsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ntsecapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ntstatus-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+oaidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+objbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+objidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+objidlbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ocidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ole2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+oleauto-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+olectl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+oleidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+opmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+pdh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+perflib-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+physicalmonitorenumerationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+playsoundapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+portabledevice-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+portabledeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+portabledevicetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+powerbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+powersetting-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+powrprof-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+processenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+processsnapshot-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+processthreadsapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+processtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+profileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+propidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+propkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+propkeydef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+propsys-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+prsht-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+psapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+qos-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+realtimeapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+reason-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+restartmanager-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+restrictederrorinfo-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+rmxfguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+roapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+robuffer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+roerrorapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+rpc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+rpcdce-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+rpcndr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sapi51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sapi53-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sapiddk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sapiddk51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+schannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sddl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+securityappcontainer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+securitybaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+servprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+setupapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+shellapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+shellscalingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+shlobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+shobjidl-core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+shobjidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+shtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+spapidef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+spellcheck-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sporder-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sql-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sqlext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sqltypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sqlucode-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sspi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+std-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+stralign-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+stringapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+strmif-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+subauth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+synchapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+sysinfoapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+systemtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+taskschd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+textstor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+threadpoolapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+threadpoollegacyapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+timeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+timezoneapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+tlhelp32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+transportsettingcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+tvout-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+unknwnbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+urlhist-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+urlmon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+usb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+usbiodef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+usbspec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+userenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+usp10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+utilapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+uxtheme-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+vadefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+vcruntime-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+vsbackup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+vss-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+vsserror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+vswriter-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wbemads-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wbemcli-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wbemdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wbemprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wbemtran-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wct-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+werapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wincodec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wincodecsdk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wincon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wincontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wincred-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wincrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+windef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+windowsceip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+windowsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winerror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winevt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wingdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winhttp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wininet-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winineti-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winnetwk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winnls-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winsafer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winscard-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winsmcrd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winsock2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winspool-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winusb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winusbio-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winuser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+winver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wmistr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wnnc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wow64apiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wpdmtpextensions-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ws2bth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ws2def-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ws2ipdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ws2spi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+ws2tcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+wtypesbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3+xinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+accctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+aclapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+activation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+appmgmt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+audioclient-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+audiosessiontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+avrt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+basetsd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bcrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits2-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits2-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits3-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits4-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bits5-0-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bitscfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bitsmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bluetoothapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bluetoothleapis-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bthdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bthioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bthledef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bthsdpdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+bugcodes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+cderr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+cfg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+cfgmgr32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+cguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+combaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+coml2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+commapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+commctrl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+commdlg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+commoncontrols-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+consoleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+corsym-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1effectauthor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1effects-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1effects-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1effects-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2d1svg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d2dbasetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10-1shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10effect-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10misc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d10shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11on12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d11tokenizedprogramformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d12-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d12sdklayers-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d12shader-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d9-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d9caps-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3d9types-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dcompiler-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dcsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dkmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dkmthk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dukmdt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dx10core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dx10math-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+d3dx10mesh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+datetimeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+davclnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dbghelp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dbt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dcomp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dcompanimation-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dcomptypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dde-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ddraw-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ddrawi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ddrawint-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+debugapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+devguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+devicetopology-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+devpropdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dinputd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dispex-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dmksctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dmusicc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+docobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+documenttarget-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dpa-dsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dpapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dsgetdc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dsound-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dsrole-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dvp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dwmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dwrite-1-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dwrite-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dwrite-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dwrite-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxdiag-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxfile-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgi1-2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgi1-3-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgi1-4-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgi1-5-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgi1-6-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgidebug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgiformat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxgitype-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxva2api-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+dxvahd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+enclaveapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+endpointvolume-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+errhandlingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+everything-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+evntcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+evntprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+evntrace-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+excpt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+exdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+fibersapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+fileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+functiondiscoverykeys-devpkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+gl-gl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+guiddef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+handleapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+heapapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+hidclass-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+hidpi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+hidsdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+hidusage-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+highlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+hstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+http-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ifdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+imm-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+impl-debug-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+impl-default-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+in6addr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+inaddr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+inspectable-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+interlockedapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+intsafe-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ioapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+jobapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+jobapi2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+knownfolders-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ks-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ksmedia-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ktmtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ktmw32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+libloaderapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+limits-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmaccess-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmalert-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmapibuf-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmcons-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmdfs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmerrlog-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmjoin-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmmsg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmremutl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmrepl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmserver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmshare-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmstats-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmuse-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lmwksta-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lowlevelmonitorconfigurationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+lsalookup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+memoryapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+minschannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+minwinbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+minwindef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mmdeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mmeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mmreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mmsystem-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+msaatext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mscat-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mschapp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mssip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mstcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mswsock-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+mswsockdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+namedpipeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+namespaceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+nb30-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ncrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+netioapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ntddscsi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ntddser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ntdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ntlsa-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ntsecapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ntstatus-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+oaidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+objbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+objidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+objidlbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ocidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ole2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+oleauto-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+olectl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+oleidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+opmapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+pdh-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+perflib-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+physicalmonitorenumerationapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+playsoundapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+portabledevice-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+portabledeviceapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+portabledevicetypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+powerbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+powersetting-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+powrprof-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+processenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+processsnapshot-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+processthreadsapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+processtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+profileapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+propidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+propkey-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+propkeydef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+propsys-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+prsht-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+psapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+qos-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+realtimeapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+reason-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+restartmanager-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+restrictederrorinfo-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+rmxfguid-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+roapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+robuffer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+roerrorapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+rpc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+rpcdce-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+rpcndr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sapi51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sapi53-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sapiddk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sapiddk51-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+schannel-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sddl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+securityappcontainer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+securitybaseapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+servprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+setupapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+shellapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+shellscalingapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+shlobj-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+shobjidl-core-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+shobjidl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+shtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+spapidef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+spellcheck-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sporder-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sql-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sqlext-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sqltypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sqlucode-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sspi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+std-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+stralign-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+stringapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+strmif-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+subauth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+synchapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+sysinfoapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+systemtopologyapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+taskschd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+textstor-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+threadpoolapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+threadpoollegacyapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+timeapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+timezoneapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+tlhelp32-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+transportsettingcommon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+tvout-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+unknwnbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+urlhist-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+urlmon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+usb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+usbiodef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+usbspec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+userenv-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+usp10-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+utilapiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+uxtheme-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+vadefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+vcruntime-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+vsbackup-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+vss-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+vsserror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+vswriter-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wbemads-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wbemcli-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wbemdisp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wbemprov-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wbemtran-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wct-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+werapi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wincodec-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wincodecsdk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wincon-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wincontypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wincred-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wincrypt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+windef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+windowsceip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+windowsx-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winefs-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winerror-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winevt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wingdi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winhttp-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wininet-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winineti-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winioctl-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winnetwk-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winnls-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winnt-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winreg-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winsafer-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winscard-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winsmcrd-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winsock2-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winspool-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winstring-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winsvc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winusb-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winusbio-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winuser-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+winver-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wmistr-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wnnc-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wow64apiset-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wpdmtpextensions-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ws2bth-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ws2def-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ws2ipdef-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ws2spi-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+ws2tcpip-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wtypes-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+wtypesbase-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8+xinput-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-0.3.8-dev (= 0.3.8-2)
本虛擬套件由這些套件填實: librust-winapi-dev
librust-winapi-build+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-0+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-0-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-0.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-0.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-0.1.1+default-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-0.1.1-dev (= 0.1.1-1+b1)
本虛擬套件由這些套件填實: librust-winapi-build-dev
librust-winapi-build-dev (0.1.1-1+b1)
Common code for build.rs in WinAPI -sys crates - Rust source code
librust-winapi-dev (0.3.8-2)
Raw FFI bindings for all of Windows API - Rust source code
librust-winapi-i686-pc-windows-gnu+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-0.4+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-0.4-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-0.4.0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-0.4.0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-i686-pc-windows-gnu-dev
librust-winapi-i686-pc-windows-gnu-dev (0.4.0-1+b1)
Import libraries for the i686-pc-windows-gnu target - Rust source code
librust-winapi-util+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-0+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-0-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-0.1+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-0.1-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-0.1.5+default-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-0.1.5-dev (= 0.1.5-1)
本虛擬套件由這些套件填實: librust-winapi-util-dev
librust-winapi-util-dev (0.1.5-1)
Dumping ground for high level safe wrappers over winapi - Rust source code
librust-winapi-x86-64-pc-windows-gnu+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-0.4+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-0.4-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-0.4.0+default-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-0.4.0-dev (= 0.4.0-1+b1)
本虛擬套件由這些套件填實: librust-winapi-x86-64-pc-windows-gnu-dev
librust-winapi-x86-64-pc-windows-gnu-dev (0.4.0-1+b1)
Import libraries for the x86_64-pc-windows-gnu target - Rust source code
librust-wincolor+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-1+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-1-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-1.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-1.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-1.0.0+default-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-1.0.0-dev (= 1.0.0-1)
本虛擬套件由這些套件填實: librust-wincolor-dev
librust-wincolor-dev (1.0.0-1)
Simple Windows specific API for controlling text color in a Windows console - Rust source code
librust-winreg+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg+serde-dev (0.6.0-1+b1)
Rust bindings to MS Windows Registry API - feature "serde"
librust-winreg+serialization-serde-dev (0.6.0-1+b1)
Rust bindings to MS Windows Registry API - feature "serialization-serde"
librust-winreg+transactions-dev (0.6.0-1+b1)
Rust bindings to MS Windows Registry API - feature "transactions"
librust-winreg-0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg-0+serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+serde-dev
librust-winreg-0+serialization-serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+serialization-serde-dev
librust-winreg-0+transactions-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+transactions-dev
librust-winreg-0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg-0.6+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg-0.6+serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+serde-dev
librust-winreg-0.6+serialization-serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+serialization-serde-dev
librust-winreg-0.6+transactions-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+transactions-dev
librust-winreg-0.6-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg-0.6.0+default-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg-0.6.0+serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+serde-dev
librust-winreg-0.6.0+serialization-serde-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+serialization-serde-dev
librust-winreg-0.6.0+transactions-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg+transactions-dev
librust-winreg-0.6.0-dev (= 0.6.0-1+b1)
本虛擬套件由這些套件填實: librust-winreg-dev
librust-winreg-dev (0.6.0-1+b1)
Rust bindings to MS Windows Registry API - Rust source code
librust-winutil+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-0+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-0-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-0.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-0.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-0.1.1+default-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-0.1.1-dev (= 0.1.1-2)
本虛擬套件由這些套件填實: librust-winutil-dev
librust-winutil-dev (0.1.1-2)
Simple wrappers around a handful of useful winapi calls - Rust source code
librust-x11+default-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+dox-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+dpms-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+glx-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xcursor-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xf86vmode-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xft-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xinerama-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xinput-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xlib-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xlib-xcb-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xmu-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xrandr-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xrecord-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xrender-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xss-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xt-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xtest-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11+xtst-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+default-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+dox-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+dpms-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+glx-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xcursor-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xf86vmode-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xft-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xinerama-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xinput-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xlib-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xlib-xcb-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xmu-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xrandr-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xrecord-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xrender-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xss-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xt-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xtest-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2+xtst-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+default-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+dox-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+dpms-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+glx-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xcursor-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xf86vmode-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xft-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xinerama-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xinput-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xlib-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xlib-xcb-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xmu-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xrandr-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xrecord-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xrender-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xss-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xt-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xtest-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18+xtst-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+default-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+dox-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+dpms-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+glx-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xcursor-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xf86vmode-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xft-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xinerama-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xinput-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xlib-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xlib-xcb-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xmu-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xrandr-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xrecord-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xrender-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xss-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xt-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xtest-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2+xtst-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-2.18.2-dev (= 2.18.2-2)
本虛擬套件由這些套件填實: librust-x11-dev
librust-x11-dev (2.18.2-2)
X11 library bindings for Rust - Rust source code
librust-xattr+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr+unsupported-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0+unsupported-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0.2+unsupported-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0.2.2+default-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0.2.2+unsupported-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-0.2.2-dev (= 0.2.2-1+b1)
本虛擬套件由這些套件填實: librust-xattr-dev
librust-xattr-dev (0.2.2-1+b1)
Unix extended filesystem attributes - Rust source code
librust-xdg+default-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-2+default-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-2-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-2.2+default-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-2.2-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-2.2.0+default-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-2.2.0-dev (= 2.2.0-3)
本虛擬套件由這些套件填實: librust-xdg-dev
librust-xdg-dev (2.2.0-3)
XDG Base Directory specification implementation - Rust source code
librust-xi-unicode+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-0.1+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-0.1-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-0.1.0+default-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-0.1.0-dev (= 0.1.0-1+b1)
本虛擬套件由這些套件填實: librust-xi-unicode-dev
librust-xi-unicode-dev (0.1.0-1+b1)
Unicode utilities useful for text editing, including a line breaking iterator - Rust source code
librust-xkbcommon+default-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon+wayland-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0+default-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0+wayland-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0.4+default-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0.4+wayland-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0.4-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0.4.0+default-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0.4.0+wayland-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-0.4.0-dev (= 0.4.0-2+b2)
本虛擬套件由這些套件填實: librust-xkbcommon-dev
librust-xkbcommon-dev (0.4.0-2+b2)
Rust bindings and wrappers for libxkbcommon - Rust source code
librust-xml-rs+default-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-0+default-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-0-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-0.8+default-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-0.8-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-0.8.3+default-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-0.8.3-dev (= 0.8.3-1+b1)
本虛擬套件由這些套件填實: librust-xml-rs-dev
librust-xml-rs-dev (0.8.3-1+b1)
XML library in pure Rust - Rust source code
librust-xml5ever+default-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-0+default-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-0-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-0.16+default-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-0.16-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-0.16.1+default-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-0.16.1-dev (= 0.16.1-1+b1)
本虛擬套件由這些套件填實: librust-xml5ever-dev
librust-xml5ever-dev (0.16.1-1+b1)
Push based streaming parser for xml - Rust source code
librust-xmlparser+default-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser+std-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0+default-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0+std-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0.11+default-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0.11+std-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0.11-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0.11.0+default-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0.11.0+std-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-0.11.0-dev (= 0.11.0-1)
本虛擬套件由這些套件填實: librust-xmlparser-dev
librust-xmlparser-dev (0.11.0-1)
Pull-based, zero-allocation XML parser - Rust source code
librust-xmlwriter+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-0.1+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-0.1-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-0.1.0+default-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-0.1.0-dev (= 0.1.0-2)
本虛擬套件由這些套件填實: librust-xmlwriter-dev
librust-xmlwriter-dev (0.1.0-2)
Simple, streaming XML writer - Rust source code
librust-yaml+default-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-0+default-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-0-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-0.3+default-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-0.3-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-0.3.0+default-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-0.3.0-dev (= 0.3.0-2+b1)
本虛擬套件由這些套件填實: librust-yaml-dev
librust-yaml-dev (0.3.0-2+b1)
LibYAML binding for Rust - Rust source code
librust-yaml-rust+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-0+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-0-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-0.4+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-0.4-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-0.4.3+default-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-0.4.3-dev (= 0.4.3-1)
本虛擬套件由這些套件填實: librust-yaml-rust-dev
librust-yaml-rust-dev (0.4.3-1)
Missing YAML 1.2 parser for rust - Rust source code
librust-zbus+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus+serde-xml-rs-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus+xml-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1+serde-xml-rs-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1+xml-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0+serde-xml-rs-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0+xml-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0.0+serde-xml-rs-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0.0+xml-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-1.0.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-dev
librust-zbus-dev (1.0.0-2)
API for D-Bus communication - Rust source code
librust-zbus-macros+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-1+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-1-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-1.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-1.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-1.0.0+default-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-1.0.0-dev (= 1.0.0-2)
本虛擬套件由這些套件填實: librust-zbus-macros-dev
librust-zbus-macros-dev (1.0.0-2)
Proc-macros for zbus - Rust source code
librust-zip+bzip2-dev (0.5.8-2)
Support the reading and writing of zip files - feature "bzip2"
librust-zip+default-dev (0.5.8-2)
Support the reading and writing of zip files - feature "default"
librust-zip+deflate-dev (0.5.8-2)
Support the reading and writing of zip files - feature "deflate"
librust-zip+deflate-miniz-dev (0.5.8-2)
Support the reading and writing of zip files - feature "deflate-miniz"
librust-zip+deflate-zlib-dev (0.5.8-2)
Support the reading and writing of zip files - feature "deflate-zlib"
librust-zip+flate2-dev (0.5.8-2)
Support the reading and writing of zip files - feature "flate2"
librust-zip+time-dev (0.5.8-2)
Support the reading and writing of zip files - feature "time"
librust-zip-0+bzip2-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+bzip2-dev
librust-zip-0+default-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+default-dev
librust-zip-0+deflate-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-dev
librust-zip-0+deflate-miniz-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-miniz-dev
librust-zip-0+deflate-zlib-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-zlib-dev
librust-zip-0+flate2-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+flate2-dev
librust-zip-0+time-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+time-dev
librust-zip-0-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip-dev
librust-zip-0.5+bzip2-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+bzip2-dev
librust-zip-0.5+default-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+default-dev
librust-zip-0.5+deflate-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-dev
librust-zip-0.5+deflate-miniz-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-miniz-dev
librust-zip-0.5+deflate-zlib-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-zlib-dev
librust-zip-0.5+flate2-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+flate2-dev
librust-zip-0.5+time-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+time-dev
librust-zip-0.5-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip-dev
librust-zip-0.5.8+bzip2-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+bzip2-dev
librust-zip-0.5.8+default-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+default-dev
librust-zip-0.5.8+deflate-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-dev
librust-zip-0.5.8+deflate-miniz-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-miniz-dev
librust-zip-0.5.8+deflate-zlib-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+deflate-zlib-dev
librust-zip-0.5.8+flate2-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+flate2-dev
librust-zip-0.5.8+time-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip+time-dev
librust-zip-0.5.8-dev (= 0.5.8-2)
本虛擬套件由這些套件填實: librust-zip-dev
librust-zip-dev (0.5.8-2)
Support the reading and writing of zip files - Rust source code
librust-zmq+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq+vendored-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq+zmq-has-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0+vendored-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0+zmq-has-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9+vendored-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9+zmq-has-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9.2+default-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9.2+vendored-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9.2+zmq-has-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-0.9.2-dev (= 0.9.2-2)
本虛擬套件由這些套件填實: librust-zmq-dev
librust-zmq-dev (0.9.2-2)
High-level bindings to the zeromq library - Rust source code
librust-zmq-sys+default-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-0+default-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-0-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-0.11+default-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-0.11-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-0.11.0+default-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-0.11.0-dev (= 0.11.0-2)
本虛擬套件由這些套件填實: librust-zmq-sys-dev
librust-zmq-sys-dev (0.11.0-2)
Low-level bindings to the zeromq library - Rust source code
librust-zoneinfo-compiled+default-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-0+default-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-0-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-0.4+default-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-0.4-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-0.4.8+default-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-0.4.8-dev (= 0.4.8-1)
本虛擬套件由這些套件填實: librust-zoneinfo-compiled-dev
librust-zoneinfo-compiled-dev (0.4.8-1)
Parsing compiled zoneinfo files - Rust source code
librust-zvariant+arrayvec-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant+enumflags2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2+arrayvec-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2+enumflags2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0+arrayvec-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0+enumflags2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0.0+arrayvec-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0.0+enumflags2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-2.0.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-dev
librust-zvariant-derive+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-2+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-2-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-2.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-2.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-2.0.0+default-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-2.0.0-dev (= 2.0.0-2)
本虛擬套件由這些套件填實: librust-zvariant-derive-dev
librust-zvariant-derive-dev (2.0.0-2)
API for D-Bus wire format encoding & decoding - Rust source code
librust-zvariant-dev (2.0.0-2)
API for D-Bus wire format encoding & decoding - Rust source code
librviz-dev (1.14.4+dfsg-3+b1)
Development files for the Robot OS 3D visualization tool
librviz5d (1.14.4+dfsg-3+b1)
Library for the Robot OS 3D visualization tool
librw-dev (0.9+ds1-1)
Compute rank-width and rank-decompositions of graphs (development)
librw0 (0.9+ds1-1)
Compute rank-width and rank-decompositions of graphs
librx-java (3.0.7+ds-2)
Reactive Extensions for the JVM
librxp-dev (1.5.0-3)
Development files for librxp
librxp0 (1.5.0-3)
Shared library for XML parsing and validating
librxtx-java (2.2pre2+dfsg1-2)
Full Java CommAPI implementation
librygel-core-2.6-2 (0.40.0-1)
GNOME UPnP/DLNA services - core library
librygel-db-2.6-2 (0.40.0-1)
GNOME UPnP/DLNA services - db library
librygel-renderer-2.6-2 (0.40.0-1)
GNOME UPnP/DLNA services - renderer library
librygel-renderer-gst-2.6-2 (0.40.0-1)
GNOME UPnP/DLNA services - renderer library
librygel-ruih-2.0-1 (0.40.0-1)
GNOME UPnP/DLNA services - ruih library
librygel-server-2.6-2 (0.40.0-1)
GNOME UPnP/DLNA services - server library
libs2-geometry-library-java (1.0.1-2)
Java library for spherical math
libs3-2 (2.0-3+b1 [amd64], 2.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C Library and Tools for Amazon S3 Access
libs3-dev (2.0-3+b1 [amd64], 2.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
C Development Library for Amazon S3 Access
libs3d-dev (0.2.2.1-3+b1)
3d network display server library - development files
libs3d2 (0.2.2.1-3+b1)
3d network display server library
libs3dw-dev (0.2.2.1-3+b1)
3d network display server widget library - development files
libs3dw2 (0.2.2.1-3+b1)
3d network display server widget library
libs6-2.10 (2.10.0.2-1)
small and secure supervision software suite (shared library)
libs6-dev (2.10.0.2-1)
small and secure supervision software suite (development files)
libsaaj-java (1.4.0-3)
SOAP with Attachment API for Java
libsaaj-ri-java (1.4.1-1.1)
SOAP with Attachments API for Java - Reference Implementation
libsac-java (1.3+dfsg-5.1)
Simple API for CSS Java library
libsac-java-doc (1.3+dfsg-5.1)
Simple API for CSS Java library (documentation)
libsaclib-dev (2.2.7-2)
library of C programs for computer algebra (development)
libsaclib0 (2.2.7-2)
library of C programs for computer algebra (shared library)
libsafe-hole-perl (0.14-1+b1)
Perl module which makes a "hole" in the Safe compartment
libsafe-iop-dev (0.3.1-1+b2)
Safe integer operation library for C - Development files
libsafe-iop0 (0.3.1-1+b2)
Safe integer operation library for C - Dynamic library
libsafe-isa-perl (1.000010-1)
module for safely calling isa and friends on things that may not be objects
libsafec-3.5-3 (3.5-3)
"safe" C libc extensions (Annex K)
libsafec-dev (3.5-3)
"safe" C libc extensions (Annex K) - dev
libsaga-api-7.3.0 (7.3.0+dfsg-5)
SAGA GIS shared libraries
libsaga-dev (7.3.0+dfsg-5)
SAGA GIS development files
libsaga-gdi-7.3.0 (7.3.0+dfsg-5)
SAGA GIS shared library (graphical models)
libsah-schemas-rinci-perl (1.1.94.0-1)
Sah schemas for Rinci
libsam-dev (3.1.2-2)
cluster engine SAM library development
libsam4 (3.1.2-2)
cluster engine SAM library
libsambox-java (2.2.11-1)
SAMBox PDF processor
libsaml-dev (3.2.0-2)
Security Assertion Markup Language library (development)
libsaml-doc (3.2.0-2)
Security Assertion Markup Language library (API docs)
libsaml12 (3.2.0-2)
Security Assertion Markup Language library (runtime)
libsamplerate-dev
本虛擬套件由這些套件填實: libsamplerate0-dev
libsamplerate-ocaml (0.1.4-1+b1)
OCaml interface to the samplerate library
libsamplerate-ocaml-dev (0.1.4-1+b1)
OCaml interface to the samplerate library
libsamplerate-ocaml-dev-jws83
本虛擬套件由這些套件填實: libsamplerate-ocaml-dev
libsamplerate-ocaml-dev-st3i7
本虛擬套件由這些套件填實: libsamplerate-ocaml-dev
libsamplerate-ocaml-jws83
本虛擬套件由這些套件填實: libsamplerate-ocaml
libsamplerate-ocaml-st3i7
本虛擬套件由這些套件填實: libsamplerate-ocaml
libsamplerate0 (0.2.1+ds0-1)
Audio sample rate conversion library
libsamplerate0-dev (0.2.1+ds0-1)
Development files for audio sample rate conversion
libsane (1.0.31-4.1)
API library for scanners [transitional package]
libsane (= 1.0.31-4.1)
本虛擬套件由這些套件填實: libsane1
libsane-common (1.0.31-4.1)
API library for scanners -- documentation and support files
libsane-dev (1.0.31-4.1)
API development library for scanners [development files]
libsane-hpaio (3.21.2+dfsg1-2)
HP SANE backend for multi-function peripherals
libsane1 (1.0.31-4.1)
API library for scanners
libsanlock-client1 (3.8.2-2)
Shared storage lock manager (client library)
libsanlock-dev (3.8.2-2)
Shared storage lock manager (development files)
libsanlock1 (3.8.2-2)
Shared storage lock manager (shared library)
libsasl2-2 (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - authentication abstraction library
libsasl2-dev (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - development files for authentication abstraction library
libsasl2-modules (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - pluggable authentication modules
libsasl2-modules-db (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - pluggable authentication modules (DB)
libsasl2-modules-gssapi-heimdal (2.1.27+dfsg-2.1+deb11u1)
Pluggable Authentication Modules for SASL (GSSAPI)
libsasl2-modules-gssapi-mit (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - pluggable authentication modules (GSSAPI)
libsasl2-modules-kdexoauth2 (20.08.3-1)
library to integrate with Cyrus SASL
libsasl2-modules-kdexoauth2-20.08
本虛擬套件由這些套件填實: libsasl2-modules-kdexoauth2
libsasl2-modules-ldap (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - pluggable authentication modules (LDAP)
libsasl2-modules-otp (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - pluggable authentication modules (OTP)
libsasl2-modules-sql (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - pluggable authentication modules (SQL)
libsass-dev (3.6.4+20201122-1)
C/C++ port of the Sass CSS precompiler - development headers
libsass1 (3.6.4+20201122-1)
C/C++ port of the Sass CSS precompiler
libsavitar-dev (4.8-1+b1)
3MF file handling library (development files)
libsavitar0 (4.8-1+b1)
3MF file handling library (shared library)
libsaxon-java (1:6.5.5-12)
Saxon XSLT Processor
libsaxon-java-doc (1:6.5.5-12)
Saxon XSLT Processor's documentation and javadoc
libsaxonb-java (9.1.0.8+dfsg-2)
Saxon-B XSLT Processor
libsaxonb-java-doc (9.1.0.8+dfsg-2)
Saxon-B XSLT Processor's documentation and javadoc
libsaxonhe-java (9.9.1.5+dfsg-1)
Saxon-HE is the XSLT and XQuery Processor
libsbc-dev (1.5-3)
Sub Band CODEC library - development
libsbc1 (1.5-3)
Sub Band CODEC library - runtime
libsbjson
本虛擬套件由這些套件填實: libsbjson2.3
libsbjson-dev (2.3.2-4+b2)
Objective-C JSON library (development files)
libsbjson2.3 (2.3.2-4+b2)
Objective-C JSON library
libsbml
本虛擬套件由這些套件填實: libsbml5
libsbml-cil
本虛擬套件由這些套件填實: libsbml5-cil
libsbml-dev
本虛擬套件由這些套件填實: libsbml5-dev
libsbml-java
本虛擬套件由這些套件填實: libsbml5-java
libsbml-octave
本虛擬套件由這些套件填實: libsbml5-octave
libsbml-perl
本虛擬套件由這些套件填實: libsbml5-perl
libsbml5 (5.19.0+dfsg-1)
System Biology Markup Language library
libsbml5-cil (5.19.0+dfsg-1)
System Biology Markup Language library - CLI bindings
libsbml5-cil-doc (5.19.0+dfsg-1)
System Biology Markup Language library -- CLI documentation
libsbml5-dev (5.19.0+dfsg-1)
System Biology Markup Language library - development files
libsbml5-doc (5.19.0+dfsg-1)
System Biology Markup Language library -- documentation
libsbml5-examples (5.19.0+dfsg-1)
System Biology Markup Language library -- example files
libsbml5-java (5.19.0+dfsg-1)
System Biology Markup Language library - Java bindings
libsbml5-octave (5.19.0+dfsg-1)
System Biology Markup Language library - Octave bindings
libsbml5-perl (5.19.0+dfsg-1)
System Biology Markup Language library - Perl bindings
libsbml5-perl-doc (5.19.0+dfsg-1)
System Biology Markup Language library -- Perl documentation
libsbsms-dev (2.0.2-2)
Subband Sinusoidal Modeling Synthesis (development files)
libsbsms10 (2.0.2-2)
Subband Sinusoidal Modeling Synthesis
libsbt-serialization-java (0.1.2+repack-1)
Serialization facility for sbt
libsbt-template-resolver-java (0.1+repack-1)
Sbt template resolver
libsbt-test-interface-java (1.0+repack-1)
Sbt test interface
libsbuild-perl (0.81.2+deb11u1)
Library for building Debian binary packages from Debian sources
libsc-data (2.3.1-21)
Scientific Computing Toolkit (basis set and atom data)
libsc-dev (2.3.1-21)
Scientific Computing Toolkit (development files)
libsc-doc (2.3.1-21)
Scientific Computing Toolkit (documentation)
libsc7v5 (2.3.1-21)
Scientific Computing Toolkit (library)
libscala-pickling-java (0.10.1+repack-2)
Fast, customizable, boilerplate-free pickling support for Scala
libscala-tools-sbinary-java (0.4.2-on-scala-2.11.0~M5-1)
Scala library for describing binary protocols
libscalapack-mpi-dev (2.1.0-4)
Scalable Linear Algebra Package - Dev files for MPI
libscalapack-mpich-dev (2.1.0-4)
Scalable Linear Algebra Package - Dev files for MPICH
libscalapack-mpich2.1 (2.1.0-4)
Scalable Linear Algebra Package - Shared libs for MPICH
libscalapack-openmpi-dev (2.1.0-4)
Scalable Linear Algebra Package - Dev files for OpenMPI
libscalapack-openmpi2.1 (2.1.0-4)
Scalable Linear Algebra Package - Shared libs for OpenMPI
libscalar-defer-perl (0.23-2.1)
module providing lazy evaluation for Perl
libscalar-does-perl (0.203-1.1)
like ref() but useful
libscalar-list-utils-perl (1:1.55-1+b1)
modules providing common scalar and list utility subroutines
libscalar-list-utils-perl (= 1:1.55)
本虛擬套件由這些套件填實: perl-base
libscalar-listify-perl (0.03-2.1)
module that produces an array/arrayref from a scalar value or array ref
libscalar-properties-perl (1.100860-1)
perl module to add run-time properties on scalar variables
libscalar-string-perl (0.003-1+b3)
Perl module concerning the string aspects of scalars
libscalar-util-numeric-perl (0.40-1+b7)
Perl module containing numeric tests for Perl datatypes
libscalc-dev (0.2.4-5+b1)
simple/symbolic calculation library (development files)
libscalc0v5 (0.2.4-5+b1)
simple/symbolic calculation library
libscamperfile-dev
本虛擬套件由這些套件填實: libscamperfile0-dev
libscamperfile0 (20191102-1)
file access library for scamper's binary dump format
libscamperfile0-dev (20191102-1)
development headers for scamper's binary dump file access library
libscannotation-java (1.0.2+svn20110812-3.1)
Java annotation scanner
libscca-dev (20200717-1)
Windows Prefetch File access library -- development files
libscca-utils (20200717-1)
Windows Prefetch File access library -- Utilities
libscca1 (20200717-1)
Windows Prefetch File access library
libscgi-perl (0.6-2)
SCGI server library for perl
libschedule-at-perl (1.15-1.1)
OS independent interface to the Unix 'at' command
libschedule-cron-events-perl (1.96-1)
module to find out the times at which a cron entry would run
libschedule-cron-perl (1.01-1)
simple but complete cron like scheduler
libschedule-ratelimiter-perl (0.01-2)
Perl library to prevent events from happening too quickly
libscim-dev (1.4.18-2.2)
development library for SCIM platform
libscim8v5 (1.4.18-2.2)
library for SCIM platform
libsciplot-dev (1.36-18+b1)
Development library and header files for SciPlot
libsciplot1 (1.36-18+b1)
widget for scientific plotting
libscitokens-dev (0.5.1-2)
Development files for scitokens-cpp
libscitokens0 (0.5.1-2)
C++ Implementation of the SciTokens Library
libscm-dev (5f2-2+b2)
Embeddable library for SCM Scheme language interpreter
libscope-guard-perl (0.21-1.1)
lexically scoped resource management
libscope-upper-perl (0.32-1+b2)
module to manipulate upper scopes
libscopt-java (3.5.0+repack-1)
Simple scala command line options parsing
libscotch-6.1 (6.1.0-2)
programs and libraries for graph, mesh and hypergraph partitioning
libscotch-dev (6.1.0-2)
programs and libraries for graph, mesh and hypergraph partitioning
libscotchmetis-dev (6.1.0-2)
programs and libraries for graph, mesh and hypergraph partitioning
libscotchparmetis-dev (6.1.0-2)
programs and libraries for graph, mesh and hypergraph partitioning
libscout (2.3.2-3)
Third-party libraries detector for Android apps
libscout-clojure (0.1.1-5)
Clojure library to parse strings
libscram-java (1.0.0~beta.2-3)
Salted Challenge Response Authentication Mechanism
libscrappy-perl (0.94112090-2.1)
powerful web spidering, scraping, creeping crawling framework
libscriptalicious-perl (1.17-1.1)
module to simplify writing of Perl scripts
libscrypt-dev (1.21-3+b1)
scrypt shared library - development files
libscrypt-kdf-dev (1.3.1-1)
Development library for encryption using scrypt for key derivation
libscrypt-kdf1 (1.3.1-1)
Shared library for encryption using scrypt for key derivation
libscrypt0 (1.21-3+b1)
scrypt shared library
libscscp
本虛擬套件由這些套件填實: libscscp1
libscscp-dev
本虛擬套件由這些套件填實: libscscp1-dev
libscscp-doc (1.0.3+ds-6)
IMCCE SCSCP C Library -- reference manual
libscscp1 (1.0.3+ds-6)
IMCCE SCSCP C Library -- library package
libscscp1-dev (1.0.3+ds-6)
IMCCE SCSCP C Library -- development package
libscsynth1 (1:3.11.2+repack-1)
SuperCollider synthesis server library
libsctp-dev (1.0.18+dfsg-1)
user-space access to Linux kernel SCTP - development files
libsctp1 (1.0.18+dfsg-1)
user-space access to Linux kernel SCTP - shared library
libscythestat-dev (1.0.3-1)
header files for Scythe statistics library
libsdbus-c++-bin (0.8.3-4)
High-level C++ D-Bus library for Linux in modern C++ (utilities)
libsdbus-c++-dev (0.8.3-4)
High-level C++ D-Bus library for Linux in modern C++ (development files)
libsdbus-c++-doc (0.8.3-4)
High-level C++ D-Bus library for Linux in modern C++ (documentation)
libsdbus-c++0 (0.8.3-4)
High-level C++ D-Bus library for Linux in modern C++ (library)
libsdes4j-java (1.1.4-1.1)
SDES (RFC4568) implementation for Java
libsdes4j-java-doc (1.1.4-1.1)
Documentation for sdes4j
libsdformat-dev (9.3.0+ds-3)
Simulation Description Format (SDF) parser - Development files
libsdformat6-dev (9.3.0+ds-3)
transitional package
libsdformat9-9 (9.3.0+ds-3)
Simulation Description Format (SDF) parser - Shared library
libsdl-console (2.1-6+b1)
Console that can be added to any SDL application, libraries
libsdl-console-dev (2.1-6+b1)
Console that can be added to any SDL application, development files
libsdl-dev
本虛擬套件由這些套件填實: libsdl1.2-dev
libsdl-erlang
本虛擬套件由這些套件填實: erlang-esdl
libsdl-gfx1.2-5 (2.0.25-11.1)
drawing and graphical effects extension for SDL
libsdl-gfx1.2-dev (2.0.25-11.1)
development files for SDL_gfx
libsdl-gfx1.2-doc (2.0.25-11.1)
documentation files for SDL_gfx
libsdl-gst (3.2.5-1.3+b2)
SDL bindings for GNU Smalltalk
libsdl-image-gst (3.2.5-1.3)
SDL_image bindings for GNU Smalltalk
libsdl-image1.2 (1.2.12-12)
Image loading library for Simple DirectMedia Layer 1.2, libraries
libsdl-image1.2-dev (1.2.12-12)
Image loading library for Simple DirectMedia Layer 1.2, development files
libsdl-kitchensink-dev (1.0.9-2)
FFmpeg and SDL2 based library for audio and video playback - Development files
libsdl-kitchensink1 (1.0.9-2)
FFmpeg and SDL2 based library for audio and video playback
libsdl-mixer-gst (3.2.5-1.3)
SDL_mixer bindings for GNU Smalltalk
libsdl-mixer1.2 (1.2.12-16+b1)
Mixer library for Simple DirectMedia Layer 1.2, libraries
libsdl-mixer1.2-dev (1.2.12-16+b1)
Mixer library for Simple DirectMedia Layer 1.2, development files
libsdl-net1.2 (1.2.8-6+b1)
Network library for Simple DirectMedia Layer 1.2, libraries
libsdl-net1.2-dev (1.2.8-6+b1)
Network library for Simple DirectMedia Layer 1.2, development files
libsdl-ocaml (0.9.1-3+b3)
OCaml bindings for SDL - runtime files
libsdl-ocaml-3u3j4
本虛擬套件由這些套件填實: libsdl-ocaml
libsdl-ocaml-7w8b6
本虛擬套件由這些套件填實: libsdl-ocaml
libsdl-ocaml-baan7
本虛擬套件由這些套件填實: libsdl-ocaml
libsdl-ocaml-dev (0.9.1-3+b3)
OCaml bindings for SDL - development files
libsdl-ocaml-dev-3u3j4
本虛擬套件由這些套件填實: libsdl-ocaml-dev
libsdl-ocaml-dev-7w8b6
本虛擬套件由這些套件填實: libsdl-ocaml-dev
libsdl-ocaml-dev-baan7
本虛擬套件由這些套件填實: libsdl-ocaml-dev
libsdl-ocaml-dev-iaz63
本虛擬套件由這些套件填實: libsdl-ocaml-dev
libsdl-ocaml-iaz63
本虛擬套件由這些套件填實: libsdl-ocaml
libsdl-pango-dev (0.1.2-8+b1)
text rendering with Pango in SDL applications (development)
libsdl-pango1 (0.1.2-8+b1)
text rendering with Pango in SDL applications (shared library)
libsdl-perl (2.548-1+b3)
SDL bindings for the Perl language
libsdl-sge (030809dfsg-9+b1)
extension of graphic functions for SDL multimedia libraries
libsdl-sge-dev (030809dfsg-9+b1)
extension of graphic functions for the SDL multimedia, development files
libsdl-sound-gst (3.2.5-1.3)
SDL_sound bindings for GNU Smalltalk
libsdl-sound1.2 (1.0.3-9+b1)
Sound library for Simple DirectMedia Layer 1.2, libraries
libsdl-sound1.2-dev (1.0.3-9+b1)
Sound library for Simple DirectMedia Layer 1.2, development files
libsdl-ttf-gst (3.2.5-1.3)
SDL_ttf bindings for GNU Smalltalk
libsdl-ttf2.0-0 (2.0.11-6)
TrueType Font library for Simple DirectMedia Layer 1.2, libraries
libsdl-ttf2.0-dev (2.0.11-6)
TrueType Font library for Simple DirectMedia Layer 1.2, development files
libsdl1.2-dev (1.2.15+dfsg2-6)
Simple DirectMedia Layer development files
libsdl1.2debian (1.2.15+dfsg2-6)
Simple DirectMedia Layer
libsdl2-2.0-0 (2.0.14+dfsg2-3+deb11u1)
Simple DirectMedia Layer
libsdl2-dev (2.0.14+dfsg2-3+deb11u1)
Simple DirectMedia Layer development files
libsdl2-doc (2.0.14+dfsg2-3+deb11u1)
Reference manual for libsdl2
libsdl2-gfx-1.0-0 (1.0.4+dfsg-3.1)
drawing and graphical effects extension for SDL2
libsdl2-gfx-dev (1.0.4+dfsg-3.1)
development files for SDL2_gfx
libsdl2-gfx-doc (1.0.4+dfsg-3.1)
documentation files for SDL2_gfx
libsdl2-image-2.0-0 (2.0.5+dfsg1-2)
Image loading library for Simple DirectMedia Layer 2, libraries
libsdl2-image-dev (2.0.5+dfsg1-2)
Image loading library for Simple DirectMedia Layer 2, development files
libsdl2-mixer-2.0-0 (2.0.4+dfsg1-3)
Mixer library for Simple DirectMedia Layer 2, libraries
libsdl2-mixer-dev (2.0.4+dfsg1-3)
Mixer library for Simple DirectMedia Layer 2, development files
libsdl2-net-2.0-0 (2.0.1+dfsg1-4+b1)
Network library for Simple DirectMedia Layer 2, libraries
libsdl2-net-dev (2.0.1+dfsg1-4+b1)
Network library for Simple DirectMedia Layer 2, development files
libsdl2-ttf-2.0-0 (2.0.15+dfsg1-1)
TrueType Font library for Simple DirectMedia Layer 2, libraries
libsdl2-ttf-dev (2.0.15+dfsg1-1)
TrueType Font library for Simple DirectMedia Layer 2, development files
libsdo-api-java (1.1.1-1.1)
Service Data Objects 2.1 Java API spec
libsdo-api-java-doc (1.1.1-1.1)
Documentation for libsdo-api-java
libsdp-api-java (1.0-1.1)
SDP API for Java
libsdp-dev (6.2.0-3)
Software package for semidefinite programming (development)
libsdp0 (6.2.0-3)
Software package for semidefinite programming (library)
libsdpa-dev (7.3.15+dfsg-1)
Callable library and examples of SDPA
libsdsl-dev (2.1.1+dfsg-2)
succinct data structure library - development files
libsdsl3 (2.1.1+dfsg-2)
succinct data structure library
libseafile-dev (7.0.10-1)
Development files for the Seafile Client
libseafile0 (7.0.10-1)
Shared library for the Seafile Client
libsearch-elasticsearch-client-1-0-perl (6.81-1)
Module to add client support for Elasticsearch 1.x
libsearch-elasticsearch-client-2-0-perl (6.81-1)
Thin client with full support for Elasticsearch 2.x APIs
libsearch-elasticsearch-perl (7.30-1)
Perl client for Elasticsearch
libsearch-gin-perl (0.11-2)
Perl module for Generalized Inverted Indexing
libsearch-queryparser-perl (0.95-1)
Perl module to parse search query strings into a data structure
libsearch-xapian-perl (1.2.25.4-1)
Perl bindings for the Xapian search library
libsearpc-dev (3.2.0-7-g50ff08b-1)
Development files for the Searpc framework
libsearpc1 (3.2.0-7-g50ff08b-1)
Shared library for the Searpc framework
libseccomp-dev (2.5.1-1+deb11u1)
high level interface to Linux seccomp filter (development files)
libseccomp2 (2.5.1-1+deb11u1)
high level interface to Linux seccomp filter
libsecp256k1-0 (0.1~20210108-1)
library for EC operations on curve secp256k1
libsecp256k1-dev (0.1~20210108-1)
library for EC operations on curve secp256k1 - development headers
libsecrecy-dev (0.0.2+dfsg-2)
C++ header only library for random access to encrypted files
libsecret-1-0 (0.20.4-2)
Secret store
libsecret-1-dev (0.20.4-2)
Secret store (development files)
libsecret-common (0.20.4-2)
Secret store (common files)
libsecret-tools (0.20.4-2)
tool for storing and retrieving GObject passwords
libsecsipid-dev (1.1.1~git20210601.d388d64-1+b2)
Secure SIP Identity Extensions - C headers files
libsecsipid1 (1.1.1~git20210601.d388d64-1+b2)
Secure SIP Identity Extensions - library
libsedlex-ocaml (2.2-1+b4 [i386], 2.2-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Unicode-friendly lexer generator for OCaml (Runtime library)
libsedlex-ocaml-825j8
本虛擬套件由這些套件填實: libsedlex-ocaml
libsedlex-ocaml-cd7f8
本虛擬套件由這些套件填實: libsedlex-ocaml
libsedlex-ocaml-dev (2.2-1+b4 [i386], 2.2-1+b3 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Unicode-friendly lexer generator for OCaml (Development package)
libsedlex-ocaml-dev-825j8
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-dev-cd7f8
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-dev-m7vg9
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-dev-nwba4
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-dev-vlbf3
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-dev-vs6g0
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-dev-y1br2
本虛擬套件由這些套件填實: libsedlex-ocaml-dev
libsedlex-ocaml-m7vg9
本虛擬套件由這些套件填實: libsedlex-ocaml
libsedlex-ocaml-nwba4
本虛擬套件由這些套件填實: libsedlex-ocaml
libsedlex-ocaml-vlbf3
本虛擬套件由這些套件填實: libsedlex-ocaml
libsedlex-ocaml-vs6g0
本虛擬套件由這些套件填實: libsedlex-ocaml
libsedlex-ocaml-y1br2
本虛擬套件由這些套件填實: libsedlex-ocaml
libsegment-java (1.4.2-1)
Rule based text splitting library
libsegyio-dev (1.8.3-1+b4)
SEG-Y read/write library for seismic processing (development)
libsegyio1 (1.8.3-1+b4)
SEG-Y read/write library for seismic processing (runtime)
libsejda-commons-java (1.1.6-1)
common classes for PDFsam and Sejda
libsejda-eventstudio-java (2.0.1-1)
pure Java event bus implementation
libsejda-injector-java (2.0.0-1)
lightweight dependency injection engine
libsejda-io-java (2.1.3-1)
layer of Input/Output classes built on top of Java IO and NIO
libsejda-java (4.1.7-1)
extendible PDF manipulation layer library written in Java
libself-test-dev (1.10.1+ds1-3)
development files for self_test (Robot OS)
libself-test-tools (1.10.1+ds1-3)
Robot OS self_test tools
libselinux-dev
本虛擬套件由這些套件填實: libselinux1-dev
libselinux1 (3.1-3)
SELinux runtime shared libraries
libselinux1-dev (3.1-3)
SELinux development headers
libselinux1-udeb (3.1-3)
SELinux runtime shared libraries
libsemanage-common (3.1-1)
Common files for SELinux policy management libraries
libsemanage-dev
本虛擬套件由這些套件填實: libsemanage1-dev
libsemanage1 (3.1-1+b2)
SELinux policy management library
libsemanage1-dev (3.1-1+b2)
Header files and libraries for SELinux policy manipulation
libsemver-clojure (0.3.0-2)
parsing, comparison, and manipulation of semantic version strings
libsemver-java (0.9.0-4)
Java implementation of the SemVer Specification
libsemver-java-doc (0.9.0-4)
Documentation for Java SemVer
libsemver-perl (0.10.0-1)
Perl implementation of the Semantic Versioning 2.0.0 Specification
libsendmail-milter-perl (0.18-8+b7)
Interface to Sendmail's Mail Filter API
libsendmail-pmilter-perl (1.00-1.1)
Perl implementation of the Sendmail Milter protocol
libsensor-msgs-dev (1.13.0-2)
Messages relating to Robot OS sensor, C/C++ interface
libsensors-applet-plugin-dev (3.0.0+git6-0.5)
Create plugins for the 'sensors-applet' package
libsensors-applet-plugin0 (3.0.0+git6-0.5)
Library for plugins for the 'sensors-applet' package
libsensors-config (1:3.6.0-7)
lm-sensors configuration files
libsensors-dev (1:3.6.0-7)
lm-sensors development kit
libsensors4-dev (1:3.6.0-7)
transitional dummy package
libsensors5 (1:3.6.0-7)
library to read temperature/voltage/fan sensors
libsentencepiece-dev (0.1.95-1)
Header files of SentencePiece
libsentencepiece0 (0.1.95-1)
Library files of SentencePiece
libsepol-dev
本虛擬套件由這些套件填實: libsepol1-dev
libsepol1 (3.1-1)
SELinux library for manipulating binary security policies
libsepol1-dev (3.1-1)
SELinux binary policy manipulation library and development files
libseq-ocaml
本虛擬套件由這些套件填實: ocaml-base-nox
libseq-ocaml-dev
本虛擬套件由這些套件填實: ocaml-nox
libseqan2-dev (2.4.0+dfsg-14)
C++ library for the analysis of biological sequences (development)
libseqan3-dev (3.0.2+ds-9)
C++ library for the analysis of biological sequences v3 (development)
libseqlib-dev (1.2.0+dfsg-4)
C++ htslib/bwa-mem/fermi interface for interrogating sequence data (dev)
libseqlib2 (1.2.0+dfsg-4)
C++ htslib/bwa-mem/fermi interface for interrogating sequence data
libsequence-library-java (1.0.3-1.1)
Textual Diff and Merge Library
libserd-0-0 (0.30.10-2)
lightweight RDF syntax library
libserd-dev (0.30.10-2)
lightweight RDF syntax library - development files
libserd-doc (0.30.10-2)
lightweight RDF syntax library - documentation
libsereal-decoder-perl (4.018+ds-1+b1)
fast, compact, powerful binary deserialization module
libsereal-encoder-perl (4.018+ds-1+b1)
fast, compact, powerful binary serialization module
libsereal-perl (4.018-1)
fast, compact, powerful binary (de-)serialization module wrapper
libserf-1-1 (1.3.9-10)
high-performance asynchronous HTTP client library
libserf-dev (1.3.9-10)
high-performance asynchronous HTTP client library headers
libserial-dev (1.0.0-5)
Serial port programming in C++ -- development files
libserial-doc (1.0.0-5)
Serial port programming in C++ -- documentation
libserial1 (1.0.0-5)
Serial port programming in C++ under POSIX operating system
libserialdv-dev (1.1.4-1+b1)
encode and decode audio with AMBE3000 devices (headers)
libserialdv1 (1.1.4-1+b1)
encode and decode audio with AMBE3000 devices
libserializer-java (1.1.6-6)
general serializaton framework
libserialport-dev (0.1.1-4)
Crossplatform serial port handling library - development files
libserialport0 (0.1.1-4)
Crossplatform serial port handling library - shared library
libseriousproton-dev (2020.01.15+dfsg-1)
C++ game engine -- development files
libseriousproton0 (2020.01.15+dfsg-1)
C++ game engine -- shared library
libserp-java (1.15.1-1.1)
Java Virtual Machine bytecode manipulation framework
libserp-java-doc (1.15.1-1.1)
Documentation for libserp-java
libserver-starter-perl (0.35-1)
superdaemon for hot-deploying Perl server programs
libservice-wrapper-doc (3.5.30-3)
Jar daemon wrapper (javadoc files)
libservice-wrapper-java (3.5.30-3)
Jar daemon wrapper java libraries
libservice-wrapper-jni (3.5.30-3)
Jar daemon wrapper JNI libraries
libservicelog-1.1-1 (1.1.18+git20200625.a143646-1)
Library to create and maintain a database for storing events
libservicelog-dev (1.1.18+git20200625.a143646-1)
libservicelog development files
libservlet-api-java (4.0.1-2)
Java Servlet API
libservlet-api-java-doc (4.0.1-2)
Java Servlet API (documentation)
libservlet3.1-java (1:4.0.1-2)
Java Servlet API 3.1 (transitional package)
libservlet3.1-java
本虛擬套件由這些套件填實: libservlet3.1-java
libservlet3.1-java-doc
本虛擬套件由這些套件填實: libservlet-api-java-doc
libsession-storage-secure-perl (0.011-1.1)
module implementing a secure way to encode session data
libsession-token-perl (1.503-1+b6)
secure, efficient, simple random session token generation
libset-crontab-perl (1.03-1.1)
Expand crontab-style integer lists
libset-infinite-perl (0.65-1)
module for sets of intervals
libset-intervaltree-perl (0.12-1+b3)
Perform range-based lookups on sets of ranges
libset-intspan-perl (1.19-1.1)
module to manage sets of integers
libset-nestedgroups-perl (0.01-3.1)
Simple implementation of nested groups
libset-object-perl (1.41-1)
collection of objects without duplications
libset-scalar-perl (1.29-2)
Perl interface for operations on finite sets
libset-tiny-perl (0.04-1)
module for simple sets of strings
libsexplib-ocaml (1:0.14.0-1+b2)
automated conversions between OCaml-values and S-expressions (runtime)
libsexplib-ocaml-4w319
本虛擬套件由這些套件填實: libsexplib-ocaml
libsexplib-ocaml-dev (1:0.14.0-1+b2)
automated conversions between OCaml-values and S-expressions (dev files)
libsexplib-ocaml-dev-4w319
本虛擬套件由這些套件填實: libsexplib-ocaml-dev
libsexplib-ocaml-dev-om226
本虛擬套件由這些套件填實: libsexplib-ocaml-dev
libsexplib-ocaml-dev-sosf9
本虛擬套件由這些套件填實: libsexplib-ocaml-dev
libsexplib-ocaml-dev-z6706
本虛擬套件由這些套件填實: libsexplib-ocaml-dev
libsexplib-ocaml-om226
本虛擬套件由這些套件填實: libsexplib-ocaml
libsexplib-ocaml-sosf9
本虛擬套件由這些套件填實: libsexplib-ocaml
libsexplib-ocaml-z6706
本虛擬套件由這些套件填實: libsexplib-ocaml
libsexplib0-ocaml (0.14.0-1+b1)
S-expression library (runtime)
libsexplib0-ocaml-dev (0.14.0-1+b1)
S-expression library (development)
libsexplib0-ocaml-dev-drlz0
本虛擬套件由這些套件填實: libsexplib0-ocaml-dev
libsexplib0-ocaml-dev-gbv95
本虛擬套件由這些套件填實: libsexplib0-ocaml-dev
libsexplib0-ocaml-dev-pwyh4
本虛擬套件由這些套件填實: libsexplib0-ocaml-dev
libsexplib0-ocaml-dev-w2iz6
本虛擬套件由這些套件填實: libsexplib0-ocaml-dev
libsexplib0-ocaml-drlz0
本虛擬套件由這些套件填實: libsexplib0-ocaml
libsexplib0-ocaml-gbv95
本虛擬套件由這些套件填實: libsexplib0-ocaml
libsexplib0-ocaml-pwyh4
本虛擬套件由這些套件填實: libsexplib0-ocaml
libsexplib0-ocaml-w2iz6
本虛擬套件由這些套件填實: libsexplib0-ocaml
libsezpoz-java (1.12-1)
Lightweight library for modular service lookups
libsezpoz-java-doc (1.12-1)
Documentation for SezPoz
libsfark-dev (2.24-4)
Header files for sfArk library
libsfark0 (2.24-4)
Library for decompressing sfArk soundfonts
libsfcgal-dev (1.3.9-2)
Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations (development)
libsfcgal1 (1.3.9-2)
Library for ISO 19107:2013 and OGC SFA 1.2 for 3D operations
libsfml-audio2.5 (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - Audio part
libsfml-dev (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - Development Files
libsfml-doc (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - Documentation
libsfml-graphics2.5 (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - Graphics part
libsfml-network2.5 (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - Network part
libsfml-system2.5 (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - System part
libsfml-window2.5 (2.5.1+dfsg-1)
Simple and Fast Multimedia Library - Window part
libsfst1
本虛擬套件由這些套件填實: libsfst1-1.4
libsfst1-1.4 (1.4.7b-1+b1)
Shared library for SFST
libsfst1-1.4-dev (1.4.7b-1+b1)
Development library SFST
libsfst1-dev
本虛擬套件由這些套件填實: libsfst1-1.4-dev
libsgml-dtdparse-perl (2.00-1.1)
Perl modules and scripts for manipulating SGML and XML DTDs
libsgml-parser-opensp-perl (0.994-3+b10 [s390x], 0.994-3+b8 [amd64, armel, armhf, i386, mipsel], 0.994-3+b7 [arm64, mips64el, ppc64el])
OpenSP Parser of SGML documents
libsgmls-perl (1.03ii-36)
Perl modules for processing SGML parser output
libsgutils2-2 (1.45-1)
utilities for devices using the SCSI command set (shared libraries)
libsgutils2-dev (1.45-1)
utilities for devices using the SCSI command set (developer files)
libsha-ocaml (1.13-1+b1)
SHA cryptographic hash functions for OCaml (runtime)
libsha-ocaml-dev (1.13-1+b1)
SHA cryptographic hash functions for OCaml (development files)
libsha-ocaml-dev-o4pq9
本虛擬套件由這些套件填實: libsha-ocaml-dev
libsha-ocaml-dev-ozr30
本虛擬套件由這些套件填實: libsha-ocaml-dev
libsha-ocaml-dev-pge86
本虛擬套件由這些套件填實: libsha-ocaml-dev
libsha-ocaml-dev-y8dp9
本虛擬套件由這些套件填實: libsha-ocaml-dev
libsha-ocaml-o4pq9
本虛擬套件由這些套件填實: libsha-ocaml
libsha-ocaml-ozr30
本虛擬套件由這些套件填實: libsha-ocaml
libsha-ocaml-pge86
本虛擬套件由這些套件填實: libsha-ocaml
libsha-ocaml-y8dp9
本虛擬套件由這些套件填實: libsha-ocaml
libshadowsocks-libev-dev (3.3.5+ds-4)
lightweight and secure socks5 proxy (development files)
libshadowsocks-libev2 (3.3.5+ds-4)
lightweight and secure socks5 proxy (shared library)
libshairplay-dev (0.9.0~git20180824.096b61a+dfsg1-2)
AirPort Express Server emulator (development files)
libshairplay0 (0.9.0~git20180824.096b61a+dfsg1-2)
AirPort Express server emulator (shared library)
libshairport-dev (1.2.1~git20120510.cbed0c1-3+b2)
emulates an AirPort Express (development files)
libshairport2 (1.2.1~git20120510.cbed0c1-3+b2)
emulates an AirPort Express (shared library)
libshape-msgs-dev (1.13.0-2)
Messages relating to Robot OS shape, C/C++ interface
libsharp-dev (1.0.0-2)
fast spherical harmonic transforms - C/C++ library
libsharp0 (1.0.0-2)
fast spherical harmonic transforms - C/C++ development library
libsharyanto-file-util-perl (0.56-1.1)
SHARYANTO's module of file related utilities
libsharyanto-string-util-perl (0.26-2)
SHARYANTO's module for string processing utilities
libsharyanto-utils-perl (0.77-1)
SHARYANTO's temporary modules for various routines
libshell-command-perl (0.06-4)
cross-platform functions emulating common shell commands
libshell-config-generate-perl (0.34-1)
Perl module to generate config file for any shell
libshell-guess-perl (0.09-1)
Perl module to make an educated guess about the shell in use
libshell-perl (0.73-1)
run shell commands transparently within perl
libshell-perl-perl (0.0026-1)
read-eval-print loop in Perl
libshell-posix-select-perl (0.08-1)
POSIX Shell's "select" loop for Perl
libshell-utils-clojure (1.0.2-2)
shell execution common to Puppet clojure projects
libshhmsg-dev
本虛擬套件由這些套件填實: libshhmsg1-dev
libshhmsg1 (1.4.2-1+b2)
library for displaying messages - runtime
libshhmsg1-dev (1.4.2-1+b2)
library for displaying messages - development
libshhopt-dev
本虛擬套件由這些套件填實: libshhopt1-dev
libshhopt1 (1.1.7-5)
Command line option parser - runtime
libshhopt1-dev (1.1.7-5)
Command line option parser - development
libshiboken2-dev (5.15.2-1)
CPython bindings generator for C++ libraries (development files)
libshiboken2-py3
本虛擬套件由這些套件填實: libshiboken2-py3-5.15
libshiboken2-py3-5.15 (5.15.2-1)
CPython bindings generator for C++ libraries (Python3 shared library)
libshibresolver-dev (3.1.0-3+b1)
Shibboleth SP Attribute Resolver library (development)
libshibresolver3 (3.1.0-3+b1)
Shibboleth SP Attribute Resolver library
libshibsp-dev (3.2.2+dfsg1-1)
Federated web single sign-on system (development)
libshibsp-doc (3.2.2+dfsg1-1)
Federated web single sign-on system (API docs)
libshibsp-plugins (3.2.2+dfsg1-1)
Federated web single sign-on system (plugins)
libshibsp10 (3.2.2+dfsg1-1)
Federated web single sign-on system (runtime)
libshimdandy-java (1.2.1-1.1)
Shim wrapping multiple Clojure runtimes into the same JVM
libshine-dev (3.1.1-2)
Fixed-point MP3 encoding library - development files
libshine-ocaml (0.2.1-1+b1)
OCaml interface to the shine library -- runtime files
libshine-ocaml-dev (0.2.1-1+b1)
OCaml interface to the shine library -- development files
libshine-ocaml-dev-ppfn6
本虛擬套件由這些套件填實: libshine-ocaml-dev
libshine-ocaml-dev-y4nq9
本虛擬套件由這些套件填實: libshine-ocaml-dev
libshine-ocaml-ppfn6
本虛擬套件由這些套件填實: libshine-ocaml
libshine-ocaml-y4nq9
本虛擬套件由這些套件填實: libshine-ocaml
libshine3 (3.1.1-2)
Fixed-point MP3 encoding library - runtime files
libshiro-java (1.3.2-4+deb11u1)
Apache Shiro - Java Security Framework
libshisa-dev (1.0.2-10)
Development files for the Shishi Kerberos v5 KDC database library
libshisa0 (1.0.2-10)
Library for the Shishi Kerberos v5 KDC database
libshishi-dev (1.0.2-10)
Development files for the Shishi Kerberos v5 library
libshishi0 (1.0.2-10)
Library for the Shishi Kerberos v5 implementation
libshout-dev (2.4.5-1+b1)
MP3/Ogg Vorbis broadcast streaming library (development)
libshout-idjc-dev (2.4.3-1)
broadcast streaming library with IDJC extensions (development)
libshout-idjc3 (2.4.3-1)
broadcast streaming library with IDJC extensions
libshout-ocaml (0.2.7-1+b9 [armel], 0.2.7-1+b8 [amd64, i386, mipsel], 0.2.7-1+b6 [armhf], 0.2.7-1+b5 [s390x], 0.2.7-1+b4 [arm64, mips64el, ppc64el])
OCaml bindings for the shout library
libshout-ocaml-7d7c0
本虛擬套件由這些套件填實: libshout-ocaml
libshout-ocaml-dev (0.2.7-1+b9 [armel], 0.2.7-1+b8 [amd64, i386, mipsel], 0.2.7-1+b6 [armhf], 0.2.7-1+b5 [s390x], 0.2.7-1+b4 [arm64, mips64el, ppc64el])
OCaml bindings for the shout library
libshout-ocaml-dev-7d7c0
本虛擬套件由這些套件填實: libshout-ocaml-dev
libshout-ocaml-dev-lab98
本虛擬套件由這些套件填實: libshout-ocaml-dev
libshout-ocaml-dev-lom98
本虛擬套件由這些套件填實: libshout-ocaml-dev
libshout-ocaml-dev-rosg2
本虛擬套件由這些套件填實: libshout-ocaml-dev
libshout-ocaml-lab98
本虛擬套件由這些套件填實: libshout-ocaml
libshout-ocaml-lom98
本虛擬套件由這些套件填實: libshout-ocaml
libshout-ocaml-rosg2
本虛擬套件由這些套件填實: libshout-ocaml
libshout-tools (2.4.5-1+b1)
MP3/Ogg Vorbis broadcast streaming library - commandline tools
libshout3 (2.4.5-1+b1)
MP3/Ogg Vorbis broadcast streaming library
libshout3-dev (2.4.5-1+b1)
MP3/Ogg Vorbis broadcast streaming library (transitional package)
libshout3-dev
本虛擬套件由這些套件填實: libshout-dev
libshp-dev (1.5.0-2)
Library for reading and writing ESRI Shapefiles - development files
libshp2 (1.5.0-2)
Library for reading and writing ESRI Shapefiles
libsiconos-control-dev (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (control dev)
libsiconos-control6 (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (control lib)
libsiconos-io-dev (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (io dev)
libsiconos-io6 (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (io lib)
libsiconos-kernel-dev (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (kernel dev)
libsiconos-kernel6 (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (kernel lib)
libsiconos-mechanics-dev (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (mechanics dev)
libsiconos-mechanics6 (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (mechanics lib)
libsiconos-numerics-dev (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (numerics dev)
libsiconos-numerics6 (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (numerics lib)
libsidplay1-dev (1.36.60-1)
SID (MOS 6581) emulation library (development files)
libsidplay1v5 (1.36.60-1)
SID (MOS 6581) emulation library
libsidplay2 (2.1.1-15+b1)
SID (MOS 6581) emulation library
libsidplay2-dev (2.1.1-15+b1)
SID (MOS 6581) emulation library
libsidplayfp-dev (2.0.5-2)
library to play Commodore 64 music based on libsidplay2 (development files)
libsidplayfp-doc (2.0.5-2)
library to play Commodore 64 music based on libsidplay2 (documentation)
libsidplayfp5 (2.0.5-2)
Library to play Commodore 64 music based on libsidplay2
libsidutils-dev (2.1.1-15+b1)
utility functions for SID players
libsidutils0 (2.1.1-15+b1)
utility functions for SID players
libsieve2-1 (2.2.6-3)
library for parsing, sorting and filtering your mail
libsieve2-dev (2.2.6-3)
library for parsing, sorting and filtering your mail
libsigc++-2.0-0v5 (2.10.4-2)
具型別安全性 (type-safety) 的 C++ 訊息系統架構 (Signal Framework) - 執行環境
libsigc++-2.0-dev (2.10.4-2)
type-safe Signal Framework for C++ - development files
libsigc++-2.0-doc (2.10.4-2)
type-safe Signal Framework for C++ - reference documentation
libsight (20.2.0-2)
Sight libraries
libsight-dev (20.2.0-2)
Sight header files
libsignal-mask-perl (0.008-1.1)
module for easy handling of signal masks
libsignal-protocol-c-dev (2.3.3-1+deb11u1)
ratcheting forward secrecy protocol for synchronous and asynchronous messaging
libsignal-protocol-c2.3.2 (2.3.3-1+deb11u1)
ratcheting forward secrecy protocol for synchronous and asynchronous messaging
libsignatures-java (0.0~git20141229.14f3045+ds-2)
graph signature library for molecules
libsignatures-perl (0.14-1+b1)
Perl pragma for subroutine signatures
libsignon-extension1 (8.60-4)
Single Sign On framework - extension shared library
libsignon-glib-dev (2.1-3)
development files for signon-glib sso API
libsignon-glib2 (2.1-3)
GLib Library for managing SSO credentials
libsignon-plugins-common1 (8.60-4)
Single Sign On framework - plugins common shared library
libsignon-plugins-doc (8.60-4)
Single Sign On framework - plugins documentation
libsignon-qt-doc (8.60-4)
Single Sign On framework - documentation for Qt API
libsignon-qt5-1 (8.60-4)
Single Sign On framework - qt5 shared libraries
libsignon-qt5-dev (8.60-4)
Single Sign On framework - qt5 development files
libsigrok-dev (0.5.2-3)
sigrok hardware driver library - development files
libsigrok4 (0.5.2-3)
sigrok hardware driver library - shared library
libsigrokcxx-dev (0.5.2-3)
sigrok C++ bindings - development files
libsigrokcxx4 (0.5.2-3)
sigrok hardware driver library - shared library
libsigrokdecode-dev (0.5.3-2)
sigrok protocol decoding library - development files
libsigrokdecode4 (0.5.3-2)
sigrok protocol decoding library - shared library
libsigscan-dev (20201117-1)
binary signature scanning library -- development files
libsigscan-utils (20201117-1)
binary signature scanning library -- Utilities
libsigscan1 (20201117-1)
binary signature scanning library
libsigsegv-dev (2.13-1)
Library for handling page faults in a portable way development package
libsigsegv2 (2.13-1)
Library for handling page faults in a portable way
libsilly (0.1.0-8.1)
development files for the simple image loading library
libsilly-dev (0.1.0-8.1)
simple image loading library
libsilly-doc (0.1.0-8.1)
simple image loading library (documentation)
libsilo-bin (4.10.2.real-9)
Utilities to manipulate libsilo files
libsilo-dev (4.10.2.real-9)
Development files for SILO Scientific I/O library from LLNL
libsiloh5-0 (4.10.2.real-9)
SILO Science I/O library from LLNL
libsimage-dev (1.8.0-2)
generic interface to various image file format libraries. Development files.
libsimage20 (1.8.0-2)
generic interface to various image file format libraries
libsimavr-dev (1.6+dfsg-3)
AVR simulator development library
libsimavr-examples (1.6+dfsg-3)
AVR simulator development examples
libsimavr2 (1.6+dfsg-3)
AVR simulator shared library
libsimavrparts1 (1.6+dfsg-3)
AVR simulator additional peripherals shared library
libsimbody-dev (3.6.1+dfsg-7)
SimTK multibody dynamics API - development files
libsimbody3.6 (3.6.1+dfsg-7)
SimTK multibody dynamics API - shared library
libsimde-dev (0.7.2-4)
Implementations of SIMD instructions for all systems
libsimdjson-dev (0.7.1-1)
Parsing gigabytes of JSON per second (development)
libsimdjson5 (0.7.1-1)
Parsing gigabytes of JSON per second (shared object)
libsimgear-dev (1:2020.3.6+dfsg-1)
Simulator Construction Gear -- development files
libsimgrid-dev (3.25+dfsg-5)
Development files for the SimGrid Toolkit
libsimgrid-java (3.25+dfsg-5)
Java bindings for the SimGrid Toolkit
libsimgrid3.25 (3.25+dfsg-5)
Toolkit for scalable simulation of distributed applications
libsimple-http-java (4.1.21-1.1)
high-performance, embeddable Java HTTP engine
libsimple-http-java-doc (4.1.21-1.1)
Documentation for libsimple-http-java
libsimple-validation-java (0.9-3)
library for quickly adding validation code to Swing user-interfaces
libsimple-xml-java (2.7.1-3)
XML serialization and configuration framework for Java
libsimple-xml-java-doc (2.7.1-3)
XML serialization and configuration framework for Java (documentation)
libsimpleini-dev (4.17+dfsg-6)
C++ library for INI-style configuration files (development files)
libsimpleini1 (4.17+dfsg-6)
C++ library for INI-style configuration files
libsimtkmolmodel-dev (3.0~svn842-2)
C++ API for creating molecular models for SimTK (development files)
libsimtkmolmodel3.0 (3.0~svn842-2)
C++ API for creating molecular models for SimTK
libsingleapplication-dev (3.2.0-2)
Replacement of QtSingleApplication for Qt5
libsingular
本虛擬套件由這些套件填實: libsingular4m1
libsingular-dev
本虛擬套件由這些套件填實: libsingular4-dev
libsingular4-dev (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations -- development package
libsingular4-dev-common (1:4.1.1-p2+ds-4)
Computer Algebra System for Polynomial Computations -- common dev package
libsingular4m1 (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations -- library package
libsip-api-java (1.2-2)
SIP API for Java
libsipxtapi (3.3.0~test18+dfsg.1-0.1)
SIP stack, RTP media framework and codecs
libsipxtapi-dev (3.3.0~test18+dfsg.1-0.1)
SIP stack, RTP media framework and codecs (headers)
libsipxtapi-doc (3.3.0~test18+dfsg.1-0.1)
SIP stack, RTP media framework and codecs (API documentation)
libsis-base-java (18.09~pre1+git20180928.45fbd31+dfsg-3)
Base libraries used by software from the SIS division at ETH Zurich
libsis-base-jni (18.09~pre1+git20180928.45fbd31+dfsg-3)
Base libraries used by ETH-SIS (JNI components)
libsis-jhdf5-java (19.04.0+dfsg-4)
easy-to-use HDF library for Java
libsis-jhdf5-jni (19.04.0+dfsg-4)
native libs used by libsis-jhdf5-java package
libsiscone-dev (2.0.6-2)
Seedless Infrared Safe Cone jet finder - development files
libsiscone-spherical-dev (2.0.6-2)
Spherical version of SISCone - development files
libsiscone-spherical0v5 (2.0.6-2)
Spherical version of SISCone
libsiscone0v5 (2.0.6-2)
Seedless Infrared Safe Cone jet finder
libsisimai-perl (4.25.10-1)
Perl module to analyze bounce mails
libsisl-dev (4.6.0-2+b1 [amd64], 4.6.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
SINTEF Spline Library
libsisu-inject-java (0.3.4-2)
Dependency Injection container for Java
libsisu-plexus-java (0.3.4-3)
Plexus adapter for the Sisu dependency injection container
libsitemesh-java (2.4.1+dfsg-7)
web-page layout and decoration framework
libsitemesh-java-doc (2.4.1+dfsg-7)
documentation for libsitemesh-java
libsixel-bin (1.8.6-2)
DEC SIXEL graphics codec implementation (binary)
libsixel-dev (1.8.6-2)
DEC SIXEL graphics codec implementation (develop)
libsixel-examples (1.8.6-2)
DEC SIXEL graphics codec implementation (examples)
libsixel1 (1.8.6-2)
DEC SIXEL graphics codec implementation (runtime)
libsjacket-clojure (0.1.1-2)
Clojure code transformation library
libskarnet2.10 (2.10.0.2-1)
library used for building software at skarnet.org
libskinlf-java (6.7-10)
Skin Look and Feel - Skinning Engine for the Swing toolkit
libskinlf-java-demo (6.7-10)
Swing Java docking framework - demos and examples
libskk-common (1.0.5-2)
library to deal with Japanese kana-kanji conversion method - common files
libskk-dev (1.0.5-2)
library to deal with Japanese kana-kanji conversion method - development
libskk-utils (1.0.5-2)
program that emulates Japanese SKK input method
libskk0 (1.0.5-2)
library to deal with Japanese kana-kanji conversion method
libskypat-dev (3.1.1-4)
C++ performance analyzing and testing framework - static libraries and headers
libskypat3 (3.1.1-4)
C++ performance analyzing and testing framework - shared libraries
libsl0-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - SL support library
libslang2 (2.3.2-5)
S-Lang programming library - runtime version
libslang2-dev (2.3.2-5)
S-Lang programming library, development version
libslang2-modules (2.3.2-5)
Shared modules for S-Lang language
libslang2-pic (2.3.2-5)
S-Lang programming library, shared library subset kit
libslang2-udeb (2.3.2-5)
S-Lang library for Debian Installer
libslapi-2.4-2
本虛擬套件由這些套件填實: slapd
libsleef-dev (3.5.1-1)
SLEEF Vectorized Math Library (development)
libsleef3 (3.5.1-1)
SLEEF Vectorized Math Library (libraries)
libslepc-complex-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc-complex3.14 (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc-complex3.14-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc-real-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc-real3.14 (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc-real3.14-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc3.14-dev-examples (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
libslepc64-complex-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
libslepc64-complex3.14 (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
libslepc64-complex3.14-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
libslepc64-real-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
libslepc64-real3.14 (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
libslepc64-real3.14-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
libslf4j-java (1.7.30-1)
Simple Logging Facade for Java
libslice-java (0.10-2)
Java library for efficiently working with heap and off-heap memory
libslicot-dev (5.0+20101122-4)
numerical algorithms from systems and control theory (static library)
libslicot-doc (5.0+20101122-4)
numerical algorithms from systems and control theory (documentation)
libslicot-pic (5.0+20101122-4)
numerical algorithms from systems and control theory (static PIC library)
libslicot0 (5.0+20101122-4)
numerical algorithms from systems and control theory (shared library)
libslingshot-clojure (0.12.2-3)
Enhanced throw and catch library for Clojure
libsliplu1 (1:5.8.1+dfsg-2)
solves sparse linear systems in exact arithmetic
libslirp-dev (4.4.0-1+deb11u2)
General purpose TCP-IP emulator library (development files)
libslirp-helper (4.3.0-3)
helper process for libslirp
libslirp0 (4.4.0-1+deb11u2)
General purpose TCP-IP emulator library
libslurm-dev (20.11.7+really20.11.4-2+deb11u1)
SLURM development files
libslurm-perl (20.11.7+really20.11.4-2+deb11u1)
Perl API for SLURM
libslurm36 (20.11.7+really20.11.4-2+deb11u1)
Runtime library files for SLURM
libslurmdb-perl (20.11.7+really20.11.4-2+deb11u1)
Perl API for the SLURM database
libslvs1 (3.0.rc2+repack1-3)
SolveSpace geometric kernel
libslvs1-dev (3.0.rc2+repack1-3)
SolveSpace geometric kernel (development files)
libsm-dev (2:1.2.3-1)
X11 Session Management library (development headers)
libsm-doc (2:1.2.3-1)
documentation for the X Session Management protocol and library
libsm6 (2:1.2.3-1)
X11 Session Management library
libsmali-java (2.4.0-1)
assembler/disassembler for Android's dex format
libsmart-comments-perl (1.06-1)
Perl module for comments that do more than just sit there
libsmartcols-dev (2.36.1-8+deb11u2) [security]
smart column output alignment library - headers
libsmartcols1 (2.36.1-8+deb11u2) [security]
smart column output alignment library
libsmartcols1-udeb (2.36.1-8+deb11u2) [security]
stripped down smart column output aligment library, for debian-installer
libsmbclient (2:4.13.13+dfsg-1~deb11u6) [security]
shared library for communication with SMB/CIFS servers
libsmbclient-dev (2:4.13.13+dfsg-1~deb11u6) [security]
development files for libsmbclient
libsmbios-bin
本虛擬套件由這些套件填實: smbios-utils
libsmbios-c2 (2.4.3-1)
Provide access to (SM)BIOS information -- dynamic library
libsmbios-dev (2.4.3-1)
Provide access to (SM)BIOS information - development files
libsmbios-doc (2.4.3-1)
Access to (SM)BIOS information in an OS-indepent way (docs)
libsmbios2 (= 2.3.1-1)
本虛擬套件由這些套件填實: libsmbios-c2
libsmbios2v5 (= 2.3.1-0ubuntu2)
本虛擬套件由這些套件填實: libsmbios-c2
libsmc-dev (1.8.6-3)
Robot OS 'bond' State Machine Compiler interface
libsmdev-dev (20190315-2)
storage media device access library -- development files
libsmdev-utils (20190315-2)
storage media device access library -- Utilities
libsmdev1 (20190315-2)
storage media device access library
libsmf-dev (1.3-4)
Development files for the smf library
libsmf0 (1.3-4)
LibSMF is a BSD-licensed C library for handling SMF ("*.mid") files
libsmi-dev
本虛擬套件由這些套件填實: libsmi2-dev
libsmi2-common (0.4.8+dfsg2-16)
library to access SMI MIB information - MIB module files
libsmi2-dev (0.4.8+dfsg2-16)
library to access SMI MIB information - development files
libsmi2ldbl (0.4.8+dfsg2-16)
library to access SMI MIB information
libsmithwaterman-dev (0.0+git20160702.2610e25-11)
determine similar regions between two strings or genomic sequences (devel)
libsmithwaterman0 (0.0+git20160702.2610e25-11)
determine similar regions between two strings or genomic sequences (lib)
libsml-dev (0.1.1+git20180125-1.1)
Header files for libSML
libsml1 (0.1.1+git20180125-1.1)
Library for the Smart Messaging Language (SML)
libsmlnj-smlnj (110.79-6)
Useful libraries for Standard ML of New Jersey
libsmltk0 (3.4.0.47.5+syncevolution-1.5.3-1)
library for SyncML-DS (SyncML Data Sync) clients (shared libraries)
libsmpeg-dev (0.4.5+cvs20030824-9)
SDL MPEG Player Library - development files
libsmpeg0 (0.4.5+cvs20030824-9)
SDL MPEG Player Library - shared libraries
libsmpp34-1 (1.14.0-2)
Open PDU SMPP packaging and unpackaging tool
libsmpp34-dev (1.14.0-2)
Development files for libsmpp34
libsmraw-dev (20181227-2)
split RAW image format access library -- development files
libsmraw-utils (20181227-2)
split RAW image format access library -- Utilities
libsmraw1 (20181227-2)
split RAW image format access library
libsms-aql-perl (1.02-2.1)
Perl extension to send SMS text messages via AQL's SMS service
libsms-send-aql-perl (0.03-1.1)
SMS::Send driver to send messages via AQL (www.aql.com)
libsms-send-perl (1.06-3)
driver-based API for sending SMS messages
libsnacc-dev (1.3.1-7)
ASN.1 to C or C++ or IDL compiler, development files
libsnacc0c2 (1.3.1-7)
ASN.1 to C or C++ or IDL compiler, shared libraries
libsnack-alsa (2.2.10.20090623-dfsg-10)
Sound extension to Tcl/Tk and Python/Tkinter - ALSA files
libsnack-oss (2.2.10.20090623-dfsg-10)
Sound extension to Tcl/Tk and Python/Tkinter - OSS files
libsnack2
本虛擬套件由這些套件填實: tcl-snack
libsnack2-alsa
本虛擬套件由這些套件填實: tcl-snack
libsnack2-dev
本虛擬套件由這些套件填實: tcl-snack-dev
libsnack2-doc
本虛擬套件由這些套件填實: tcl-snack-doc
libsnapd-glib-dev (1.58-4)
GLib snapd library (development files)
libsnapd-glib1 (1.58-4)
GLib snapd library
libsnapd-qt-dev (1.58-4)
Qt snapd library (development files)
libsnapd-qt1 (1.58-4)
Qt snapd library
libsnapper-dev (0.8.15-1)
Library for Linux filesystem snapshot management tool (header files)
libsnapper5 (0.8.15-1)
Library for Linux filesystem snapshot management tool
libsnappy-dev (1.1.8-1)
fast compression/decompression library (development files)
libsnappy-java (1.1.8.3-1)
Snappy for Java, a fast compressor/decompresser
libsnappy-jni (1.1.8.3-1)
Snappy for Java, a fast compressor/decompresser (JNI library)
libsnappy1v5 (1.1.8-1)
fast compression/decompression library
libsndfile-dev
本虛擬套件由這些套件填實: libsndfile1-dev
libsndfile1 (1.0.31-2)
Library for reading/writing audio files
libsndfile1-dev (1.0.31-2)
Development files for libsndfile; a library for reading/writing audio files
libsndifsdl2-dev (0.8.3-2+b1 [amd64], 0.8.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
SDL2-based sound support for the fizmo interpreter
libsndio-dev (1.5.0-3)
Small audio and MIDI framework from OpenBSD, development files
libsndio7.0 (1.5.0-3)
Small audio and MIDI framework from OpenBSD, runtime libraries
libsndobj-dev (2.6.7+ds1-3)
Sound Object library (development files)
libsndobj2v5 (2.6.7+ds1-3)
Sound Object library
libsnl-dev (0.2.1.svn.18-1.1)
Simple Nurbs Library (development files)
libsnl0 (0.2.1.svn.18-1.1)
Simple Nurbs Library
libsnmp-base (5.9+dfsg-4+deb11u1)
SNMP configuration script, MIBs and documentation
libsnmp-dev (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) development files
libsnmp-extension-passpersist-perl (0.07-2.1)
Generic pass/pass_persist extension framework for Net-SNMP
libsnmp-info-perl (3.71-1)
Object Oriented Perl5 Interface to Network devices and MIBs through SNMP
libsnmp-mib-compiler-perl (0.06-3)
MIB Compiler supporting SMIv1 and SMIv2
libsnmp-perl (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) Perl5 support
libsnmp-session-perl (1.14~git20201002.0dedded-1)
Perl support for accessing SNMP-aware devices
libsnmp40 (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) library
libsnowball-norwegian-perl (1.2-2)
Stemming algorithm for Norwegian
libsnowball-swedish-perl (1.2-4)
Stemming algorithm for Swedish
libsnp-sites-dev
本虛擬套件由這些套件填實: libsnp-sites1-dev
libsnp-sites1 (2.5.1-1)
Shared libraries of the package snp-sites
libsnp-sites1-dev (2.5.1-1)
Static libraries and header files for the package snp-sites
libsoap-lite-perl (1.27-1)
Perl implementation of a SOAP client and server
libsoap-wsdl-perl (3.004-1)
Perl module for SOAP with WSDL support
libsoapysdr-dev (0.7.2-2)
SoapySDR library development files
libsoapysdr-doc (0.7.2-2)
SoapySDR library development documentation
libsoapysdr0.7 (0.7.2-2)
software defined radio interface library
libsoapysdr0.7-dev
本虛擬套件由這些套件填實: libsoapysdr-dev
libsoci-core4.0 (4.0.1-5)
C++ Database Access Library
libsoci-dev (4.0.1-5)
C++ Database Access Library (devel)
libsoci-firebird4.0 (4.0.1-5)
C++ Database Access Library (Firebird backend)
libsoci-mysql4.0 (4.0.1-5)
C++ Database Access Library (MySQL backend)
libsoci-odbc4.0 (4.0.1-5)
C++ Database Access Library (ODBC backend)
libsoci-postgresql4.0 (4.0.1-5)
C++ Database Access Library (PostgreSQL backend)
libsoci-sqlite3-4.0 (4.0.1-5)
C++ Database Access Library (SQLite3 backend)
libsocket++-dev (1.12.13-11)
lightweight convenience library to handle low level BSD sockets in C++ -devel
libsocket++1 (1.12.13-11)
lightweight convenience library to handle low level BSD sockets in C++ - libs
libsocket-getaddrinfo-perl (0.22-3)
module implementing getaddrinfo and getnameinfo
libsocket-linux-perl (0.01-2+b10 [s390x], 0.01-2+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.01-2+b7 [mips64el, ppc64el])
module for using socket constants defined in Linux <netinet/tcp.h>
libsocket-msghdr-perl (0.05-1+b2)
sendmsg, recvmsg and ancillary data operations
libsocket-multicast6-perl (0.04-3+b6)
base module for IPv4 and IPv6 multicast socket operations
libsocket-perl (2.031-1)
networking constants and support functions
libsocket-perl (= 2.029)
本虛擬套件由這些套件填實: perl-base
libsocket-wrapper (1.2.5-1)
socket wrapper library
libsocket6-perl (0.29-1+b3)
Perl extensions for IPv6
libsocketcan-dev (0.0.12-1)
library to control some basic functions in SocketCAN from userspace
libsocketcan-doc (0.0.12-1)
library to control some basic functions in SocketCAN from userspace
libsocketcan2 (0.0.12-1)
library to control some basic functions in SocketCAN from userspace
libsocksd0 (1.4.2+dfsg-7+b2)
SOCKS library for packages built using libsocksd-dev
libsocksd0-dev (1.4.2+dfsg-7+b2)
Development files for compiling programs with SOCKS support
libsocl-1.3-0 (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines
libsocl-any-1.3-0
本虛擬套件由這些套件填實: libsocl-contrib-1.3-0, libsocl-1.3-0
libsocl-contrib-1.3-0 (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines
libsodium-dev (1.0.18-1)
Network communication, cryptography and signaturing library - headers
libsodium23 (1.0.18-1)
Network communication, cryptography and signaturing library
libsofia-sip-ua-dev (1.12.11+20110422.1-2.1+deb11u2)
Sofia-SIP library development files
libsofia-sip-ua-glib-dev (1.12.11+20110422.1-2.1+deb11u2)
Sofia-SIP library glib/gobject interface development files
libsofia-sip-ua-glib3 (1.12.11+20110422.1-2.1+deb11u2)
Sofia-SIP library glib/gobject interfaces runtime
libsofia-sip-ua0 (1.12.11+20110422.1-2.1+deb11u2)
Sofia-SIP library runtime
libsofthsm2 (2.6.1-2)
cryptographic store accessible through a PKCS #11
libsofthsm2-dev (2.6.1-2)
cryptographic store accessible through a PKCS #11
libsoftware-license-orlaterpack-perl (0.10.2-2)
Use GNU licenses with "or later" clause in Software::License
libsoftware-license-perl (0.103014-2)
module providing templated software licenses
libsoftware-licensemoreutils-perl (1.005-1)
More utilities and a summary for Software::License
libsoftware-release-perl (0.03-1.1)
Perl class representing a release of software
libsoil-dev (1.07~20080707.dfsg-4)
Simple OpenGL Image Library - development files
libsoil1 (1.07~20080707.dfsg-4)
Simple OpenGL Image Library
libsoldout-dev (1.4-4)
Development files for libsoldout a parser for the markdown language
libsoldout-utils (1.4-4)
Utils and examples based on libsoldout
libsoldout1 (1.4-4)
Natacha's flexible C library for John Gruber's markdown language
libsollya
本虛擬套件由這些套件填實: libsollya7
libsollya-dev (7.0+ds-3+b2)
library for safe floating-point code development -- libdev
libsollya7 (7.0+ds-3+b2)
library for safe floating-point code development -- lib
libsolv-dev (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (development files)
libsolv-dev
本虛擬套件由這些套件填實: libsolv-dev
libsolv-doc (0.7.17-1+deb11u1)
dependency solver using a satisfiablility algorithm (documentation files)
libsolv-perl (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (Perl bindings)
libsolv-tools (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (command-line tools)
libsolv1 (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (shared library)
libsolvext-dev (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (ext development files)
libsolvext-dev
本虛擬套件由這些套件填實: libsolvext-dev
libsolvext1 (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (extensions)
libsombok-dev (2.4.0-2+b1)
Unicode Text Segmentation library (development files)
libsombok3 (2.4.0-2+b1)
Unicode Text Segmentation library
libsonic-dev (0.2.0-10)
Header file for linking to libsonic
libsonic-java (0.2.0-10)
Simple library to speed up or slow down speech - Java bindings
libsonic0 (0.2.0-10)
Simple library to speed up or slow down speech
libsope-dev (5.0.1-2)
SKYRiX Object Publishing Environment (development files)
libsope1 (5.0.1-2)
SKYRiX Object Publishing Environment (shared libraries)
libsopt-dev (3.0.1-11+b1)
Development package for Sparse OPTimisation library
libsopt3.0 (3.0.1-11+b1)
Sparse OPTimisation shared library
libsoptions-java (0.0~svn141-2)
command line option handler for Java applications
libsoqt-dev
本虛擬套件由這些套件填實: libsoqt520-dev
libsoqt520 (1.6.0+ds1-3)
Qt5 GUI component toolkit for Inventor - runtime
libsoqt520-dev (1.6.0+ds1-3)
Qt5 GUI component toolkit for Inventor - development
libsord-0-0 (0.16.8-2)
library for storing RDF data in memory
libsord-dev (0.16.8-2)
library for storing RDF data in memory (development files)
libsord-doc (0.16.8-2)
library for storing RDF data in memory (documentation)
libsort-fields-perl (1.001-1)
module to sort lines containing delimited fields
libsort-key-perl (1.33-2+b3)
module to sort a list of values by a calculated key
libsort-key-top-perl (0.08-4)
Perl module to select and sort top n elements of a list
libsort-maker-perl (0.06-2)
helper to create efficient sort subs
libsort-naturally-perl (1.03-2)
Sort naturally - sort lexically except for numerical parts
libsort-versions-perl (1.62-1)
Perl module for sorting of revision (and similar) numbers
libsoundio-dev (1.1.0-1)
cross platform audio input and output library (development files)
libsoundio1 (1.1.0-1)
cross-platform audio input and output library
libsoundtouch-dev (2.2+ds1-2)
Development files for the sound stretching library
libsoundtouch-ocaml (0.1.8-1+b1)
OCaml bindings for the sound stretching library -- runtime files
libsoundtouch-ocaml-a3d49
本虛擬套件由這些套件填實: libsoundtouch-ocaml
libsoundtouch-ocaml-dev (0.1.8-1+b1)
OCaml bindings for the sound stretching library -- runtime files
libsoundtouch-ocaml-dev-a3d49
本虛擬套件由這些套件填實: libsoundtouch-ocaml-dev
libsoundtouch-ocaml-dev-ufuq3
本虛擬套件由這些套件填實: libsoundtouch-ocaml-dev
libsoundtouch-ocaml-ufuq3
本虛擬套件由這些套件填實: libsoundtouch-ocaml
libsoundtouch1 (2.2+ds1-2)
Sound stretching library
libsoup-gnome2.4-1 (2.72.0-2)
HTTP library implementation in C -- GNOME support library
libsoup-gnome2.4-dev (2.72.0-2)
HTTP library implementation in C -- GNOME support development files
libsoup2.4-1 (2.72.0-2)
HTTP library implementation in C -- Shared library
libsoup2.4-cil (2.42.2+git20151219-3)
CLI bindings for libsoup2.4
libsoup2.4-cil-dev (2.42.2+git20151219-3)
CLI bindings for libsoup2.4 - development package
libsoup2.4-dev (2.72.0-2)
HTTP library implementation in C -- Development files
libsoup2.4-doc (2.72.0-2)
HTTP library implementation in C -- API Reference
libsoup2.4-tests (2.72.0-2)
HTTP library implementation in C -- installed tests
libsource-highlight-common (3.1.9-3)
architecture-independent files for source highlighting library
libsource-highlight-dev (3.1.9-3+b1)
development files for source highlighting library
libsource-highlight4v5 (3.1.9-3+b1)
source highlighting library
libsox-dev (14.4.2+git20190427-2+deb11u2)
Development files for the SoX library
libsox-fmt-all (14.4.2+git20190427-2+deb11u2)
All SoX format libraries
libsox-fmt-alsa (14.4.2+git20190427-2+deb11u2)
SoX alsa format I/O library
libsox-fmt-ao (14.4.2+git20190427-2+deb11u2)
SoX Libao format I/O library
libsox-fmt-base (14.4.2+git20190427-2+deb11u2)
Minimal set of SoX format libraries
libsox-fmt-mp3 (14.4.2+git20190427-2+deb11u2)
SoX MP2 and MP3 format library
libsox-fmt-oss (14.4.2+git20190427-2+deb11u2)
SoX OSS format I/O library
libsox-fmt-pulse (14.4.2+git20190427-2+deb11u2)
SoX PulseAudio format I/O library
libsox3 (14.4.2+git20190427-2+deb11u2)
SoX library of audio effects and processing
libsoxr-dev (0.1.3-4)
High quality 1D sample-rate conversion library (development files)
libsoxr-lsr0 (0.1.3-4)
High quality 1D sample-rate conversion library (libsamplerate bindings)
libsoxr0 (0.1.3-4)
High quality 1D sample-rate conversion library
libspa-0.2-bluetooth (0.3.19-4)
libraries for the PipeWire multimedia server - bluetooth plugins
libspa-0.2-dev (0.3.19-4)
libraries for the PipeWire multimedia server Simple Plugin API - development
libspa-0.2-jack (0.3.19-4)
libraries for the PipeWire multimedia server - JACK client
libspa-0.2-modules (0.3.19-4)
libraries for the PipeWire multimedia server Simple Plugin API - modules
libspandsp-dev (0.0.6+dfsg-2)
Telephony signal processing library - development headers
libspandsp-doc (0.0.6+dfsg-2)
Documentation for the spandsp signal processing library
libspandsp2 (0.0.6+dfsg-2)
Telephony signal processing library
libsparkline-php (0.2-7)
sparkline graphing library for php
libsparsehash-dev (2.0.3-2)
Google's extremely memory-efficient C++ hash_map implementation
libsparskit-dev (2.0.0-4)
basic tool-kit for sparse matrix computations - devel
libsparskit2.0 (2.0.0-4)
basic tool-kit for sparse matrix computations - runtime
libspatial4j-0.4-java (0.4.1-6)
spatial/geospatial Java library
libspatial4j-java (0.7-1)
spatial/geospatial Java library
libspatialaudio-dev (0.3.0+git20180730+dfsg1-2+b1)
library for ambisonic encoding and decoding (development files)
libspatialaudio0 (0.3.0+git20180730+dfsg1-2+b1)
library for ambisonic encoding and decoding (runtime files)
libspatialindex-c6 (1.9.3-2)
General framework for developing spatial indices - C library
libspatialindex-dev (1.9.3-2)
General framework for developing spatial indices - development files
libspatialindex6 (1.9.3-2)
General framework for developing spatial indices
libspatialite-dev (5.0.1-2)
Geospatial extension for SQLite - development files
libspatialite7 (5.0.1-2)
Geospatial extension for SQLite - libraries
libspctag-dev (0.2-1+b2)
ID666 tags edition support library (development headers)
libspctag1 (0.2-1+b2)
ID666 tags edition support library
libspdlog-dev (1:1.8.1+ds-2.1)
Very fast, header only or compiled, C++ logging library
libspdlog1 (1:1.8.1+ds-2.1)
Very fast C++ logging library
libspdlog1-fmt7
本虛擬套件由這些套件填實: libspdlog1
libspec-alpha-clojure (0.2.194-1)
library to describe the structure of data and functions
libspecio-library-path-tiny-perl (0.04-3)
Path::Tiny types and coercions for Specio
libspecio-perl (0.47-1)
Perl module providing type constraints and coercions
libspecter-clojure (1.0.2-2.1)
data structure transformation library for Clojure
libspectre-dev (0.2.9-1)
Library for rendering PostScript documents - development files
libspectre1 (0.2.9-1)
Library for rendering PostScript documents
libspectrum-dev (1.4.4-1)
ZX Spectrum emulator library - Development files
libspectrum8 (1.4.4-1)
ZX Spectrum emulator library - Shared libraries
libspeechd-dev (0.10.2-2+deb11u2)
Speech Dispatcher: Development libraries and header files
libspeechd2 (0.10.2-2+deb11u2)
Speech Dispatcher: Shared libraries
libspeex-dbg (1.2~rc1.2-1.1)
debugging symbols for libspeex and libspeexdsp
libspeex-dev (1.2~rc1.2-1.1)
The Speex codec library development files
libspeex-ocaml (0.2.1-2+b3 [amd64], 0.2.1-2+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml interface to the speex library
libspeex-ocaml-36v96
本虛擬套件由這些套件填實: libspeex-ocaml
libspeex-ocaml-4qpy9
本虛擬套件由這些套件填實: libspeex-ocaml
libspeex-ocaml-dev (0.2.1-2+b3 [amd64], 0.2.1-2+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml interface to the speex library
libspeex-ocaml-dev-36v96
本虛擬套件由這些套件填實: libspeex-ocaml-dev
libspeex-ocaml-dev-4qpy9
本虛擬套件由這些套件填實: libspeex-ocaml-dev
libspeex-ocaml-dev-j3l97
本虛擬套件由這些套件填實: libspeex-ocaml-dev
libspeex-ocaml-dev-r6jl3
本虛擬套件由這些套件填實: libspeex-ocaml-dev
libspeex-ocaml-j3l97
本虛擬套件由這些套件填實: libspeex-ocaml
libspeex-ocaml-r6jl3
本虛擬套件由這些套件填實: libspeex-ocaml
libspeex1 (1.2~rc1.2-1.1)
The Speex codec runtime library
libspeexdsp-dev (1.2~rc1.2-1.1)
The Speex extended library development files
libspeexdsp1 (1.2~rc1.2-1.1)
The Speex extended runtime library
libspf2-2 (1.2.10-7.1~deb11u1)
library for validating mail senders with SPF
libspf2-2-dbg (1.2.10-7.1~deb11u1)
library for validating mail senders with SPF (debugging symbols)
libspf2-dev (1.2.10-7.1~deb11u1)
Header and development libraries for libspf2
libspfft-dev (0.9.13-1)
Sparse 3D FFT library with MPI, OpenMP, CUDA / ROCm support (development files)
libspfft0 (0.9.13-1)
Sparse 3D FFT library with MPI, OpenMP, CUDA / ROCm support
libsphde-dev (1.4.0-2)
Shared Persistent Heap Data Environment library development files
libsphde-doc (1.4.0-2)
Shared Persistent Heap Data Environment library documentation files
libsphde1 (1.4.0-2)
Shared Persistent Heap Data Environment library
libsphere-dev (3.3~a1-4+b2)
Development files for Spherepack scientific library
libsphere0d (3.3~a1-4+b2)
Spherepack scientific library for geophysical processes
libsphinx-search-perl (1:0.31-1)
Perl module for Sphinx search engine
libsphinxbase-dev (0.8+5prealpha+1-12)
Speech recognition tool - development files
libsphinxbase-doc (0.8+5prealpha+1-12)
Speech recognition tool - development documentation
libsphinxbase3 (0.8+5prealpha+1-12)
Speech recognition tool - shared library
libspi-java (0.2.4-3)
Simplifies usage of Java Service Provider Interface
libspi-java-doc (0.2.4-3)
Documentation for libspi-java
libspice-client-glib-2.0-8 (0.39-1)
GObject for communicating with Spice servers (runtime library)
libspice-client-glib-2.0-dev (0.39-1)
GObject for communicating with Spice servers (development files)
libspice-client-gtk-3.0-5 (0.39-1)
GTK3 widget for SPICE clients (runtime library)
libspice-client-gtk-3.0-dev (0.39-1)
GTK3 widget for SPICE clients (development files)
libspice-protocol-dev (0.14.3-1)
SPICE protocol headers
libspice-server-dev (0.14.3-2.1)
Header files and development documentation for spice-server
libspice-server1 (0.14.3-2.1)
Implements the server side of the SPICE protocol
libspiffy-perl (0.46-1)
Spiffy Perl Interface Framework For You
libspin-java (1.5+dfsg-8.1)
transparent threading solution for non-freezing Swing applications
libspin-java-doc (1.5+dfsg-8.1)
transparent threading solution for non-freezing Swing applications (docs)
libspiro-dev (1:20200505-2)
library for curve design - development files
libspiro1 (1:20200505-2)
library for curve design
libspnav-dev (0.2.3-1+b2)
Library to access 3D-input-devices
libspnav0 (0.2.3-1+b2)
Library to access 3D-input-devices (development files)
libspoa-dev (4.0.7+ds-1+b1)
SIMD partial order alignment library (development files)
libspoa7.0.0 (4.0.7+ds-1+b1)
SIMD partial order alignment library
libspock-java (0.7-groovy-2.0-4)
testing and specification framework for Java and Groovy application
libspock-java-doc (0.7-groovy-2.0-4)
testing and specification framework for Java and Groovy - Docs
libspooles-dev (2.2-14)
SPOOLES SParse Object Oriented Linear Equations Solver development files
libspooles2.2 (2.2-14)
SPOOLES SParse Object Oriented Linear Equations Solver shared library
libspqr2 (1:5.8.1+dfsg-2)
sparse QR factorization library
libspread-sheet-widget (0.6-3)
shared library for GTK+ to view and manipulate two dimensional tabular data
libspread-sheet-widget-dev (0.6-3)
development files for the GTK+ spread sheet widget
libspreadsheet-parseexcel-perl (0.6500-1.1+deb11u1)
Perl module to access information from Excel Spreadsheets
libspreadsheet-parseexcel-simple-perl (1.04-3)
simple interface to Excel data
libspreadsheet-parsexlsx-perl (0.27-2.1+deb11u2)
Perl module to parse XLSX files
libspreadsheet-read-perl (0.84-1)
reader for common spreadsheet formats
libspreadsheet-readsxc-perl (0.34-1)
reader for OpenOffice 1.x and OpenDocument spreadsheets
libspreadsheet-wright-perl (0.107-3)
simple spreadsheet worker
libspreadsheet-writeexcel-perl (2.40-1.1)
module to create Excel spreadsheets
libspreadsheet-writeexcel-simple-perl (1.04-1.1)
module providing simple creation of single-sheet Excel documents
libspreadsheet-xlsx-perl (0.15-2)
Perl extension for reading MS Excel 2007 files
libspring-aop-java (4.3.30-1)
modular Java/J2EE application framework - AOP
libspring-beans-java (4.3.30-1)
modular Java/J2EE application framework - Beans
libspring-context-java (4.3.30-1)
modular Java/J2EE application framework - Context
libspring-context-support-java (4.3.30-1)
modular Java/J2EE application framework - Context Support
libspring-core-java (4.3.30-1)
modular Java/J2EE application framework - Core
libspring-expression-java (4.3.30-1)
modular Java/J2EE application framework - Expression language
libspring-instrument-java (4.3.30-1)
modular Java/J2EE application framework - Instrumentation
libspring-jdbc-java (4.3.30-1)
modular Java/J2EE application framework - JDBC tools
libspring-jms-java (4.3.30-1)
modular Java/J2EE application framework - JMS tools
libspring-messaging-java (4.3.30-1)
modular Java/J2EE application framework - Messaging tools
libspring-orm-java (4.3.30-1)
modular Java/J2EE application framework - ORM tools
libspring-oxm-java (4.3.30-1)
modular Java/J2EE application framework - Object/XML Mapping
libspring-test-java (4.3.30-1)
modular Java/J2EE application framework - Test helpers
libspring-transaction-java (4.3.30-1)
modular Java/J2EE application framework - transaction
libspring-web-java (4.3.30-1)
modular Java/J2EE application framework - Web
libspring-web-portlet-java (4.3.30-1)
modular Java/J2EE application framework - Portlet MVC
libspring-web-servlet-java (4.3.30-1)
modular Java/J2EE application framework - Web Portlet
libsprng2 (2.0a-13)
SPRNG Scalable Parallel RNG library -- library package
libsprng2-dev (2.0a-13)
SPRNG Scalable Parallel RNG library -- development package
libsprng2-doc (2.0a-13)
SPRNG Scalable Parallel RNG library -- documentation package
libsptk-dev (3.9-3)
speech signal processing toolkit - development files
libspullara-cli-parser-java (1.1.3~git20170531-1.1)
command line parsing for Java
libspymemcached-java (2.12.3+dfsg-2)
simple, asynchronous, single-threaded memcached client
libsql-abstract-limit-perl (2:0.14.2-1)
portable LIMIT emulation
libsql-abstract-more-perl (1.37-1)
extension of SQL::Abstract with more constructs and more flexible API
libsql-abstract-perl (1.87-1)
module to generate SQL from Perl data structures
libsql-reservedwords-perl (0.8-2.1)
Perl extension that indicates if words are reserved by SQL
libsql-splitstatement-perl (1.00020-4)
Split any SQL code into atomic statements
libsql-statement-perl (1.414-1)
module for parsing and processing SQL statements
libsql-tiny-perl (0.04-1)
simple SQL-building library
libsql-tokenizer-perl (0.24-8)
simple SQL tokenizer
libsql-translator-perl (1.62-1)
SQL translation library
libsqlcipher-dev (3.4.1-2)
SQLCipher development files
libsqlcipher0 (3.4.1-2)
SQLCipher shared library
libsqlclient-dev (1.8.1-3+b2)
SQL client library for GNUstep (development files)
libsqlclient1.8 (1.8.1-3+b2)
SQL client library for GNUstep (runtime library)
libsqlite3-0 (3.34.1-3)
SQLite 3 shared library
libsqlite3-dev (3.34.1-3)
SQLite 3 development files
libsqlite3-gst (3.2.5-1.3+b2)
SQLite bindings for GNU Smalltalk
libsqlite3-mod-blobtoxy (0.9998-2)
SQLite3 extension module for read-only BLOB to X/Y mapping
libsqlite3-mod-csvtable (0.9998-2)
SQLite3 extension module for read-only access to CSV files
libsqlite3-mod-impexp (0.9998-2)
SQLite3 extension module for SQL script, XML, JSON and CSV import/export
libsqlite3-mod-rasterlite2 (1.1.0~beta1-2)
SQLite 3 module for huge raster coverages
libsqlite3-mod-spatialite (5.0.1-2)
Geospatial extension for SQLite - loadable module
libsqlite3-mod-virtualpg (2.0.1-1)
Loadable dynamic extension to both SQLite and SpatiaLite
libsqlite3-mod-xpath (0.9998-2)
SQLite3 extension module for querying XML data with XPath
libsqlite3-mod-zipfile (0.9998-2)
SQLite3 extension module for read-only access to ZIP files
libsqlite3-ocaml (5.0.2-1+b1)
Embeddable SQL Database for OCaml Programs (runtime)
libsqlite3-ocaml-dee97
本虛擬套件由這些套件填實: libsqlite3-ocaml
libsqlite3-ocaml-dev (5.0.2-1+b1)
Embeddable SQL Database for OCaml Programs (development)
libsqlite3-ocaml-dev-dee97
本虛擬套件由這些套件填實: libsqlite3-ocaml-dev
libsqlite3-ocaml-dev-g4k53
本虛擬套件由這些套件填實: libsqlite3-ocaml-dev
libsqlite3-ocaml-dev-k23p2
本虛擬套件由這些套件填實: libsqlite3-ocaml-dev
libsqlite3-ocaml-dev-nlyn3
本虛擬套件由這些套件填實: libsqlite3-ocaml-dev
libsqlite3-ocaml-g4k53
本虛擬套件由這些套件填實: libsqlite3-ocaml
libsqlite3-ocaml-k23p2
本虛擬套件由這些套件填實: libsqlite3-ocaml
libsqlite3-ocaml-nlyn3
本虛擬套件由這些套件填實: libsqlite3-ocaml
libsqlite3-tcl (3.34.1-3)
SQLite 3 Tcl bindings
libsqliteodbc (0.9998-2)
ODBC driver for SQLite embedded database
libsqljet-java (1.1.10-2)
Pure Java implementation of SQLite database management system
libsqljet-java-doc (1.1.10-2)
API documentation for libsqljet-java
libsquashfs-dev (1.0.4-1)
New set of tools for working with SquashFS images - development
libsquashfs1 (1.0.4-1)
New set of tools for working with SquashFS images - shared library
libsquashfuse-dev (0.1.103-3)
Development files for libsquashfuse
libsquashfuse0 (0.1.103-3)
FUSE filesystem to mount squashfs archives library
libsquid-dev (1.9g+cvs20050121-12)
biosquid headers and static library for biological sequence analysis
libsquid1 (1.9g+cvs20050121-12)
biosquid dynamic library for biological sequence analysis
libsquirrel-dev (3.1-8)
development files for the Squirrel programming language
libsquirrel3-0 (3.1-8)
runtime libraries for the Squirrel programming language
libsquish-dev (1.15-1+b11)
DXT texture compression library - development files
libsquish0 (1.15-1+b11)
DXT texture compression library
libsquizz (0.99d+dfsg-3)
Conversion libs used by squizz genetic sequence converter
libsquizz-dev (0.99d+dfsg-3)
development tools for squizz genetic sequence converter
libsratom-0-0 (0.6.8-1)
library for serialising LV2 atoms to/from Turtle
libsratom-dev (0.6.8-1)
library for serialising LV2 atoms to/from Turtle - development files
libsrecord-dev (1.64-2)
library for manipulating EPROM load files (development)
libsrecord0 (1.64-2)
library for manipulating EPROM load files (runtime)
libsrf-dev (0.1+dfsg-7)
C++ implementation of the SRF format for DNA sequence data
libsrt-doc (1.4.2-1.3)
Secure Reliable Transport UDP streaming library
libsrt-gnutls-dev (1.4.2-1.3)
Secure Reliable Transport UDP streaming library
libsrt-openssl-dev (1.4.2-1.3)
Secure Reliable Transport UDP streaming library
libsrt1.4-gnutls (1.4.2-1.3)
Secure Reliable Transport UDP streaming library (GnuTLS flavour)
libsrt1.4-openssl (1.4.2-1.3)
Secure Reliable Transport UDP streaming library (OpenSSL flavour)
libsrtp2-1 (2.3.0-5)
Secure RTP (SRTP) and UST Reference Implementations - shared library
libsrtp2-1-dbg (2.3.0-5)
Secure RTP (SRTP) and UST Reference Implementations - debugging symbols
libsrtp2-dev (2.3.0-5)
Secure RTP (SRTP) and UST Reference Implementations - development files
libsrtp2-docs (2.3.0-5)
Secure RTP (SRTP) and UST Reference Implementations - documentation
libsru-perl (1.01-2.1)
framework for Search and Retrieval by URL
libss2 (1.46.2-2)
command-line interface parsing library
libss7-2.0 (2.0.0-3)
Signalling System 7 (ss7) library
libss7-dev (2.0.0-3)
Signalling System 7 (ss7) development files
libsscm-dev (0.9.1-1)
Development library for sigscheme Scheme interpreter
libsscm3 (0.9.1-1)
Shared library for sigscheme Scheme interpreter
libssh-4 (0.9.8-0+deb11u1)
tiny C SSH library (OpenSSL flavor)
libssh-dev (0.9.8-0+deb11u1)
tiny C SSH library - Development files (OpenSSL flavor)
libssh-doc (0.9.8-0+deb11u1)
tiny C SSH library - Documentation files
libssh-gcrypt-4 (0.9.8-0+deb11u1)
tiny C SSH library (gcrypt flavor)
libssh-gcrypt-dev (0.9.8-0+deb11u1)
tiny C SSH library - Development files (gcrypt flavor)
libssh2-1 (1.9.0-2)
SSH2 client-side library
libssh2-1-dev (1.9.0-2)
SSH2 client-side library (development headers)
libssl-dev (1.1.1w-0+deb11u1)
Secure Sockets Layer toolkit - development files
libssl-doc (1.1.1w-0+deb11u1)
Secure Sockets Layer toolkit - development documentation
libssl-ocaml (0.5.9-2+b1)
OCaml bindings for OpenSSL (runtime)
libssl-ocaml-2r5i1
本虛擬套件由這些套件填實: libssl-ocaml
libssl-ocaml-97237
本虛擬套件由這些套件填實: libssl-ocaml
libssl-ocaml-dev (0.5.9-2+b1)
OCaml bindings for OpenSSL
libssl-ocaml-dev-2r5i1
本虛擬套件由這些套件填實: libssl-ocaml-dev
libssl-ocaml-dev-97237
本虛擬套件由這些套件填實: libssl-ocaml-dev
libssl-ocaml-dev-fc596
本虛擬套件由這些套件填實: libssl-ocaml-dev
libssl-ocaml-dev-h4gq8
本虛擬套件由這些套件填實: libssl-ocaml-dev
libssl-ocaml-fc596
本虛擬套件由這些套件填實: libssl-ocaml
libssl-ocaml-h4gq8
本虛擬套件由這些套件填實: libssl-ocaml
libssl-utils-clojure (3.1.0-4)
library for SSL certificate management on the JVM
libssl1.1 (1.1.1w-0+deb11u1)
Secure Sockets Layer toolkit - shared libraries
libssl1.1-udeb (1.1.1w-0+deb11u1)
ssl shared library - udeb
libssm-bin (1.4.0-2)
macromolecular superposition library - binaries
libssm-dev (1.4.0-2)
macromolecular superposition library - development files
libssm2 (1.4.0-2)
macromolecular superposition library - runtime
libssreflect-coq (1.12.0-1)
Mathematical Components library for Coq
libsss-certmap-dev (2.4.1-2)
Certificate mapping library for SSSD -- development files
libsss-certmap0 (2.4.1-2)
Certificate mapping library for SSSD
libsss-idmap-dev (2.4.1-2)
ID mapping library for SSSD -- development files
libsss-idmap0 (2.4.1-2)
ID mapping library for SSSD
libsss-nss-idmap-dev (2.4.1-2)
SID based lookups library for SSSD -- development files
libsss-nss-idmap0 (2.4.1-2)
SID based lookups library for SSSD
libsss-simpleifp-dev (2.4.1-2)
SSSD D-Bus responder helper library -- development files
libsss-simpleifp0 (2.4.1-2)
SSSD D-Bus responder helper library
libsss-sudo (2.4.1-2)
Communicator library for sudo
libssw-dev (1.1-13)
Development headers and static libraries for libssw
libssw-dev
本虛擬套件由這些套件填實: libssw-dev
libssw-java (1.1-13)
Java bindings for libssw
libssw0 (1.1-13)
fast SIMD parallelized implementation of the Smith-Waterman algorithm
libst-dev (1.9-3.2)
State Threads Library - Development files
libst1 (1.9-3.2)
State Threads Library
libstaden-read-dev (1.14.13-4)
development files for libstaden-read
libstaden-read14 (1.14.13-4)
Staden library for reading and writing DNA sequencing results
libstar-parser-perl (0.59-4) [non-free]
STAR compliant data file parser for Perl
libstarlink-ast-dev (9.2.3+dfsg-1)
Handle World Coordinate Systems in Astronomy (development package)
libstarlink-ast-doc (9.2.3+dfsg-1)
Handle World Coordinate Systems in Astronomy (documentation)
libstarlink-ast-err9 (9.2.3+dfsg-1)
Handle World Coordinate Systems in Astronomy (error reporting)
libstarlink-ast-grf3d9 (9.2.3+dfsg-1)
Handle World Coordinate Systems in Astronomy (dummy 3d routines)
libstarlink-ast9 (9.2.3+dfsg-1)
Handle World Coordinate Systems in Astronomy (main shared lib)
libstarlink-pal-dev (0.9.8-1)
Positional Astronomy Library (development files)
libstarlink-pal-doc (0.9.8-1)
Positional Astronomy Library (documentation)
libstarlink-pal0 (0.9.8-1)
Positional Astronomy Library (shared library)
libstaroffice-0.0-0 (0.0.7-1)
Import filter library to import all StarOffice documents
libstaroffice-dev (0.0.7-1)
Import filter library to import all StarOffice documents -- development
libstaroffice-doc (0.0.7-1)
Import filter library to import all StarOffice documents -- documentation
libstaroffice-tools (0.0.7-1)
Import filter library to import all StarOffice documents -- tools
libstarpu-1.3-5 (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines
libstarpu-any-1.3-5
本虛擬套件由這些套件填實: libstarpu-1.3-5, libstarpu-contrib-1.3-5
libstarpu-any-dev
本虛擬套件由這些套件填實: libstarpu-dev, libstarpu-contrib-dev
libstarpu-anyfft-1.3-2
本虛擬套件由這些套件填實: libstarpu-contribfft-1.3-2, libstarpufft-1.3-2
libstarpu-anympi-1.3-3
本虛擬套件由這些套件填實: libstarpu-contribmpi-1.3-3, libstarpumpi-1.3-3
libstarpu-anyrm-1.3-1
本虛擬套件由這些套件填實: libstarpurm-1.3-1, libstarpu-contribrm-1.3-1
libstarpu-contrib-1.3-5 (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines
libstarpu-contrib-dev (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines - dev
libstarpu-contribfft-1.3-2 (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines
libstarpu-contribmpi-1.3-3 (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines
libstarpu-contribrm-1.3-1 (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines
libstarpu-dev (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines - dev
libstarpufft-1.3-2 (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines
libstarpumpi-1.3-3 (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines
libstarpurm-1.3-1 (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines
libstartup-notification0 (0.12-6+b1)
library for program launch feedback (shared library)
libstartup-notification0-dev (0.12-6+b1)
library for program launch feedback (development headers)
libstat-lsmode-perl (0.50-7.1)
Perl module to format file modes like ls -l
libstatgen-dev (1.0.14-7)
development files for the libStatGen
libstatgen-doc (1.0.14-7)
documentation files for the libStatGen
libstatgen0 (1.0.14-7)
processing and analyzing next generation sequencing and genotyping data library
libstatgrab-dev (0.92-2)
development files of library for system statistics
libstatgrab10 (0.92-2)
library being useful interface to system statistics
libstatistics-basic-perl (1.6611-1.1)
collection of very basic statistics modules
libstatistics-contingency-perl (0.09-1.1)
module to calculate precision, recall, F1, accuracy, etc.
libstatistics-descriptive-perl (3.0800-1)
Perl module for basic descriptive statistical functions
libstatistics-distributions-perl (1.02-1.1)
module for calculating some values of common statistical distributions
libstatistics-linefit-perl (0.07-2)
least squares line fit module for Perl
libstatistics-lite-perl (3.62-1.1)
Perl module for simple statistical analysis
libstatistics-normality-perl (0.01-1.1)
module for testing normal distribution of data
libstatistics-online-perl (0.02-2.1)
module to perform statistic operations on large datasets
libstatistics-pca-perl (0.0.1-2)
perl module for principal component analysis (PCA)
libstatistics-r-perl (0.24-1.1)
Control the R interpreter with perl
libstatistics-test-randomwalk-perl (0.02-2.1)
module to perform a Random Walk statistical test
libstatistics-test-sequence-perl (0.01-2)
Perl module that tests correlation of random numbers
libstatistics-welford-perl (0.02-1.1)
Standard statistics using Welford's algorithm
libstax-ex-java (1.7.8-3)
Extended StAX API
libstax-java (1.2.0-4)
StAX Reference Implementation (RI)
libstax-java-doc (1.2.0-4)
Javadoc API for libstax-java
libstax2-api-java (4.1-1)
Extension to StAX API for parsing XML documents
libstb-dev (0.0~git20200713.b42009b+ds-1)
single-file image and audio processing libraries for C/C++ - development headers
libstb0 (0.0~git20200713.b42009b+ds-1)
single-file image and audio processing libraries for C/C++
libstd-msgs-dev (0.5.13-2)
C/C++ headers for Standard Robot OS Messages
libstd-rust-1.48 (1.48.0+dfsg1-2)
Rust standard libraries
libstd-rust-dev (1.48.0+dfsg1-2)
Rust standard libraries - development files
libstd-rust-dev-wasm32 (1.48.0+dfsg1-2)
Rust standard libraries - development files
libstd-rust-dev-windows (1.48.0+dfsg1-2)
Rust standard libraries - development files
libstd-rust-mozilla-1.63 (1.63.0+dfsg1-2~deb11u1)
Rust standard libraries
libstd-rust-mozilla-dev (1.63.0+dfsg1-2~deb11u1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.51.0+dfsg1-1~deb11u1 [armel])
Rust standard libraries - development files
libstd-rust-mozilla-dev-windows (1.63.0+dfsg1-2~deb11u1)
Rust standard libraries - development files
libstd-srvs-dev (1.11.3-2)
Robot OS Common service definitions
libstdc++-10-dev (10.2.1-6)
GNU Standard C++ Library v3 (development files)
libstdc++-10-dev-alpha-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (alpha)
libstdc++-10-dev-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (amd64)
libstdc++-10-dev-arm64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (arm64)
libstdc++-10-dev-armel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (armel)
libstdc++-10-dev-armhf-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (armhf)
libstdc++-10-dev-hppa-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (hppa)
libstdc++-10-dev-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (i386)
libstdc++-10-dev-m68k-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (m68k)
libstdc++-10-dev-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips)
libstdc++-10-dev-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64)
libstdc++-10-dev-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64el)
libstdc++-10-dev-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64r6)
libstdc++-10-dev-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mips64r6el)
libstdc++-10-dev-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsel)
libstdc++-10-dev-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsr6)
libstdc++-10-dev-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (mipsr6el)
libstdc++-10-dev-powerpc-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (powerpc)
libstdc++-10-dev-ppc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (ppc64)
libstdc++-10-dev-ppc64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (ppc64el)
libstdc++-10-dev-riscv64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (riscv64)
libstdc++-10-dev-s390x-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (s390x)
libstdc++-10-dev-sh4-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (sh4)
libstdc++-10-dev-sparc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (sparc64)
libstdc++-10-dev-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (x32)
libstdc++-10-doc (10.2.1-6)
GNU Standard C++ Library v3 (documentation files)
libstdc++-10-pic (10.2.1-6)
GNU Standard C++ Library v3 (shared library subset kit)
libstdc++-10-pic-alpha-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (alpha)
libstdc++-10-pic-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (amd64)
libstdc++-10-pic-arm64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (arm64)
libstdc++-10-pic-armel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (armel)
libstdc++-10-pic-armhf-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (armhf)
libstdc++-10-pic-hppa-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (hppa)
libstdc++-10-pic-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (i386)
libstdc++-10-pic-m68k-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (m68k)
libstdc++-10-pic-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mips)
libstdc++-10-pic-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mips64)
libstdc++-10-pic-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mips64el)
libstdc++-10-pic-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mips64r6)
libstdc++-10-pic-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mips64r6el)
libstdc++-10-pic-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mipsel)
libstdc++-10-pic-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mipsr6)
libstdc++-10-pic-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (mipsr6el)
libstdc++-10-pic-powerpc-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (powerpc)
libstdc++-10-pic-ppc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (ppc64)
libstdc++-10-pic-ppc64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (ppc64el)
libstdc++-10-pic-riscv64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (riscv64)
libstdc++-10-pic-s390x-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (s390x)
libstdc++-10-pic-sh4-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (sh4)
libstdc++-10-pic-sparc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (sparc64)
libstdc++-10-pic-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (shared library subset kit) (x32)
libstdc++-9-dev (9.3.0-22)
GNU Standard C++ Library v3 (development files)
libstdc++-9-dev-alpha-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (alpha)
libstdc++-9-dev-amd64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (amd64)
libstdc++-9-dev-arm64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (arm64)
libstdc++-9-dev-armel-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (armel)
libstdc++-9-dev-armhf-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (armhf)
libstdc++-9-dev-hppa-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (hppa)
libstdc++-9-dev-i386-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (i386)
libstdc++-9-dev-m68k-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (m68k)
libstdc++-9-dev-powerpc-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (powerpc)
libstdc++-9-dev-ppc64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (ppc64)
libstdc++-9-dev-ppc64el-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (ppc64el)
libstdc++-9-dev-riscv64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (riscv64)
libstdc++-9-dev-s390x-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (s390x)
libstdc++-9-dev-sh4-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (sh4)
libstdc++-9-dev-sparc64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (sparc64)
libstdc++-9-dev-x32-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (x32)
libstdc++-9-doc (9.3.0-22)
GNU Standard C++ Library v3 (documentation files)
libstdc++-9-pic (9.3.0-22)
GNU Standard C++ Library v3 (shared library subset kit)
libstdc++-9-pic-alpha-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (alpha)
libstdc++-9-pic-amd64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (amd64)
libstdc++-9-pic-arm64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (arm64)
libstdc++-9-pic-armel-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (armel)
libstdc++-9-pic-armhf-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (armhf)
libstdc++-9-pic-hppa-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (hppa)
libstdc++-9-pic-i386-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (i386)
libstdc++-9-pic-m68k-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (m68k)
libstdc++-9-pic-powerpc-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (powerpc)
libstdc++-9-pic-ppc64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (ppc64)
libstdc++-9-pic-ppc64el-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (ppc64el)
libstdc++-9-pic-riscv64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (riscv64)
libstdc++-9-pic-s390x-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (s390x)
libstdc++-9-pic-sh4-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (sh4)
libstdc++-9-pic-sparc64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (sparc64)
libstdc++-9-pic-x32-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (shared library subset kit) (x32)
libstdc++-arm-none-eabi-newlib (15:8-2019-q3-1+13)
GNU Standard C++ Library v3 for ARM Cortex-R/M processors (newlib)
libstdc++-dev
本虛擬套件由這些套件填實: libstdc++-10-dev, libstdc++-9-dev
libstdc++-dev-alpha-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-alpha-cross, libstdc++-10-dev-alpha-cross
libstdc++-dev-alpha-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-alpha-cross, libstdc++-9-dev-alpha-cross
libstdc++-dev-amd64-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-amd64-cross, libstdc++-9-dev-amd64-cross
libstdc++-dev-amd64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-amd64-cross, libstdc++-9-dev-amd64-cross
libstdc++-dev-arm64-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-arm64-cross, libstdc++-10-dev-arm64-cross
libstdc++-dev-arm64-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-arm64-cross, libstdc++-10-dev-arm64-cross
libstdc++-dev-armel-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-armel-cross, libstdc++-10-dev-armel-cross
libstdc++-dev-armel-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-armel-cross, libstdc++-9-dev-armel-cross
libstdc++-dev-armhf-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-armhf-cross, libstdc++-10-dev-armhf-cross
libstdc++-dev-armhf-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-armhf-cross, libstdc++-10-dev-armhf-cross
libstdc++-dev-hppa-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-hppa-cross, libstdc++-10-dev-hppa-cross
libstdc++-dev-hppa-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-hppa-cross, libstdc++-9-dev-hppa-cross
libstdc++-dev-i386-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-i386-cross, libstdc++-10-dev-i386-cross
libstdc++-dev-i386-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-i386-cross, libstdc++-10-dev-i386-cross
libstdc++-dev-m68k-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-m68k-cross, libstdc++-9-dev-m68k-cross
libstdc++-dev-m68k-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-m68k-cross, libstdc++-9-dev-m68k-cross
libstdc++-dev-mips-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mips-cross
libstdc++-dev-mips-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mips-cross
libstdc++-dev-mips64-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64-cross
libstdc++-dev-mips64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64-cross
libstdc++-dev-mips64el-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64el-cross
libstdc++-dev-mips64el-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64el-cross
libstdc++-dev-mips64r6-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64r6-cross
libstdc++-dev-mips64r6-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64r6-cross
libstdc++-dev-mips64r6el-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64r6el-cross
libstdc++-dev-mips64r6el-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mips64r6el-cross
libstdc++-dev-mipsel-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mipsel-cross
libstdc++-dev-mipsel-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mipsel-cross
libstdc++-dev-mipsr6-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mipsr6-cross
libstdc++-dev-mipsr6-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mipsr6-cross
libstdc++-dev-mipsr6el-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-mipsr6el-cross
libstdc++-dev-mipsr6el-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-mipsr6el-cross
libstdc++-dev-powerpc-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-powerpc-cross, libstdc++-10-dev-powerpc-cross
libstdc++-dev-powerpc-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-powerpc-cross, libstdc++-9-dev-powerpc-cross
libstdc++-dev-ppc64-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-ppc64-cross, libstdc++-10-dev-ppc64-cross
libstdc++-dev-ppc64-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-ppc64-cross, libstdc++-10-dev-ppc64-cross
libstdc++-dev-ppc64el-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-ppc64el-cross, libstdc++-9-dev-ppc64el-cross
libstdc++-dev-ppc64el-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-ppc64el-cross, libstdc++-10-dev-ppc64el-cross
libstdc++-dev-riscv64-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-riscv64-cross, libstdc++-9-dev-riscv64-cross
libstdc++-dev-riscv64-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-riscv64-cross, libstdc++-10-dev-riscv64-cross
libstdc++-dev-s390x-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-s390x-cross, libstdc++-9-dev-s390x-cross
libstdc++-dev-s390x-dcv1
本虛擬套件由這些套件填實: libstdc++-9-dev-s390x-cross, libstdc++-10-dev-s390x-cross
libstdc++-dev-sh4-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-sh4-cross, libstdc++-10-dev-sh4-cross
libstdc++-dev-sh4-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-sh4-cross, libstdc++-9-dev-sh4-cross
libstdc++-dev-sparc64-cross
本虛擬套件由這些套件填實: libstdc++-9-dev-sparc64-cross, libstdc++-10-dev-sparc64-cross
libstdc++-dev-sparc64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-sparc64-cross, libstdc++-9-dev-sparc64-cross
libstdc++-dev-x32-cross
本虛擬套件由這些套件填實: libstdc++-10-dev-x32-cross, libstdc++-9-dev-x32-cross
libstdc++-dev-x32-dcv1
本虛擬套件由這些套件填實: libstdc++-10-dev-x32-cross, libstdc++-9-dev-x32-cross
libstdc++-pic-alpha-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-alpha-cross, libstdc++-9-pic-alpha-cross
libstdc++-pic-amd64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-amd64-cross, libstdc++-9-pic-amd64-cross
libstdc++-pic-arm64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-arm64-cross, libstdc++-9-pic-arm64-cross
libstdc++-pic-armel-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-armel-cross, libstdc++-10-pic-armel-cross
libstdc++-pic-armhf-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-armhf-cross, libstdc++-10-pic-armhf-cross
libstdc++-pic-hppa-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-hppa-cross, libstdc++-10-pic-hppa-cross
libstdc++-pic-i386-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-i386-cross, libstdc++-10-pic-i386-cross
libstdc++-pic-m68k-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-m68k-cross, libstdc++-10-pic-m68k-cross
libstdc++-pic-mips-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mips-cross
libstdc++-pic-mips64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mips64-cross
libstdc++-pic-mips64el-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mips64el-cross
libstdc++-pic-mips64r6-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mips64r6-cross
libstdc++-pic-mips64r6el-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mips64r6el-cross
libstdc++-pic-mipsel-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mipsel-cross
libstdc++-pic-mipsr6-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mipsr6-cross
libstdc++-pic-mipsr6el-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-mipsr6el-cross
libstdc++-pic-powerpc-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-powerpc-cross, libstdc++-9-pic-powerpc-cross
libstdc++-pic-ppc64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-ppc64-cross, libstdc++-9-pic-ppc64-cross
libstdc++-pic-ppc64el-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-ppc64el-cross, libstdc++-10-pic-ppc64el-cross
libstdc++-pic-riscv64-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-riscv64-cross, libstdc++-10-pic-riscv64-cross
libstdc++-pic-s390x-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-s390x-cross, libstdc++-10-pic-s390x-cross
libstdc++-pic-sh4-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-sh4-cross, libstdc++-10-pic-sh4-cross
libstdc++-pic-sparc64-dcv1
本虛擬套件由這些套件填實: libstdc++-10-pic-sparc64-cross, libstdc++-9-pic-sparc64-cross
libstdc++-pic-x32-dcv1
本虛擬套件由這些套件填實: libstdc++-9-pic-x32-cross, libstdc++-10-pic-x32-cross
libstdc++5 (1:3.3.6-32)
The GNU Standard C++ Library v3
libstdc++6 (10.2.1-6)
GNU Standard C++ Library v3
libstdc++6-10-dbg (10.2.1-6)
GNU Standard C++ Library v3 (debug build)
libstdc++6-10-dbg-armel
本虛擬套件由這些套件填實: libstdc++6-10-dbg
libstdc++6-10-dbg-armhf
本虛擬套件由這些套件填實: libstdc++6-10-dbg
libstdc++6-9-dbg (9.3.0-22)
GNU Standard C++ Library v3 (debug build)
libstdc++6-9-dbg-armel
本虛擬套件由這些套件填實: libstdc++6-9-dbg
libstdc++6-9-dbg-armhf
本虛擬套件由這些套件填實: libstdc++6-9-dbg
libstdc++6-alpha-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (alpha)
libstdc++6-alpha-dcv1
本虛擬套件由這些套件填實: libstdc++6-alpha-cross
libstdc++6-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (amd64)
libstdc++6-amd64-dcv1
本虛擬套件由這些套件填實: libstdc++6-amd64-cross
libstdc++6-arm64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (arm64)
libstdc++6-arm64-dcv1
本虛擬套件由這些套件填實: libstdc++6-arm64-cross
libstdc++6-armel
本虛擬套件由這些套件填實: libstdc++6
libstdc++6-armel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (armel)
libstdc++6-armel-dcv1
本虛擬套件由這些套件填實: libstdc++6-armel-cross
libstdc++6-armhf
本虛擬套件由這些套件填實: libstdc++6
libstdc++6-armhf-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (armhf)
libstdc++6-armhf-dcv1
本虛擬套件由這些套件填實: libstdc++6-armhf-cross
libstdc++6-hppa-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (hppa)
libstdc++6-hppa-dcv1
本虛擬套件由這些套件填實: libstdc++6-hppa-cross
libstdc++6-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (i386)
libstdc++6-i386-dcv1
本虛擬套件由這些套件填實: libstdc++6-i386-cross
libstdc++6-m68k-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (m68k)
libstdc++6-m68k-dcv1
本虛擬套件由這些套件填實: libstdc++6-m68k-cross
libstdc++6-mips-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips)
libstdc++6-mips-dcv1
本虛擬套件由這些套件填實: libstdc++6-mips-cross
libstdc++6-mips64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64)
libstdc++6-mips64-dcv1
本虛擬套件由這些套件填實: libstdc++6-mips64-cross
libstdc++6-mips64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64el)
libstdc++6-mips64el-dcv1
本虛擬套件由這些套件填實: libstdc++6-mips64el-cross
libstdc++6-mips64r6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64r6)
libstdc++6-mips64r6-dcv1
本虛擬套件由這些套件填實: libstdc++6-mips64r6-cross
libstdc++6-mips64r6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mips64r6el)
libstdc++6-mips64r6el-dcv1
本虛擬套件由這些套件填實: libstdc++6-mips64r6el-cross
libstdc++6-mipsel-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsel)
libstdc++6-mipsel-dcv1
本虛擬套件由這些套件填實: libstdc++6-mipsel-cross
libstdc++6-mipsr6-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsr6)
libstdc++6-mipsr6-dcv1
本虛擬套件由這些套件填實: libstdc++6-mipsr6-cross
libstdc++6-mipsr6el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (mipsr6el)
libstdc++6-mipsr6el-dcv1
本虛擬套件由這些套件填實: libstdc++6-mipsr6el-cross
libstdc++6-powerpc-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (powerpc)
libstdc++6-powerpc-dcv1
本虛擬套件由這些套件填實: libstdc++6-powerpc-cross
libstdc++6-ppc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (ppc64)
libstdc++6-ppc64-dcv1
本虛擬套件由這些套件填實: libstdc++6-ppc64-cross
libstdc++6-ppc64el-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (ppc64el)
libstdc++6-ppc64el-dcv1
本虛擬套件由這些套件填實: libstdc++6-ppc64el-cross
libstdc++6-riscv64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (riscv64)
libstdc++6-riscv64-dcv1
本虛擬套件由這些套件填實: libstdc++6-riscv64-cross
libstdc++6-s390x-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (s390x)
libstdc++6-s390x-dcv1
本虛擬套件由這些套件填實: libstdc++6-s390x-cross
libstdc++6-sh4-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (sh4)
libstdc++6-sh4-dcv1
本虛擬套件由這些套件填實: libstdc++6-sh4-cross
libstdc++6-sparc64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (sparc64)
libstdc++6-sparc64-dcv1
本虛擬套件由這些套件填實: libstdc++6-sparc64-cross
libstdc++6-x32-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (x32)
libstdc++6-x32-dcv1
本虛擬套件由這些套件填實: libstdc++6-x32-cross
libstdcompat-ocaml (14-2+b1)
compatibility module for OCaml standard library (runtime)
libstdcompat-ocaml-bo8h9
本虛擬套件由這些套件填實: libstdcompat-ocaml
libstdcompat-ocaml-dev (14-2+b1)
compatibility module for OCaml standard library (development)
libstdcompat-ocaml-dev-bo8h9
本虛擬套件由這些套件填實: libstdcompat-ocaml-dev
libstdcompat-ocaml-dev-f6ni7
本虛擬套件由這些套件填實: libstdcompat-ocaml-dev
libstdcompat-ocaml-dev-poq24
本虛擬套件由這些套件填實: libstdcompat-ocaml-dev
libstdcompat-ocaml-dev-t6st0
本虛擬套件由這些套件填實: libstdcompat-ocaml-dev
libstdcompat-ocaml-f6ni7
本虛擬套件由這些套件填實: libstdcompat-ocaml
libstdcompat-ocaml-poq24
本虛擬套件由這些套件填實: libstdcompat-ocaml
libstdcompat-ocaml-t6st0
本虛擬套件由這些套件填實: libstdcompat-ocaml
libstdio-ocaml (0.14.0-1+b1)
standard IO library for OCaml (runtime)
libstdio-ocaml-7hux3
本虛擬套件由這些套件填實: libstdio-ocaml
libstdio-ocaml-dev (0.14.0-1+b1)
standard IO library for OCaml (development)
libstdio-ocaml-dev-7hux3
本虛擬套件由這些套件填實: libstdio-ocaml-dev
libstdio-ocaml-dev-f5fy8
本虛擬套件由這些套件填實: libstdio-ocaml-dev
libstdio-ocaml-dev-u2mw4
本虛擬套件由這些套件填實: libstdio-ocaml-dev
libstdio-ocaml-dev-yfbb5
本虛擬套件由這些套件填實: libstdio-ocaml-dev
libstdio-ocaml-f5fy8
本虛擬套件由這些套件填實: libstdio-ocaml
libstdio-ocaml-u2mw4
本虛擬套件由這些套件填實: libstdio-ocaml
libstdio-ocaml-yfbb5
本虛擬套件由這些套件填實: libstdio-ocaml
libstdlib-shims-ocaml
本虛擬套件由這些套件填實: ocaml-base-nox
libstdlib-shims-ocaml-dev
本虛擬套件由這些套件填實: ocaml-nox
libstdx-allocator-dev (3.1.0~beta.2-3+b4 [amd64, arm64, i386], 3.1.0~beta.2-3+b3 [armel, armhf, s390x])
High-level interface for allocators for D -- development files
libstdx-allocator0 (3.1.0~beta.2-3+b4 [amd64, arm64, i386], 3.1.0~beta.2-3+b3 [armel, armhf, s390x])
High-level interface for allocators for D
libstemmer-dev (2.1.0-1)
Snowball stemming algorithms, development kit
libstemmer-tools (2.1.0-1)
Simple word stemming utility using Snowball
libstemmer0d (2.1.0-1)
Snowball stemming algorithms for use in Information Retrieval
libstencil-clojure (0.5.0-2)
Fast, compliant implementation of Mustache in Clojure
libsteptalk-dev (0.10.0-6+b8)
GNUstep Scripting Framework (development files)
libsteptalk0 (0.10.0-6+b8)
GNUstep Scripting Framework (library files)
libstereo-image-proc-dev (1.15.2-4+b1)
Robot OS stereo-image-proc - development
libstereo-image-proc0d (1.15.2-4+b1)
Robot OS stereo-image-proc package
libstereo-msgs-dev (1.13.0-2)
Messages relating to Robot OS stereo, C/C++ interface
libstfl-dev (0.22-2+b4)
development files for libstfl
libstfl-perl (0.22-2+b4)
Perl bindings for the structured terminal forms language/library
libstfl-ruby (0.22-2+b4)
Ruby bindings for the structured terminal forms language/library
libstfl-ruby1.8 (0.22-2+b4)
Ruby 1.8 bindings for the structured terminal forms language/library
libstfl-ruby1.9.1 (0.22-2+b4)
Ruby 1.9.1 bindings for the structured terminal forms language/library
libstfl0 (0.22-2+b4)
structured terminal forms language/library
libstk-4.6.1 (4.6.1+dfsg-3+b1)
Sound Synthesis Toolkit
libstk-dev (4.6.1+dfsg-3+b1)
Sound Synthesis Toolkit (development files)
libstk0-dev (4.6.1+dfsg-3+b1)
transitional package
libstlink-dev (1.6.1+ds-3)
OpenSource ST-Link tools replacement.
libstlink1 (1.6.1+ds-3)
OpenSource ST-Link tools replacement.
libstockpile-clojure (0.0.4-1.1)
Simple, durable Java queuing library
libstoken-dev (0.92-1)
Software Token for cryptographic authentication - development files
libstoken1 (0.92-1)
Software Token for cryptographic authentication - shared library
libstonith1 (1.0.12-20)
Reusable cluster libraries -- libstonith1
libstonith1-dev (1.0.12-20)
Reusable cluster development files -- libstonith1
libstonithd26 (2.0.5-2)
cluster resource manager STONITH daemon library
libstorable-perl (= 3.21)
本虛擬套件由這些套件填實: perl
libstore-opaque-perl (0.03-1+b11 [s390x], 0.03-1+b9 [amd64, armel, armhf, i386, mipsel], 0.03-1+b8 [arm64], 0.03-1+b7 [mips64el, ppc64el])
module for opaque objects to prevent accidental leaking
libstorm-dev (9.22-1)
Library for accessing the MPQ archives (development files)
libstorm9 (9.22-1)
Library for accessing the MPQ archives
libstream-buffered-perl (0.03-1.1)
temporary buffer to store strings in a seekable filehandle
libstream-java (2.9.8-2)
library for summarizing data in streams
libstreambuffer-java (1.5.4-1.1)
XML Stream Buffer
libstreamex-java (0.6.7-2)
Enhancing Java 8 Streams
libstrictures-perl (2.000006-1)
Perl module to turn on strict and make all warnings fatal
libstring-approx-perl (3.28-1+b3)
Perl extension for approximate matching (fuzzy matching)
libstring-bufferstack-perl (1.16-1.1)
framework for storing nested buffers
libstring-camelcase-perl (0.04-1)
Perl module to convert strings to and from CamelCase
libstring-compare-constanttime-perl (0.321-1+b2)
module for protecting string comparison from timing attacks
libstring-copyright-perl (0.003006-1)
representation of text-based copyright statements
libstring-crc-cksum-perl (0.91-1.1)
Perl extension for calculating cksum-compatible checksums
libstring-crc32-perl (2-1)
Perl interface for cyclic redundancy check generation
libstring-diff-perl (0.07-2)
simple diff for strings
libstring-dirify-perl (1.03-1.1)
utility converting string to a safe format
libstring-elide-parts-perl (0.07-2)
module for eliding strings with multiple parts of different priorities
libstring-errf-perl (0.008-1.1)
Perl module that provides a simple sprintf-like dialect
libstring-escape-perl (2010.002-2)
module for manipulating escaped strings
libstring-expand-perl (0.04-3)
string utility functions for expanding variables in self-referential sets
libstring-flogger-perl (1.101245-2)
module to munge strings for loggers
libstring-format-perl (1.18-1)
module for flexible printf-like string formatting
libstring-formatter-perl (0.102084-1.1)
module to build sprintf-like formatting routines
libstring-glob-permute-perl (0.01-3)
Expand {foo,bar,baz}[2-4] style string globs
libstring-interpolate-perl (0.33-1)
wrapper for the builtin Perl interpolation engine
libstring-koremutake-perl (0.30-5.1)
Convert to/from Koremutake Memorable Random Strings
libstring-mkpasswd-perl (0.05-1.1)
Perl module implementing a random password generator
libstring-parity-perl (1.34-1)
Perl library for parity functions
libstring-print-perl (0.94-1)
module providing (s)printf alternatives
libstring-random-perl (1:0.31-1)
Perl module for generating random strings
libstring-rewriteprefix-perl (0.008-1)
module to rewrite strings based on a set of known prefixes
libstring-scanf-perl (2.1-1.1)
module to implement C-like sscanf() in Perl
libstring-shellquote-perl (1.04-1)
module to quote strings for passing through the shell
libstring-similarity-perl (1.04-2+b3)
Perl module for calculating the similarity of two strings
libstring-tagged-perl (0.16-1)
string buffers with value tags on extents
libstring-template-maven-plugin-java (1.1-1.1)
StringTemplate Maven Plugin
libstring-toidentifier-en-perl (0.12-1)
module to convert strings to English program identifiers
libstring-tokenizer-perl (0.06-1)
simple string tokenizer
libstring-trim-more-perl (0.03-1.1)
Perl module with various string trimming utilities
libstring-trim-perl (0.005-1.1)
trim whitespace from your strings
libstring-truncate-perl (1.100602-1.1)
Perl module to truncate long strings
libstring-tt-perl (0.3-2)
Perl module to use TT to interpolate lexical variables
libstringtemplate-java (3.2.1-3)
StringTemplate templating engine for Java
libstringtemplate4-java (4.0.8-2.1)
StringTemplate templating engine for Java
libstroke0 (0.5.1-9)
mouse strokes library -- runtime files
libstroke0-dev (0.5.1-9)
mouse strokes library -- development files
libstrongswan (5.9.1-1+deb11u4)
strongSwan utility and crypto library
libstrongswan-extra-plugins (5.9.1-1+deb11u4)
strongSwan utility and crypto library (extra plugins)
libstrongswan-standard-plugins (5.9.1-1+deb11u4)
strongSwan utility and crypto library (standard plugins)
libstrophe-dev (0.10.1-1)
Library for writing XMPP clients - development files
libstrophe0 (0.10.1-1)
Library for writing XMPP clients - shared library
libstropt-dev (0.1.2-1)
parse options from a string (supports quotation, arguments - devel)
libstropt0 (0.1.2-1)
parse options from a string (supports quotation, arguments - library)
libstruct-compare-perl (1.0.1-4.1)
Perl module providing recursive diff for perl structures
libstruct-dumb-perl (0.12-1)
module to create simple lightweight record-like structures
libstxxl-dev (1.4.1-3)
Development libraries for STXXL
libstxxl-doc (1.4.1-3)
Documentation for STXXL
libstxxl1-bin (1.4.1-3)
STXXL File creation and benchmark tool
libstxxl1v5 (1.4.1-3)
C++ Standard Template Library for extra large datasets
libstylebook-java (1.0~b3~svn20061109-7)
XML application for authoring web site content
libsub-delete-perl (1.00002-2.1)
Perl module to delete subroutines
libsub-exporter-formethods-perl (0.100052-1)
Sub::Exporter extension for handling methods
libsub-exporter-globexporter-perl (0.005-1)
module for exporting shared globs
libsub-exporter-perl (0.987-1)
sophisticated exporter for custom-built routines
libsub-exporter-progressive-perl (0.001013-1)
module for using Sub::Exporter only if needed
libsub-handlesvia-perl (0.016-1)
alternative handles_via implementation for Moo, Moose, and more
libsub-identify-perl (0.14-1+b3)
module to retrieve names of code references
libsub-infix-perl (0.004-1.1)
create a fake infix operator
libsub-info-perl (0.002-1.1)
helper module for inspecting subroutines
libsub-install-perl (0.928-1.1)
module for installing subroutines into packages easily
libsub-name-perl (0.26-1+b1)
module for assigning a new name to referenced sub
libsub-override-perl (0.09-2)
Perl module used to temporarily override subroutines
libsub-prototype-perl (0.03-1+b1)
module to set a subroutine's prototype
libsub-quote-perl (2.006006-1)
helper modules for subroutines
libsub-recursive-perl (0.05-1)
Perl module for memory-leak free recursive anonymous subroutines
libsub-uplevel-perl (0.2800-1.1)
module to spoof the Perl call stack
libsub-wrappackages-perl (2.01-1)
module to wrap subroutines in packages
libsublib-cil (0.9-5.1)
CLI library that eases the development of subtitling applications
libsubtitleeditor-dev (0.54.0-5)
subtitleeditor lib - development files
libsubtitleeditor0 (0.54.0-5)
subtitleeditor lib - runtime files
libsubtitles-perl (1.04-2)
utilities to fix subtitles timing
libsubunit-dev (1.4.0-3)
Unit testing protocol - Development headers and static library for libsubunit
libsubunit-diff-perl
本虛擬套件由這些套件填實: libsubunit-perl
libsubunit-perl (1.4.0-3)
perl parser and diff for Subunit streams
libsubunit0 (1.4.0-3)
Unit testing protocol - C/C++ shared library
libsugarext-data (0.118-3)
Sugar Learning Platform - toolkit common files
libsugarext-dev (0.118-3)
Sugar Learning Platform - toolkit development files
libsugarext0 (0.118-3)
Sugar Learning Platform - toolkit runtime library
libsuil-0-0 (0.10.10-1)
library for loading and wrapping LV2 plugin UIs
libsuil-dev (0.10.10-1)
Development files for suil
libsuitesparse-dev (1:5.8.1+dfsg-2)
libraries for sparse matrices computations (development files)
libsuitesparse-doc (1:5.8.1+dfsg-2)
libraries for sparse matrices computations (documentation)
libsuitesparseconfig5 (1:5.8.1+dfsg-2)
configuration routines for all SuiteSparse modules
libsuma-dev (1.0.36-2)
headers and static library for sumatra and sumaclust
libsuma1 (1.0.36-2)
shared library for sumatra and sumaclust
libsundials-arkode3 (4.1.0+dfsg-4)
differential equation solver (SUNDIALS library)
libsundials-cvode4 (4.1.0+dfsg-4)
ordinary differential equation solver (SUNDIALS library)
libsundials-cvodes4 (4.1.0+dfsg-4)
ODE solver with sensistivity analysis (SUNDIALS library)
libsundials-dev (4.1.0+dfsg-4)
Package providing everything for SUNDIALS dev work
libsundials-ida4 (4.1.0+dfsg-4)
differential-algebraic system solver (SUNDIALS library)
libsundials-idas3 (4.1.0+dfsg-4)
IDA solver with sensitivity capabilities (SUNDIALS library)
libsundials-kinsol4 (4.1.0+dfsg-4)
KINSOL solver (SUNDIALS library)
libsundials-nvecparallel-hypre4 (4.1.0+dfsg-4)
HYPRE vector operations library (SUNDIALS library)
libsundials-nvecparallel-mpi4 (4.1.0+dfsg-4)
MPI vector operations library (SUNDIALS library)
libsundials-nvecparallel-openmp4 (4.1.0+dfsg-4)
OpenMP vector operations library (SUNDIALS library)
libsundials-nvecparallel-petsc4 (4.1.0+dfsg-4)
PETSc vector operations library (SUNDIALS library)
libsundials-nvecparallel-pthread4 (4.1.0+dfsg-4)
Pthread vector operations library (SUNDIALS library)
libsundials-nvecserial4 (4.1.0+dfsg-4)
Non-parallel vector operations library (SUNDIALS library)
libsundials-sunlinsol2 (4.1.0+dfsg-4)
differential equation solver (SUNDIALS library)
libsundials-sunmatrix2 (4.1.0+dfsg-4)
differential equation solver (SUNDIALS library)
libsunflow-java (0.07.2.svn396+dfsg-18)
rendering system for photo-realistic image synthesis
libsunflow-java-doc (0.07.2.svn396+dfsg-18)
rendering system for photo-realistic image synthesis (javadoc)
libsunpinyin-dev (3.0.0~rc1+ds1-3+b2)
Simplified Chinese Input Method from SUN (development)
libsunpinyin3v5 (3.0.0~rc1+ds1-3+b2)
Simplified Chinese Input Method from SUN (runtime)
libsuper-csv-java (2.4.0-2)
Super CSV library for Java
libsuper-csv-java-doc (2.4.0-2)
Documentation for Super CSV library for Java
libsuper-perl (1.20141117-1)
control superclass method dispatch
libsuperlu-dev (5.2.2+dfsg1-2)
Direct solution of large, sparse systems of linear equations
libsuperlu-dist-dev (6.2.0+dfsg1-3)
Highly distributed solution of sparse linear equations
libsuperlu-dist6 (6.2.0+dfsg1-3)
Highly distributed solution of sparse linear equations
libsuperlu-doc (5.2.2+dfsg1-2)
Documentation for SuperLU
libsuperlu5 (5.2.2+dfsg1-2)
Direct solution of large, sparse systems of linear equations
libsurefire-java (2.22.3-1)
Surefire test framework for Java
libsurgescript-dev (0.5.4.4-1+b1)
Scripting language for games (development files)
libsurgescript0.5.4.4 (0.5.4.4-1+b1)
Scripting language for games (library files)
libsvg-graph-perl (0.02-3)
module to visualize data in SVG format
libsvg-perl (2.85-1)
perl module to generate SVG images
libsvg-tt-graph-perl (1.04-1)
module for creating vector graphs
libsvgpp-dev (1.3.0+dfsg1-4)
SVG-framework with parsers for various syntaxes and adapters. Development files
libsvgpp-doc (1.3.0+dfsg1-4)
SVG-framework with parsers for various syntaxes and adapters. Documentation
libsvgsalamander-java (1.1.1+dfsg-3)
SVG engine for Java
libsvm-dev (3.24+ds-6)
LIBSVM header files
libsvm-java (3.24+ds-6)
Java API to support vector machine library (libsvm.jar)
libsvm-tools (3.24+ds-6)
LIBSVM binary tools
libsvm3 (3.24+ds-6)
library implementing support vector machines
libsvm3-java (3.24+ds-6)
Java API to support vector machine library (libsvm3.jar)
libsvmloc-dev (1.0+dfsg-4)
PSORTb adapted library for svm machine-learning library (dev)
libsvmloc0 (1.0+dfsg-4)
PSORTb adapted library for svm machine-learning library
libsvn-class-perl (0.18-2)
perl object oriented interface for Subversion workspaces
libsvn-dev (1.14.1-3+deb11u1)
Development files for Apache Subversion libraries
libsvn-doc (1.14.1-3+deb11u1)
Developer documentation for libsvn
libsvn-dump-perl (0.08-1)
module for parsing Subversion dumps
libsvn-hooks-perl (1.34-2)
framework for implementing Subversion hooks
libsvn-java (1.14.1-3+deb11u1)
Java bindings for Apache Subversion
libsvn-look-perl (0.42-1)
module providing access to svnlook data
libsvn-notify-mirror-perl (0.04000-2)
module to keep a mirrored working copy of a repository path
libsvn-notify-perl (2.87-1)
Subversion activity notification
libsvn-perl (1.14.1-3+deb11u1)
Perl bindings for Apache Subversion
libsvn-svnlook-perl (0.04-3.1)
module to aid using svnlook in Subversion hooks
libsvn-web-perl (0.63-3)
Subversion repository web frontend
libsvn1 (1.14.1-3+deb11u1)
Shared libraries used by Apache Subversion
libsvnclientadapter-java (1.10.12-1.1)
High-level Java API for Subversion (library)
libsvnclientadapter-java-doc (1.10.12-1.1)
High-level Java API for Subversion (documentation)
libsvnkit-java (1.8.14-4)
pure Java Subversion client library
libsvnkit-java-doc (1.8.14-4)
documentation for libsvnkit-java
libsvrcore-dev
本虛擬套件由這些套件填實: 389-ds-base-dev
libswagger-core-java (2.1.6+dfsg-2)
Java implementation of the OpenAPI Specification
libswami-dev (2.2.2-1)
MIDI instrument editor - development files
libswami1 (2.2.2-1)
MIDI instrument editor - shared library
libswamigui1 (2.2.2-1)
MIDI instrument editor - shared GUI library
libswarmcache-java (1.0RC2+cvs20071027-7.1)
SwarmCache - Distributed caching mechanism for Java
libswe-dev (1.80.00.0002-1.1)
C library for The Swiss Ephemeris
libswe0 (1.80.00.0002-1.1)
C library for the Swiss Ephemeris
libswing-layout-java (1.0.4-4.1)
Extensions to Swing layout
libswing-layout-java-doc (1.0.4-4.1)
Extensions to Swing layout - contains Javadoc API documentation
libswingx-java (1:1.6.2-4)
extensions to the Swing GUI toolkit
libswingx-java-doc (1:1.6.2-4)
extensions to the Swing GUI toolkit - documentation
libswish-api-common-perl (0.04-1.1)
Perl interface to the SWISH index engine
libswiss-perl (1.79-3)
Perl API to the UniProt database
libswitch-perl (2.17-2.1)
switch statement for Perl
libsword-common (1.9.0+dfsg-4)
common settings and module repository for libsword
libsword-dev (1.9.0+dfsg-4)
Development files for libsword
libsword-utils (1.9.0+dfsg-4)
conversion utilities for bible documents in SWORD supported formats
libsword1.9.0 (1.9.0+dfsg-4)
API/library for bible software
libswresample-dev (7:4.3.6-0+deb11u1)
FFmpeg library for audio resampling, rematrixing etc. - development files
libswresample3 (7:4.3.6-0+deb11u1)
FFmpeg library for audio resampling, rematrixing etc. - runtime files
libswscale-dev (7:4.3.6-0+deb11u1)
FFmpeg library for image scaling and various conversions - development files
libswscale5 (7:4.3.6-0+deb11u1)
FFmpeg library for image scaling and various conversions - runtime files
libswt-cairo-gtk-4-jni (4.17.0-1)
Standard Widget Toolkit for GTK+ Cairo JNI library
libswt-glx-gtk-4-jni (4.17.0-1)
Standard Widget Toolkit for GTK+ GLX JNI library
libswt-gtk-4-java (4.17.0-1)
Standard Widget Toolkit for GTK+ Java library
libswt-gtk-4-jni (4.17.0-1)
Standard Widget Toolkit for GTK+ JNI library
libswt-webkit-gtk-4-jni (4.17.0-1)
Standard Widget Toolkit for GTK+ WebKit JNI library
libswtcalendar-java (0.5-3)
GUI date picker for Java using SWT
libswtchart-java (0.10.0-4)
Chart drawing SWT component
libswtchart-java-doc (0.10.0-4)
Chart drawing SWT component (documentation)
libswupdate-dev (2020.11-2+deb11u1)
Development files for swupdate framework
libswupdate0.1 (2020.11-2+deb11u1)
Library for controlling the swupdate framework
libsx-dev (2.08-4)
Development files (headers and static libraries) for libsx
libsx0 (2.08-4)
Simple X library
libsybdb5 (1.2.3-1)
libraries for connecting to MS SQL and Sybase SQL servers
libsylfilter0 (0.8-7)
generic spam filter library
libsylph-dev (1.1.0-20)
e-mail client library derived from Sylpheed - devel files
libsylph1 (1.1.0-20)
e-mail client library derived from Sylpheed
libsymbol-global-name-perl (0.05-1.1)
module to find name and type of a global variable
libsymkey-java (10.10.2-3)
Symmetric Key Java library
libsymkey-jni (10.10.2-3)
Symmetric Key JNI Library
libsymmetrica
本虛擬套件由這些套件填實: libsymmetrica2
libsymmetrica-dev
本虛擬套件由這些套件填實: libsymmetrica2-dev
libsymmetrica2 (2.0+ds-6)
Symmetrica Combinatoric C Library -- library package
libsymmetrica2-dev (2.0+ds-6)
Symmetrica Combinatoric C Library -- development package
libsymspg-dev (1.16.1-1)
C library for crystal symmetry determination (development files)
libsymspg1 (1.16.1-1)
C library for crystal symmetry determination
libsyn123-0 (1.26.4-1)
MPEG layer 1/2/3 audio decoder (libsyn123 shared library)
libsynctex-dev (2020.20200327.54578-7+deb11u1)
TeX Live: SyncTeX parser library (development part)
libsynctex2 (2020.20200327.54578-7+deb11u1)
TeX Live: SyncTeX parser library
libsynfig-dev (1.4.0+dfsg-2)
synfig library development files
libsynfig0a (1.4.0+dfsg-2)
render library and plugins for synfig 2D animation
libsyntax-highlight-engine-kate-perl (0.14+dfsg-1)
Perl port of Kate's syntax highlighting engine
libsyntax-highlight-perl-improved-perl (1.01-5.1)
Highlighting of Perl Syntactical Structures
libsyntax-keyword-dynamically-perl (0.07-1)
module to dynamically change the value of a variable
libsyntax-keyword-gather-perl (1.003002-1)
syntax extension for the "gather" keyword
libsyntax-keyword-junction-perl (0.003008-1.1)
Perl6 style Junction operators in Perl5
libsyntax-keyword-try-perl (0.21-1)
try/catch/finally syntax for perl
libsyntax-perl (0.004-1.1)
pragma for activating syntax extensions
libsynthesis-dev (3.4.0.47.5+syncevolution-1.5.3-1)
library for SyncML-DS (SyncML Data Sync) clients (development files)
libsynthesis0v5 (3.4.0.47.5+syncevolution-1.5.3-1)
library for SyncML-DS (SyncML Data Sync) clients (shared libraries)
libsys-cpu-perl (0.61-2+b6)
module for getting CPU information
libsys-cpuaffinity-perl (1.13~03-1)
module to set CPU affinity for processes
libsys-cpuload-perl (0.31-1+b1)
module which retrieves load average of a machine
libsys-filesystem-perl (1.408-1)
Perl module to retrieve list of filesystems and their properties
libsys-gamin-perl (0.1-2+b10 [s390x], 0.1-2+b8 [amd64, armel, armhf, i386, mipsel], 0.1-2+b7 [arm64, mips64el, ppc64el])
Perl interface to Gamin (File Access Monitor implementation)
libsys-hostip-perl (2.120-1)
Perl module to determine local machine's IP address
libsys-hostname-long-perl (1.5-2)
module tp determine the long (fully-qualified) hostname
libsys-info-base-perl (0.7807-3)
fetch basic information from the host system
libsys-info-driver-linux-perl (0.7905-2)
Linux driver for Sys::Info
libsys-info-perl (0.7811-2)
module to fetch information from the host system
libsys-meminfo-perl (0.99-1+b5)
module to query the total free and used physical memory
libsys-mmap-perl (0.20-1+b1)
module for using POSIX mmap
libsys-sigaction-perl (0.23-1.1)
Perl extension for consistent signal handling
libsys-statistics-linux-perl (0.66-3)
Perl module to collect system statistics
libsys-syscall-perl (0.25-6+b1 [mips64el], 0.25-6 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
module to access system calls that Perl doesn't normally provide access to
libsys-syslog-perl (= 0.36)
本虛擬套件由這些套件填實: perl
libsys-utmp-perl (1.8-1+b3)
perl module to query UTMP files
libsys-virt-perl (7.0.0-1)
Perl module providing an extension for the libvirt library
libsysadm-install-perl (0.48-1)
module for typical installation tasks of system administrators
libsysfs-dev (2.1.0+repack-7)
interface library to sysfs - development files
libsysfs2 (2.1.0+repack-7)
interface library to sysfs - shared library
libsysfs2-udeb (2.1.0+repack-7)
interface library to sysfs - shared library
libsyslog-ng-dev
本虛擬套件由這些套件填實: syslog-ng-core
libsyslog-ocaml (2.0.1-1+b1)
syslog routines for OCaml (runtime)
libsyslog-ocaml-2ptv5
本虛擬套件由這些套件填實: libsyslog-ocaml
libsyslog-ocaml-75064
本虛擬套件由這些套件填實: libsyslog-ocaml
libsyslog-ocaml-dev (2.0.1-1+b1)
syslog routines for OCaml (development files)
libsyslog-ocaml-dev-2ptv5
本虛擬套件由這些套件填實: libsyslog-ocaml-dev
libsyslog-ocaml-dev-75064
本虛擬套件由這些套件填實: libsyslog-ocaml-dev
libsyslog-ocaml-dev-nt6h3
本虛擬套件由這些套件填實: libsyslog-ocaml-dev
libsyslog-ocaml-dev-pagy2
本虛擬套件由這些套件填實: libsyslog-ocaml-dev
libsyslog-ocaml-nt6h3
本虛擬套件由這些套件填實: libsyslog-ocaml
libsyslog-ocaml-pagy2
本虛擬套件由這些套件填實: libsyslog-ocaml
libsysprof-4 (= 3.38.1-1)
本虛擬套件由這些套件填實: sysprof
libsysprof-4-dev (= 3.38.1-1)
本虛擬套件由這些套件填實: sysprof
libsysprof-capture-4-dev (= 3.38.1-1)
本虛擬套件由這些套件填實: sysprof
libsysprof-ui-4 (= 3.38.1-1)
本虛擬套件由這些套件填實: sysprof
libsysprof-ui-4-dev (= 3.38.1-1)
本虛擬套件由這些套件填實: sysprof
libsysrepo-cpp-dev (1.4.70-4)
YANG-based configuration and operational state data store [C++ development]
libsysrepo-cpp5 (1.4.70-4)
YANG-based configuration and operational state data store [C++ library]
libsysrepo-dev (1.4.70-4)
YANG-based configuration and operational state data store [C development]
libsysrepo5 (1.4.70-4)
YANG-based configuration and operational state data store [C library]
libsysstat-qt5-0 (0.4.4-1)
Qt-based interface to system statistics
libsysstat-qt5-0-dev (0.4.4-1)
Qt-based interface to system statistics (dev)
libsystem-command-perl (1.121-1)
Perl class for running system commands
libsystem-info-perl (0.060-1)
package to obtain basic system information
libsystem-sub-perl (0.162800-2.1)
wrap external command with a DWIM sub
libsystemc (2.3.3-5)
SystemC library
libsystemc-dev (2.3.3-5)
Development files for SystemC library
libsystemc-doc (2.3.3-5)
Documentation for SystemC library
libsystemd-dev (247.3-7+deb11u4)
systemd utility library - development files
libsystemd0 (247.3-7+deb11u4)
systemd utility library
libsystemd0 (= 246.9.1)
本虛擬套件由這些套件填實: libelogind0
libsz2 (1.0.4-1)
Adaptive Entropy Coding library - SZIP
libt-digest-java (1:3.0-3)
Data structure for quantiles and related rank statistics
libt-digest-java-doc (1:3.0-3)
Documentation for libt-digest-java
libt3config-dev (1.0.0-1)
Development files for libt3config
libt3config0 (1.0.0-1)
Library for reading and writing configuration files
libt3highlight-dev (0.5.0-1)
Development files for libt3highlight
libt3highlight2 (0.5.0-1)
Syntax highlighting library
libt3key-bin (0.2.10-1)
Utilities for working with libt3key terminal descriptions
libt3key-dev (0.2.10-1)
Development files for libt3key
libt3key1 (0.2.10-1)
Terminal key sequence database library
libt3widget-dev (1.2.0-1)
Development files for libt3widget
libt3widget2 (1.2.0-1)
C++ terminal dialog toolkit
libt3window-dev (0.4.0-1)
Development files for libt3window
libt3window0 (0.4.0-1)
Library for creating window-based terminal programs
libt4k-common0 (0.1.1-10)
common library for tux4kids
libt4k-common0-dev (0.1.1-10)
common library for tux4kids - development files
libtabixpp-dev (1.1.0-4)
C++ wrapper to tabix indexer (development files)
libtabixpp-dev
本虛擬套件由這些套件填實: libtabixpp-dev
libtabixpp0 (1.1.0-4)
C++ wrapper to tabix indexer
libtablelayout-java (20090826-4)
Java layout manager for creating user interfaces fast and easy
libtachyon
本虛擬套件由這些套件填實: libtachyon-openmpi-0, libtachyon-mt-0, libtachyon-mpich-0, libtachyon-serial-0
libtachyon-dev
本虛擬套件由這些套件填實: libtachyon-mpich-0-dev, libtachyon-mt-0-dev, libtachyon-serial-0-dev, libtachyon-mpi-dev, libtachyon-openmpi-0-dev
libtachyon-dev-common (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - development - common material
libtachyon-mpi-dev (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - development - default MPI flavour
libtachyon-mpich-0 (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - runtime - MPICH flavour
libtachyon-mpich-0-dev (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - development - MPICH flavour
libtachyon-mt-0 (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - runtime - MT flavour
libtachyon-mt-0-dev (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - development - MT flavour
libtachyon-openmpi-0 (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - runtime - OpenMPI flavour
libtachyon-openmpi-0-dev (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - development - OpenMPI flavour
libtachyon-serial-0 (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - runtime - serial flavour
libtachyon-serial-0-dev (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing Library - development - serial flavour
libtag-extras-dev (1.0.1-4)
TagLib extras library - development files
libtag-extras1 (1.0.1-4)
TagLib extras library - support for more file formats
libtag1-dev (1.11.1+dfsg.1-3)
audio meta-data library - development files
libtag1-doc (1.11.1+dfsg.1-3)
audio meta-data library - API documentation
libtag1v5 (1.11.1+dfsg.1-3)
audio meta-data library
libtag1v5-vanilla (1.11.1+dfsg.1-3)
audio meta-data library - vanilla flavour
libtagc0 (1.11.1+dfsg.1-3)
audio meta-data library - C bindings
libtagc0-dev (1.11.1+dfsg.1-3)
audio meta-data library - development files for C bindings
libtagcoll2-dev (2.0.14-2)
Functions used to manipulate tagged collections (development version)
libtaglib-cil-dev (2.1.0.0-5)
CLI library for accessing audio and video files metadata (dev files)
libtaglib-ocaml (0.3.6-1+b1)
OCaml bindings for the TagLib Audio Meta-Data Library
libtaglib-ocaml-75x12
本虛擬套件由這些套件填實: libtaglib-ocaml
libtaglib-ocaml-cvps9
本虛擬套件由這些套件填實: libtaglib-ocaml
libtaglib-ocaml-dev (0.3.6-1+b1)
OCaml bindings for the TagLib Audio Meta-Data Library -- devel
libtaglib-ocaml-dev-75x12
本虛擬套件由這些套件填實: libtaglib-ocaml-dev
libtaglib-ocaml-dev-cvps9
本虛擬套件由這些套件填實: libtaglib-ocaml-dev
libtaglib-ocaml-dev-mfqe1
本虛擬套件由這些套件填實: libtaglib-ocaml-dev
libtaglib-ocaml-dev-thf85
本虛擬套件由這些套件填實: libtaglib-ocaml-dev
libtaglib-ocaml-mfqe1
本虛擬套件由這些套件填實: libtaglib-ocaml
libtaglib-ocaml-thf85
本虛擬套件由這些套件填實: libtaglib-ocaml
libtaglib2.1-cil (2.1.0.0-5)
CLI library for accessing audio and video files metadata
libtaglibs-standard-impl-java (1.2.5-2.1)
Apache JSP Standard Taglib Implementation
libtaglibs-standard-jstlel-java (1.2.5-2.1)
Apache JSP Standard Taglib 1.0 EL Support
libtaglibs-standard-spec-java (1.2.5-2.1)
Apache JSP Standard Taglib Specification API
libtagsoup-java (1.2.1+-1.1)
SAX-compliant parser for real-life HTML
libtagsoup-java-doc (1.2.1+-1.1)
API Documentation for TagSoup
libtaint-runtime-perl (0.3-3+b3)
module to enable taint checking at runtime
libtaint-util-perl (0.08-3+b3)
Perl module to manipulate the Perl internal taint flag
libtainting-perl (0.02-1)
Perl pragma to enable taint mode lexically
libtakari-polyglot-maven-java (0.4.6-1)
modules to enable Maven usage in others JVM languages
libtakari-polyglot-maven-java-doc (0.4.6-1)
modules to enable Maven usage in others JVM languages - docs
libtaktuk-1-dev (3.7.7-2)
C bindings for taktuk (development files)
libtaktuk-perl (3.7.7-2)
Perl bindings for taktuk
libtaktuk3 (3.7.7-2)
C bindings for taktuk
libtalloc-dev (2.3.1-2+b1)
hierarchical pool based memory allocator - development files
libtalloc2 (2.3.1-2+b1)
hierarchical pool based memory allocator
libtamuanova-0.2 (0.2-4+b3 [mips64el], 0.2-4+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Library to calculate an ANOVA
libtamuanova-dev (0.2-4+b3 [mips64el], 0.2-4+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Development files for the TAMU ANOVA library
libtangence-perl (0.25-2)
attribute-oriented server/client object remoting framework
libtango-dev (9.3.4+dfsg1-1)
TANGO distributed control system - development library
libtango-doc (9.3.4+dfsg1-1)
TANGO distributed control system - documentation
libtango-tools (9.3.4+dfsg1-1)
TANGO distributed control system - common executable files
libtango9 (9.3.4+dfsg1-1)
TANGO distributed control system - shared library
libtangram-perl (2.12-3)
Orthogonal Object Persistence in Relational Databases
libtaningia-dev (0.2.2-2+b1)
Development files for lib taningia
libtaningia0 (0.2.2-2+b1)
Taningia is a generic communication library based on XMPP
libtaoframework-freeglut-cil-dev (2.1.svn20090801-14.1)
Tao CLI binding for freeglut - development files
libtaoframework-freeglut2.4-cil (2.1.svn20090801-14.1)
Tao CLI binding for freeglut
libtaoframework-openal-cil-dev (2.1.svn20090801-14.1)
Tao CLI binding for OpenAL - development files
libtaoframework-openal1.1-cil (2.1.svn20090801-14.1)
Tao CLI binding for OpenAL
libtaoframework-opengl-cil-dev (2.1.svn20090801-14.1)
Tao CLI binding for OpenGL and GLU - development files
libtaoframework-opengl3.0-cil (2.1.svn20090801-14.1)
Tao CLI binding for OpenGL and GLU
libtaoframework-sdl-cil-dev (2.1.svn20090801-14.1)
Tao CLI binding for SDL - development files
libtaoframework-sdl1.2-cil (2.1.svn20090801-14.1)
Tao CLI binding for SDL
libtap-formatter-html-perl (0.11+dfsg-2.1)
TAP Test Harness output delegate for html output
libtap-formatter-junit-perl (0.11-1.1)
Perl module for converting TAP output to JUnit XML output
libtap-harness-archive-perl (0.18-1)
module for creating an archive of TAP test results
libtap-harness-junit-perl (0.42-2)
module to generate JUnit compatible output from TAP results
libtap-parser-sourcehandler-pgtap-perl (3.35-2)
Unit testing tools for pgTAP
libtap-simpleoutput-perl (0.009-1.1)
simple closure-driven TAP generator
libtar
本虛擬套件由這些套件填實: libtar0
libtar-dev (1.2.20-8+b1)
C library for manipulating tar archives (development files)
libtar0 (1.2.20-8+b1)
C library for manipulating tar archives
libtask-kensho-all-perl (0.40-3)
provides all Task::Kensho tasks (metapackage)
libtask-kensho-async-perl (0.40-3)
provides modules recommended for Task::Kensho::Async
libtask-kensho-cli-perl (0.40-3)
provides modules recommended for Task::Kensho::CLI
libtask-kensho-config-perl (0.40-3)
provides modules recommended for Task::Kensho::Config
libtask-kensho-dates-perl (0.40-3)
provides modules recommended for Task::Kensho::Dates
libtask-kensho-dbdev-perl (0.40-3)
provides modules recommended for Task::Kensho::DBDev
libtask-kensho-email-perl (0.40-3)
provides modules recommended for Task::Kensho::Email
libtask-kensho-excelcsv-perl (0.40-3)
provides modules recommended for Task::Kensho::ExcelCSV
libtask-kensho-exceptions-perl (0.40-3)
provides modules recommended for Task::Kensho::Exceptions
libtask-kensho-hackery-perl (0.40-3)
provides modules recommended for Task::Kensho::Hackery
libtask-kensho-logging-perl (0.40-3)
provides modules recommended for Task::Kensho::Logging
libtask-kensho-moduledev-perl (0.40-3)
provides modules recommended for Task::Kensho::ModuleDev
libtask-kensho-oop-perl (0.40-3)
provides modules recommended for Task::Kensho::OOP
libtask-kensho-perl (0.40-3)
recommended modules for Enlightened Perl development
libtask-kensho-scalability-perl (0.40-3)
provides modules recommended for Task::Kensho::Scalability
libtask-kensho-testing-perl (0.40-3)
provides modules recommended for Task::Kensho::Testing
libtask-kensho-toolchain-perl (0.40-3)
provides modules recommended for Task::Kensho::Toolchain
libtask-kensho-webcrawling-perl (0.40-3)
provides modules recommended for Task::Kensho::WebCrawling
libtask-kensho-webdev-perl (0.40-3)
provides modules recommended for Task::Kensho::WebDev
libtask-kensho-xml-perl (0.40-3)
provides modules recommended for Task::Kensho::XML
libtask-weaken-perl (1.06-1)
module for ensuring that a platform has weaken support
libtaskmanager6abi1 (4:5.20.5-6)
Plasma Workspace for KF5 library
libtasn1-6 (4.16.0-2+deb11u1)
管理 ASN.1 結構 (執行階段)
libtasn1-6-dev (4.16.0-2+deb11u1)
Manage ASN.1 structures (development)
libtasn1-bin (4.16.0-2+deb11u1)
處理 ASN.1 結構(二進位格式)
libtasn1-dev
本虛擬套件由這些套件填實: libtasn1-6-dev
libtasn1-doc (4.16.0-2+deb11u1)
Manage ASN.1 structures (documentation)
libtbb-dev (2020.3-1)
parallelism library for C++ - development files
libtbb-doc (2020.3-1)
parallelism library for C++ - documentation
libtbb2 (2020.3-1)
parallelism library for C++ - runtime files
libtcc-dev (0.9.27+git20200814.62c30a4a-1)
fast library for dynamic code generation
libtcd-dev (2.2.2-2.1)
Tide Constituent Database (TCD) library (header file)
libtcd0 (2.2.2-2.1)
Tide Constituent Database (TCD) library
libtcl
本虛擬套件由這些套件填實: libtcl8.6
libtcl-chiark-1 (1.3.4)
Tcl interfaces for adns, cdb, crypto, etc.
libtcl-perl (1.27+ds-1+b2)
Tcl extension module for Perl
libtcl8.6 (8.6.11+dfsg-1)
Tcl (the Tool Command Language) v8.6 - run-time library files
libtclap-dev (1.2.3-1)
Templatized command-line argument parser for C++
libtclcl-dev
本虛擬套件由這些套件填實: libtclcl1-dev
libtclcl1 (1.20-9.1)
shared library of TclCL
libtclcl1-dev (1.20-9.1)
development files of TclCL
libtcmalloc-minimal4 (2.8.1-1)
efficient thread-caching malloc
libtcmu2 (1.5.2-6)
Library that handles the userspace side of the LIO TCM-User backstore
libtcnative-1 (1.2.26-1)
Tomcat native library using the Apache Portable Runtime
libtcod-dev (1.14.0+dfsg-1)
development files for the libtcod roguelike library
libtcod1 (1.14.0+dfsg-1)
graphics and utility library for roguelike developers
libtcplay (1.1-6)
Free and simple TrueCrypt Implementation based on dm-crypt
libtcplay-dev (1.1-6)
Free and simple TrueCrypt Implementation based on dm-crypt
libtdb-dev (1.4.3-1+b1)
Trivial Database - development files
libtdb1 (1.4.3-1+b1)
Trivial Database - shared library
libteam-dev (1.31-1)
library for controlling team network device -- dev files
libteam-utils (1.31-1)
library for controlling team network device -- userspace utilities
libteam5 (1.31-1)
library for communication with Netlink kernel module
libteamdctl0 (1.31-1)
library for communication with `teamd` process
libteckit-dev (2.5.10+ds1-3)
Development files for TECkit encoding conversion library
libteckit0 (2.5.10+ds1-3)
Encoding conversion library
libtecla-dev (1.6.3-2.1)
interactive command line editing facilities (development)
libtecla1 (1.6.3-2.1)
interactive command line editing facilities
libteem-dev (1.12.0~20160122-4)
Tools to process and visualize scientific data and images - development
libteem1-dev
本虛擬套件由這些套件填實: libteem-dev
libteem2 (1.12.0~20160122-4)
Tools to process and visualize scientific data and images - runtime
libtelepathy-farstream-dev (0.6.2-1.1)
Glue library between telepathy and farstream (development files)
libtelepathy-farstream-doc (0.6.2-1.1)
Glue library between telepathy and farstream (documentation)
libtelepathy-farstream3 (0.6.2-1.1)
Glue library between telepathy and farstream
libtelepathy-farstream3-dbg (0.6.2-1.1)
Glue library between telepathy and farstream (debug symbols)
libtelepathy-glib-dev (0.24.1-3)
GLib Telepathy connection manager library (headers)
libtelepathy-glib-doc (0.24.1-3)
GLib Telepathy library (documentation)
libtelepathy-glib0 (0.24.1-3)
Telepathy framework - GLib library
libtelepathy-logger-dev (0.8.2-4)
Telepathy logger service -- utility library (headers)
libtelepathy-logger-doc (0.8.2-4)
Telepathy logger service -- documentation
libtelepathy-logger-qt-dev (17.09.0-1)
Qt bindings for the telepathy-logger library - development files
libtelepathy-logger-qt5 (17.09.0-1)
Qt bindings for the telepathy-logger library
libtelepathy-logger3 (0.8.2-4)
Telepathy logger service - utility library
libtelepathy-qt5-0 (0.9.8+ds-4)
Telepathy framework - Qt 5 library
libtelepathy-qt5-dev (0.9.8+ds-4)
Qt 5 Telepathy library (headers and static library)
libtelepathy-qt5-farstream0 (0.9.8+ds-4)
Telepathy/Farsight integration - Qt 5 library
libtelephony-asterisk-ami-perl (0.006-2)
Simple Asterisk Manager Interface client (Perl module)
libtelnet-dev (0.21-5+b1)
Small library for parsing the TELNET protocol - development files
libtelnet-utils (0.21-5+b1)
Small library for parsing the TELNET protocol - utilities
libtelnet2 (0.21-5+b1)
Small library for parsing the TELNET protocol - shared library
libtemplate-alloy-perl (1.020-1.1)
TT2/3, HT, HTE, Tmpl, and Velocity Engine
libtemplate-autofilter-perl (0.143050-1.1)
Template::Toolkit subclass with automatic filtering
libtemplate-declare-perl (0.47-2)
module for Perlish declarative templates
libtemplate-glib-1.0-0 (3.34.0-1)
templating library for GLib
libtemplate-glib-1.0-dev (3.34.0-1)
templating library for GLib - development files
libtemplate-glib-common (3.34.0-1)
templating library for GLib - common files
libtemplate-glib-doc (3.34.0-1)
templating library for GLib - documentation
libtemplate-multilingual-perl (1.00-2.1)
multilingual templates for Template Toolkit
libtemplate-perl (2.27-1+b3)
"Template Toolkit" template processing system in Perl
libtemplate-plugin-class-perl (0.14-1.1)
module for calling methods of arbitrary classes in Template Toolkit
libtemplate-plugin-clickable-email-perl (0.01-2.1)
plugin to make clickable e-mail addresses with Template Toolkit
libtemplate-plugin-clickable-perl (0.06-3.1)
Make URLs clickable in HTML
libtemplate-plugin-comma-perl (0.04-1.1)
TT plugin for using commas in numbers
libtemplate-plugin-cycle-perl (1.06-1.1)
Cyclically insert into a Template from a sequence of values
libtemplate-plugin-datetime-format-perl (0.03-1.1)
module for formatting DateTime objects from TT with DateTime::Format
libtemplate-plugin-datetime-perl (0.06002-1.1)
plugin to use DateTime objects in the Template Toolkit
libtemplate-plugin-dbi-perl (2.65-2.1)
DBI plugin for the Template Toolkit
libtemplate-plugin-digest-md5-perl (0.05-1.1)
interface to the MD5 Algorithm for the Template Toolkit
libtemplate-plugin-gd-perl (2.66-3)
GD plugin(s) for the Template Toolkit
libtemplate-plugin-gravatar-perl (0.10-1.1)
Template Toolkit plugin for generating Gravatar URLs
libtemplate-plugin-html-strip-perl (0.01-2)
plugin to remove HTML for the Template Toolkit
libtemplate-plugin-ipaddr-perl (0.03-1.1)
plugin handling IP addresses for the Template Toolkit
libtemplate-plugin-javascript-perl (0.02-2.1)
Perl module to sanitize text for JavaScript
libtemplate-plugin-json-escape-perl (0.2-1.1)
module for embedding JSON strings in Template Toolkit
libtemplate-plugin-latex-perl (3.12-1)
LaTeX support for the Template Toolkit
libtemplate-plugin-lingua-en-inflect-perl (0.04-1.1)
interface to Lingua::EN::Inflect for the Template Toolkit
libtemplate-plugin-number-format-perl (1.06-1.1)
Number formatting plugin for perl Template Toolkit
libtemplate-plugin-posix-perl (0.05-1.1)
Template Toolkit plugin to access Perl POSIX functions
libtemplate-plugin-stash-perl (1.006-1.1)
Template::Toolkit plugin that exposes the template's stash
libtemplate-plugin-textile2-perl (1.21-5.1)
textile plugin for the Template Toolkit
libtemplate-plugin-utf8decode-perl (0.01-1.1)
UTF8 decoder filter for Template Toolkit
libtemplate-plugin-xml-perl (2.17-5)
XML plugins for the Template Toolkit
libtemplate-plugin-yaml-perl (1.23-2)
simple Template Toolkit Plugin Interface to the YAML module
libtemplate-provider-encoding-perl (0.10-3)
Template plugin to specify encoding
libtemplate-provider-fromdata-perl (0.13-2)
module to load templates from your __DATA__ section
libtemplate-stash-autoescaping-perl (0.0303-1.1)
Template::Stash subclass for automatically escaping HTML strings
libtemplate-timer-perl (1.00-2.1)
rudimentary profiling module for Template Toolkit
libtemplate-tiny-perl (1.13-1)
lightweight implementation of Template Toolkit
libtemplates-parser-doc (21.0.0-2)
Ada library to parse files and replace variables (documentation)
libtemplates-parser14-dev (21.0.0-2)
Ada library to parse files and replace variables
libtemplates-parser20 (21.0.0-2)
Ada library to parse files and replace variables (runtime)
libtemplating-maven-plugin-java (1.0.0-1)
Copying files to an output directory
libtenjin-perl (1.000001-1)
fast templating engine with support for embedded Perl
libtensorpipe-dev (0.0~git20200928.95ff931-2)
tensor-aware point-to-point communication primitive for machine learning
libtensorpipe0 (0.0~git20200928.95ff931-2)
tensor-aware point-to-point communication primitive for machine learning
libtepl-5-0 (5.0.1-2)
Text editor library for GTK
libtepl-5-dev (5.0.1-2)
Text editor library for GTK - development files
libtercpp-dev (0.6.2+svn46-1.1+b1)
Translation Error Rate scoring tool - development files
libtercpp0v5 (0.6.2+svn46-1.1+b1)
Translation Error Rate scoring tool - shared library
libterm-choose-perl (1.713-1)
module to choose items from a list interactively
libterm-clui-perl (1.76-1)
Perl module offering a Command-Line User Interface
libterm-encoding-perl (0.03-1)
Perl module to detect encoding of the current terminal
libterm-extendedcolor-perl (0.504-1)
module to color screen output using extended escape sequences
libterm-filter-perl (0.03-2)
Perl module to run an interactive terminal session, filtering input and output
libterm-progressbar-perl (2.22-1)
Perl module to print a progress bar
libterm-progressbar-quiet-perl (0.31-1.1)
only show a progress meter if run interactively
libterm-progressbar-simple-perl (0.03-1.1)
simple but featureful terminal progress bar
libterm-prompt-perl (1.04-2.1)
Perl module for prompting a user for information
libterm-query-perl (2.0-10)
Perl module for subroutines that handle simple tty-based UI
libterm-readkey-perl (2.38-1+b2)
perl module for simple terminal control
libterm-readline-gnu-perl (1.37-1)
Perl extension for the GNU ReadLine/History Library
libterm-readline-perl-perl (1.0303-2.1)
Perl implementation of Readline libraries
libterm-readline-ttytter-perl (1.4-3.1)
Term::ReadLine driver with special features for microblogging
libterm-readline-zoid-perl (0.07-3)
Pure Perl implementation of Readline libraries
libterm-readpassword-perl (0.11-3.1)
prompt user for a password
libterm-shell-perl (0.12-1)
Perl module for writing shell-like interfaces
libterm-shellui-perl (0.92-4)
Perl module for fully-featured shell-like command line environment
libterm-size-any-perl (0.002-1)
unified interface to retrieve the terminal size from Perl
libterm-size-perl (0.211-1)
Perl extension for retrieving terminal size
libterm-size-perl-perl (0.031-1+b3)
pure Perl extension for retrieving the terminal size
libterm-sk-perl (0.18-1)
Perl extension for displaying a progress indicator on a terminal
libterm-slang-perl (0.07-13+b3)
Perl interface to the S-Lang terminal library
libterm-table-perl (0.015-2)
module to format a header and rows into a table
libterm-termkey-perl (0.17-1+b2)
perl wrapper around libtermkey
libterm-title-perl (0.09-1)
module for setting the terminal titlebar
libterm-ttyrec-plus-perl (0.09-1.1)
module for reading a ttyrec
libterm-twiddle-perl (2.73-2.1)
Perl module to twiddle a thingy while-u wait
libterm-ui-perl (0.46-1.1)
Term::ReadLine UI made easy
libterm-visual-perl (0.08-2.1)
split-terminal user interface for Perl
libterm-vt102-perl (0.91-2.1)
module to emulate a DEC VT102 terminal
libtermbox-dev (1.1.2+dfsg-3+b4)
Library for writing text-based user interfaces (dev)
libtermbox1 (1.1.2+dfsg-3+b4)
Library for writing text-based user interfaces (lib)
libtermkey-dev (0.22-1)
library for processing keyboard input (development files)
libtermkey1 (0.22-1)
library for processing keyboard input
libterralib-dev (4.3.0+dfsg.2-12.1)
C++ library for Geographical Information Systems -- development package
libterralib-doc (4.3.0+dfsg.2-12.1)
C++ library for Geographical Information Systems -- documentation package
libterralib3 (4.3.0+dfsg.2-12.1)
C++ library for Geographical Information Systems
libtess2-tulip-5.4 (5.4.0+dfsg-3)
Libtess2 shared library, built for Tulip
libtesseract-dev (4.1.1-2.1)
Development files for the tesseract command line OCR tool
libtesseract4 (4.1.1-2.1)
Tesseract OCR library
libtest-abortable-perl (0.002-1.1)
module to handle subtest failures with exceptions
libtest-api-perl (0.010-1)
test a list of subroutines provided by a module
libtest-assertions-perl (1.054-3)
simple set of building blocks for unit and runtime testing
libtest-async-http-perl (0.02-2)
unit test code that uses Net::Async::HTTP
libtest-autoloader-perl (0.03-4)
testing utility for autosplit/autoloaded modules
libtest-base-perl (0.89-1)
data driven testing framework for Perl
libtest-bdd-cucumber-perl (0.75-1)
Cucumber-style acceptance testing framework in Perl
libtest-bits-perl (0.02-2.1)
Perl module for testing binary data
libtest-block-perl (0.13-3)
module for specifying tests with a finer granularity
libtest-carp-perl (0.2-2.1)
module to test your code for calls to Carp functions
libtest-check-clojure (0.9.0-4)
Clojure property-based testing tool inspired by QuickCheck
libtest-checkdeps-perl (0.010-2.1)
check for presence of dependencies
libtest-checkmanifest-perl (1.42-1)
module to check if your manifest matches your distribution
libtest-class-most-perl (0.08-1.1)
module to reduce boilerplate code when testing classes
libtest-class-perl (0.52-1)
module for creating test classes in an xUnit style
libtest-classapi-perl (1.07-1)
Perl extension for basic first-pass API testing for class trees
libtest-cleannamespaces-perl (0.24-1)
module to check for uncleaned imports
libtest-cmd-perl (1.09-1)
perl module which provides a testing framework
libtest-command-perl (0.11-2.1)
Perl module for testing external commands
libtest-command-simple-perl (0.05-1.1)
Perl module to test external commands
libtest-compile-perl (2.4.1-1)
test module for checking that scripts and modules compile
libtest-consistentversion-perl (0.3.0-1.1)
module to ensure consistent versions in a package
libtest-corpus-audio-mpd-perl (1.120990-2.1)
module to fake mpd for testing purposes
libtest-cpan-meta-json-perl (0.16-1.1)
module to validate CPAN META.json files
libtest-cpan-meta-perl (0.25-1.1)
test module to validate package metadata for CPAN
libtest-cpan-meta-yaml-perl (0.25-1)
test module to validate a META.yml file
libtest-cukes-perl (0.11-1)
test framework inspired by Cucumber
libtest-data-perl (1.243-1)
module to check properties and values of data and variables
libtest-database-perl (1.113-1)
support for testing against multiple unspecified databases
libtest-databaserow-perl (2.04-1.1)
module for simple database tests
libtest-dbic-expectedqueries-perl (2.002-2)
test module for expected DBIx::Class queries
libtest-debian-perl (0.06-1.1)
perl tests for debian
libtest-deep-fuzzy-perl (0.01-1.1)
fuzzy number comparison with Test::Deep
libtest-deep-json-perl (0.05-1)
Test::Deep plugin for comparing JSON data
libtest-deep-perl (1.130-1)
Perl module for extremely flexible deep comparisons
libtest-deep-type-perl (0.008-1.1)
Test::Deep plugin for validating type constraints
libtest-deep-unorderedpairs-perl (0.006-1)
Test::Deep plugin for comparing lists as if they were hashes
libtest-dependencies-perl (0.30-1)
module to verify dependencies listed in Makefile.PL
libtest-diaginc-perl (0.008-2)
List modules and versions loaded if tests fail
libtest-differences-perl (0.67-1)
Perl module to test string and data structure differences
libtest-dir-perl (1.16-1)
module for testing directory attributes
libtest-distmanifest-perl (1.014-2)
Perl module for verifying CPAN MANIFEST files
libtest-distribution-perl (2.00-5)
perform tests on all modules of a distribution
libtest-effects-perl (0.001005-2)
Perl module to test various effects at once
libtest-email-perl (0.07-2)
email contents test module
libtest-eol-perl (2.02-1)
module to check for non-Unix line endings
libtest-exception-lessclever-perl (0.009-1)
simplified Test::Exception alternative
libtest-exception-perl (0.43-1)
module for testing exception-based code
libtest-exit-perl (0.11-1.1)
Perl module for testing whether code exits without terminating
libtest-expect-perl (0.34-1)
module for automated driving and testing of terminal-based programs
libtest-failwarnings-perl (0.8-1)
module for adding test failures if warnings are caught
libtest-fake-httpd-perl (0.09-1)
fake HTTP server
libtest-fatal-perl (0.016-1)
module for testing code with exceptions
libtest-file-contents-perl (0.23-1)
Perl module for testing the contents of files
libtest-file-perl (1.444-1)
Perl module to test file attributes
libtest-file-sharedir-perl (1.001002-1)
Perl module to create fake ShareDirs
libtest-filename-perl (0.03-1.1)
portable filename comparison
libtest-files-perl (0.15-1)
module to ease testing with files and directories
libtest-fitesque-perl (0.04-2)
FITesque framework
libtest-fixme-perl (0.16-1)
Perl module to check code for FIXME declarations
libtest-fork-perl (0.02-2.1)
module for testing code which forks
libtest-harness-perl (3.42-2)
module to run standard Perl test scripts with statistics
libtest-harness-perl (= 3.42)
本虛擬套件由這些套件填實: perl
libtest-hasversion-perl (0.014-1)
Perl library to check that Perl modules have version numbers
libtest-hexdifferences-perl (1.001-2)
module for testing binary data as hexadecimal strings
libtest-hexstring-perl (0.03-1.1)
module that tests binary strings with hex dump diagnostics
libtest-html-content-perl (0.09-2)
Perl extension for testing HTML output
libtest-html-w3c-perl (0.04-1.1)
wrapper around W3C HTML validation testing service
libtest-http-localserver-perl (0.71-1)
local HTTP server for testing other perl modules
libtest-http-server-simple-perl (0.11-1)
Test::More functions for HTTP::Server::Simple
libtest-http-server-simple-stashwarnings-perl (0.04-2.1)
module to catch forked web server's warnings in Test::More tests
libtest-identity-perl (0.01-2.1)
test module that asserts the referential identity of a reference
libtest-if-perl (0.01-1.1)
module to conditionally use other test modules
libtest-image-gd-perl (0.03-4)
module for testing images using GD
libtest-indistdir-perl (1.112071-1.1)
test environment setup for development with IDE
libtest-inline-perl (2.214-1)
Perl extension for embed tests and code examples in POD
libtest-inter-perl (1.09-1)
framework for more readable interactive test scripts
libtest-is-perl (20140823.1-1.1)
Perl module to skip tests in a declarative way
libtest-json-perl (0.11-2.1)
module for testing JSON data
libtest-json-schema-acceptance-perl (1.003+ds-1)
acceptance testing for JSON-Schema based validators like JSON::Schema
libtest-kwalitee-perl (1.28-1)
module to test the quality of a Perl module distribution
libtest-leaktrace-perl (0.17-1)
Perl test module for tracing memory leaks
libtest-lectrotest-perl (0.5001-3)
easy, automatic, specification-based testing system
libtest-log-dispatch-perl (0.03-2.1)
Log::Dispatch object to test what is being logged
libtest-log-log4perl-perl (0.32-1.1)
module to test Log::Log4perl
libtest-log4perl-perl (0.1001-4.1)
test module for checking Log::Log4perl code
libtest-longstring-perl (0.17-1.1)
module to test for string equality
libtest-lwp-useragent-perl (0.034-1)
module for simulating and testing network calls
libtest-manifest-perl (2.022-1)
Perl module for interacting with a test manifest file
libtest-memory-cycle-perl (1.06-1)
Perl module that verifies code hasn't left circular references
libtest-memorygrowth-perl (0.04-1)
module to assert that code does not cause growth in memory usage
libtest-metrics-any-perl (0.01-2)
module to assert that code produces metrics via Metrics::Any
libtest-minimumversion-perl (0.101082-2)
Perl test module to check the version of perl required
libtest-mock-guard-perl (0.10-2.1)
Simple mock test library using RAII.
libtest-mock-lwp-perl (0.08-1.1)
module for easy mocking of LWP packages
libtest-mock-redis-perl (0.22-1)
test stub for Redis databases
libtest-mock-time-perl (0.1.7-3)
module for deterministic time & timers in event loop tests
libtest-mockdatetime-perl (0.02-2)
module to mock DateTime->now calls during tests
libtest-mockdbi-perl (0.70-1.1)
mocked DBI interface for testing purposes
libtest-mockmodule-perl (0.176.0-1)
Perl module to override subroutines in a module for unit testing
libtest-mockobject-perl (1.20200122-1)
Perl extension for emulating troublesome interfaces
libtest-mockrandom-perl (1.01-1.1)
module to replace random number generation with non-random number generation
libtest-mocktime-datecalc-perl (7+ds-1)
testing utility to fake time for Date::Calc
libtest-mocktime-perl (0.17-1)
module to simulate time in tests
libtest-modern-perl (0.013-2.1)
precision testing for modern perl
libtest-module-used-perl (0.2.6-1.1)
module for testing whether a module is used
libtest-mojibake-perl (1.3-1)
module to check source for encoding misbehavior
libtest-moose-more-perl (0.050-1)
collection of tools for testing Moose packages
libtest-more-utf8-perl (0.05-1.1)
enhance Test::More for UTF8-based projects
libtest-most-perl (0.37-1)
Perl module with the most commonly needed test functions and features
libtest-name-fromline-perl (0.13-1.1)
auto fill test names from caller line
libtest-needs-perl (0.002006-1)
module to skip tests when modules are not available
libtest-needsdisplay-perl (1.07-3)
module to ensure that tests needing a display have one
libtest-net-ldap-perl (0.07-1)
Net::LDAP subclass for testing
libtest-nicedump-perl (1.0.1-2)
module for nice and human readable dumps of objects in tests
libtest-nobreakpoints-perl (0.17-1)
module to test that files do not contain soft breakpoints
libtest-notabs-perl (2.02-1)
module for scanning for hard tabs in files
libtest-nowarnings-perl (1.04-2)
module to make sure you didn't emit any warnings while testing
libtest-number-delta-perl (1.06-1)
module to compare the difference between numbers against a given tolerance
libtest-object-perl (0.08-1)
module for thoroughly testing objects via registered handlers
libtest-output-perl (1.033-1)
perl module to test stdout and stderr messages
libtest-perl-critic-perl (1.04-1)
module to use Perl::Critic in test scripts
libtest-perl-critic-progressive-perl (0.03-1.1)
module that allows gradual enforcement of coding standards
libtest-pod-content-perl (0.0.6-2.1)
Perl module for testing POD content
libtest-pod-coverage-perl (1.10-2)
module to check for pod coverage in a distribution
libtest-pod-no404s-perl (0.02-1.1)
test utility checking POD for HTTP 404 links
libtest-pod-perl (1.52-1)
module to test for POD errors
libtest-poe-client-tcp-perl (1.26-1)
POE Component providing TCP client services for test cases
libtest-poe-server-tcp-perl (1.20-1.1)
test framework for TCP client libraries using POE
libtest-portability-files-perl (0.10-1)
Perl module to check that file names in a distribution are portable
libtest-postgresql-perl (1.28-1)
sets up and destroys temporary PostgreSQL instances for testing
libtest-prereq-perl (2.003-1)
Perl module to check if Makefile.PL has the right pre-requisites
libtest-randomresult-perl (0.001-2)
module to test that results of a running code look random
libtest-rdf-doap-version-perl (0.010-1.1)
tests 'meta/changes.ttl' is up to date
libtest-rdf-perl (1.22-1.1)
Test RDF data for validity and equality
libtest-redisserver-perl (0.21-2)
redis-server runner for tests
libtest-refcount-perl (0.10-1)
Perl module to assert reference counts
libtest-regexp-pattern-perl (0.006-2)
test Regexp::Pattern patterns
libtest-regexp-perl (2017040101-1)
Perl module to test regular expressions
libtest-regression-perl (0.08-1)
test library for regression testing output
libtest-reporter-perl (1.62-1.1)
Perl module to send test results to cpan-testers@perl.org
libtest-requires-git-perl (1.008-1.1)
module to check the available version of Git
libtest-requires-perl (0.11-1)
utility module for tests to check whether modules are available
libtest-requiresinternet-perl (0.05-3)
module to easily test network connectivity
libtest-roo-perl (1.004-1.1)
module for composable, reusable tests with roles and Moo
libtest-routine-perl (0.027-1)
Perl test framework for tests as composable units of assertion
libtest-script-perl (1.27-1)
Perl test module for scripts
libtest-script-run-perl (0.08-2)
Perl module for testing scripts as subprocesses
libtest-sharedfork-perl (0.35-1)
module to run tests in multiple processes and merge results
libtest-sharedobject-perl (0.01-2)
Data sharing in multi processes
libtest-signature-perl (1.11-1.1)
Perl module that provides automatic SIGNATURE testing
libtest-simple-perl (1.302183-1)
set of basic utilities for writing tests in Perl
libtest-simple-perl (= 1.302175)
本虛擬套件由這些套件填實: perl
libtest-spec-perl (0.54-1)
Perl module to write tests in a declarative specification style
libtest-spelling-perl (0.25-1)
Perl module for spellchecking pod formatted text
libtest-strict-perl (0.52-1)
test module for checking the syntax of use strict and test coverage
libtest-subcalls-perl (1.10-1)
Perl module to count subroutine calls
libtest-synopsis-perl (0.16-1)
Perl module to test SYNOPSIS code in PODs
libtest-sys-info-perl (0.23-2)
centralized test suite for Sys::Info
libtest-tabledriven-perl (0.02-1.1)
write tests, not scripts that run them
libtest-tabs-perl (0.005-1.1)
check the presence of tabs in your project
libtest-taint-perl (1.08-1+b2)
Module to test taintedness
libtest-tcp-perl (2.22-2)
module to test TCP/IP programs
libtest-tempdir-perl (0.11-1)
Perl module that creates temporary files for testing
libtest-tempdir-tiny-perl (0.018-1)
test module for temporary directories that stick around when tests fail
libtest-tester-perl
本虛擬套件由這些套件填實: libtest-simple-perl
libtest-tester-perl (= 1.302175)
本虛擬套件由這些套件填實: perl
libtest-time-perl (0.08-4)
module to override the time() and sleep() functions for testing
libtest-timer-perl (2.11-1)
Perl module to test/assert code response times
libtest-trap-perl (0.3.4-1)
test module to trap exit codes, exceptions, output, etc.
libtest-unit-perl (0.25-5)
unit testing framework for Perl
libtest-unixsock-perl (0.4-1)
test module for UNIX domain sockets
libtest-use-ok-perl
本虛擬套件由這些套件填實: libtest-simple-perl
libtest-use-ok-perl (= 1.302175)
本虛擬套件由這些套件填實: perl
libtest-useallmodules-perl (0.17-1.1)
test module to do use_ok() for all the MANIFESTed modules
libtest-utf8-perl (1.02-1)
handy perl module for testing strings with utf8
libtest-valgrind-perl (1.19-1)
module to test Perl code through valgrind
libtest-version-perl (2.09-1)
module for checking the sanity of versions in modules
libtest-warn-perl (0.36-1)
test module for checking which warnings are generated
libtest-warnings-perl (0.030-1)
Perl module to test for warnings and the lack of them
libtest-weaken-perl (3.022000-1.1)
Perl module to test that freed memory objects were actually freed
libtest-without-module-perl (0.20-1)
test fallback behaviour in absence of modules
libtest-www-declare-perl (0.02-4)
declarative testing module for web apps
libtest-www-mechanize-catalyst-perl (0.62-1)
module for automated testing for Catalyst applications
libtest-www-mechanize-cgiapp-perl (0.05-4.1)
Test CGI::Application apps using WWW::Mechanize
libtest-www-mechanize-mojo-perl (0.0.21-2)
module for testing web applications built using Mojolicious
libtest-www-mechanize-perl (1.54-1)
module for testing web applications
libtest-www-mechanize-psgi-perl (0.39-1)
test PSGI programs using WWW::Mechanize
libtest-www-selenium-perl (1.36-2)
Perl test framework using Selenium Remote Control
libtest-xml-perl (0.08-3.1)
compare XML in perl tests
libtest-xml-simple-perl (1.05-2)
Perl testing framework for XML data
libtest-xpath-perl (0.19-1)
test XML and HTML content and structure with XPath expressions
libtest-yaml-perl (1.07-1)
test module for YAML implementations
libtest-yaml-valid-perl (0.04-2.1)
module to test for valid YAML
libtest2-asyncsubtest-perl
本虛擬套件由這些套件填實: libtest2-suite-perl
libtest2-perl
本虛擬套件由這些套件填實: libtest-simple-perl
libtest2-plugin-nowarnings-perl (0.09-1)
Perl testing plugin to fail tests if warnings are generated
libtest2-suite-perl (0.000139-1)
set of tools built upon the Test2 framework
libtest2-workflow-perl
本虛擬套件由這些套件填實: libtest2-suite-perl
libtestng7-java (7.5-2~deb11u1)
testing framework for Java
libtestu01
本虛擬套件由這些套件填實: libtestu01-0
libtestu01-0 (1.2.3+ds1-1) [non-free]
testing suite for uniform random number generators -- libs
libtestu01-0-dev (1.2.3+ds1-1) [non-free]
testing suite for uniform random number generators -- libdevel
libtestu01-0-dev-common (1.2.3+ds1-1) [non-free]
testing suite for uniform random number generators -- headers
libtestu01-dev
本虛擬套件由這些套件填實: libtestu01-0-dev
libtestu01-dev-common
本虛擬套件由這些套件填實: libtestu01-0-dev-common
libtet1.5 (1.5.0-5)
Quality Tetrahedral Mesh Generator
libtet1.5-dev (1.5.0-5)
Quality Tetrahedral Mesh Generator
libtevent-dev (0.10.2-1)
talloc-based event loop library - development files
libtevent0 (0.10.2-1)
talloc-based event loop library - shared library
libtex-encode-perl (2.009-1)
Perl module to transform UTF-8 strings into TeX
libtexhyphj-java (1.2+dfsg-2)
Support for TeX hyphenation patterns in Java
libtexlua53 (2020.20200327.54578-7+deb11u1)
TeX Live: Lua 5.3, modified for use with LuaTeX
libtexlua53-dev (2020.20200327.54578-7+deb11u1)
TeX Live: Lua 5.3, modified for use with LuaTeX (development part)
libtexluajit-dev (2020.20200327.54578-7+deb11u1)
TeX Live: LuaJIT, modified for use with LuaJITTeX (development part)
libtexluajit2 (2020.20200327.54578-7+deb11u1)
TeX Live: LuaJIT, modified for use with LuaJITTeX
libtext-affixes-perl (0.09-1)
Perl module for extracting prefixes and suffixes from a text
libtext-aligner-perl (0.07-1+b1)
Justify strings to various alignment styles
libtext-ansi-util-perl (0.230-2)
routines for text containing ANSI color codes
libtext-asciitable-perl (0.22-1)
Perl module to create a table using ASCII characters
libtext-aspell-perl (0.09-2+b2)
Perl interface to the GNU Aspell library
libtext-autoformat-perl (1.750000-1)
module for automatic text wrapping and reformatting
libtext-bibtex-perl (0.88-3+b2)
Perl extension to read and parse BibTeX files
libtext-bidi-perl (2.15-2+b1)
Unicode bidi algorithm for Perl using libfribidi
libtext-brew-perl (0.02-1.1)
perl implementation of Brew edit distance
libtext-charwidth-perl (0.04-10+b1)
get display widths of characters on the terminal
libtext-chasen-perl (1.04-5+b7)
Japanese Morphological Analysis System module for Perl
libtext-context-eitherside-perl (1.4-2.1)
Perl module to get context around a keyword
libtext-context-perl (3.7-2.1)
Perl module to highlight search result context snippets
libtext-csv-encoded-perl (0.25-2)
encoding-aware comma-separated values manipulator
libtext-csv-perl (2.00-1)
comma-separated values manipulator (using XS or PurePerl)
libtext-csv-xs-perl (1.45-1)
Perl C/XS module to process Comma-Separated Value files
libtext-dhcpleases-perl (1.0-2.1)
Perl module to parse DHCP leases file from ISC dhcpd
libtext-diff-perl (1.45-1)
Perl module to find differences in files and record sets
libtext-english-perl (1.606-1.1)
Perl module implementing the Porter Stemming algorithm
libtext-findindent-perl (0.11-1)
module to heuristically determine indentation style
libtext-flow-perl (0.01-2.1)
module providing generalized text wrapping
libtext-format-perl (0.62-1)
module for formatting plain text
libtext-formattable-perl (1.03-2.1)
Perl module to format text tables
libtext-german-perl (0.06-3)
German grundform reduction
libtext-glob-perl (0.11-1)
Perl module for matching globbing patterns against text
libtext-greeking-perl (0.15-1)
Perl module for generating meaningless filler text
libtext-header-perl (1.03+pristine-1.1)
RFC 822/2068 header and unheader functions
libtext-hogan-perl (2.03-1)
mustache templating engine statement-for-statement cloned from hogan.js
libtext-hunspell-perl (2.14-1+b5 [amd64, arm64, armel, armhf], 2.14-1+b4 [i386, mips64el, mipsel, ppc64el, s390x])
Perl interface to the GNU Hunspell library
libtext-iconv-perl (1.7-7+b1)
module to convert between character sets in Perl
libtext-kakasi-perl (2.04-4+b8 [amd64, armel, armhf, i386, mipsel, s390x], 2.04-4+b7 [arm64, mips64el, ppc64el])
KAKASI interface for scripting language perl
libtext-levenshtein-damerau-perl (0.41-1.1)
Edit distance calculator with Damerau Levenshtein algorithm
libtext-levenshtein-perl (0.13-1)
implementation of the Levenshtein edit distance
libtext-levenshteinxs-perl (0.03-4+b10 [s390x], 0.03-4+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.03-4+b7 [mips64el, ppc64el])
XS implementation of the Levenshtein edit distance
libtext-lorem-perl (0.34-1)
random faux Latin text generator
libtext-markdown-discount-perl (0.12-1+b1)
Perl interface to Discount, an implementation of Markdown
libtext-markdown-perl (1.000031-3)
module to convert Markdown syntax to (X)HTML
libtext-markup-perl (0.24-1)
Parse text markup into HTML
libtext-mecab-perl (0.20016-2+b5)
alternate MeCab Interface for Perl
libtext-mediawikiformat-perl (1.04-2.1)
module that converts Mediawiki markup into other text formats
libtext-metaphone-perl (20160805-1+b6)
modern soundex module providing phonetic encoding of words
libtext-micromason-perl (2.23-1)
simple and extensible templating module
libtext-microtemplate-perl (0.24-1.1)
Micro template engine with Perl5 language
libtext-multimarkdown-perl (1.000035-2)
module to convert MultiMarkdown syntax to (X)HTML
libtext-ngram-perl (0.15-2+b3)
efficient XS-Based n-gram spectrum analysis module
libtext-ngrams-perl (2.006-1)
Perl module for flexible N-gram analysis of text
libtext-password-pronounceable-perl (0.30-2.1)
Perl module to generate pronounceable passwords
libtext-patch-perl (1.8-2)
module for patching text with a given patch
libtext-pdf-perl (0.31-1.1)
relatively low-level interface for manipulating PDFs
libtext-qrcode-perl (0.05-1+b6)
module for generating text based QR Codes
libtext-quoted-perl (2.10-1)
Perl module to extract the structure of a quoted mail message
libtext-recordparser-perl (1.6.5-2)
module to parse record-oriented data in a text file
libtext-reflow-perl (1.17-1+b5)
Perl module for reflowing files using Knuth's algorithm
libtext-reform-perl (1.20-3)
Perl module for manual text wrapping and reformatting
libtext-rewriterules-perl (0.25-1.1)
system for rewriting text using regexp-based rules
libtext-roman-perl (3.5-2.1)
Allows conversion between Roman and Arabic algarisms
libtext-sass-perl (1.0.4-2)
implementation of the Sass CSS meta-language
libtext-simpletable-autowidth-perl (0.09-1.1)
simple eyecandy ASCII tables with auto-width selection
libtext-simpletable-perl (2.07-1)
Perl module for creating simple eyecandy ASCII Tables
libtext-soundex-perl (3.05-1)
implementation of the soundex algorithm
libtext-sprintfn-perl (0.08-1.1)
drop-in replacement for sprintf(), with named parameter support
libtext-table-perl (1.132-1+b1 [amd64], 1.132-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Create tables that adapt to alignment requirements
libtext-tabulardisplay-perl (1.38-1.1)
Perl module to display text as a formatted table
libtext-template-perl (1.59-1)
perl module to process text templates
libtext-textile-perl (2.13-2)
Perl extension to format text using a simple style of markup
libtext-trac-perl (0.24-1)
module for formatting text with Trac Wiki Style
libtext-trim-perl (1.04-1)
module for remove leading and/or trailing whitespace from strings
libtext-typography-perl (0.01-3.1)
markup ASCII text with correct typography for HTML
libtext-unaccent-perl (1.08-1.3+b5)
provides functions to remove accents using UTF16 as a pivot
libtext-unicode-equivalents-perl (0.05-1.1)
module to build Unicode canonically equivalent strings
libtext-unidecode-perl (1.30-1)
US-ASCII transliterations of Unicode text
libtext-vcard-perl (3.09-1.1)
module to parse, edit and create multiple vCards
libtext-vfile-asdata-perl (0.08-1.1)
generic perl module to read and write vfile files
libtext-wagnerfischer-perl (0.04-2)
implementation of the Wagner-Fischer edit distance
libtext-wikicreole-perl (0.07-2)
module to convert Wiki Creole 1.0 markup to XHTML
libtext-wikiformat-perl (0.79-1.1)
translates Wiki formatted text into other formats
libtext-worddiff-perl (0.09-1)
module to track changes between documents
libtext-wrapi18n-perl (0.06-9)
internationalized substitute of Text::Wrap
libtext-wrapper-perl (1.05-2)
simple word wrapping routine
libtext-xslate-perl (3.5.8-1+b1)
scalable template engine for Perl 5 (C/XS accelerated)
libtexttools-doc (2.1.0-18)
Ada library for writing console applications: documentation
libtexttools11 (2.1.0-18)
Ada library for writing console applications: shared library
libtexttools9-dev (2.1.0-18)
Ada library for writing console applications: development
libtextwrap-dev (0.1-14.2)
text-wrapping library with i18n - development files
libtextwrap1 (0.1-14.2)
國際化的 text-wrapping 函式庫 - 執行時期
libtextwrap1-udeb (0.1-14.2)
text-wrapping library with i18n - runtime udeb
libtf-conversions-dev (1.13.2-3)
Robot OS conversion library between Eigen, KDL and tf - development files
libtf-conversions0d (1.13.2-3)
Robot OS conversion library between tf and Eigen and KDL
libtf-dev (1.13.2-3)
Robot OS tf transform library - development files
libtf1d (1.13.2-3)
Robot OS tf transform library to keep track of multiple coordinate frames
libtf2-2d (0.7.5-3)
Robot OS tf2 transform library
libtf2-bullet-dev (0.7.5-3)
Robot OS tf2 transform library for Bullet - dev files
libtf2-dev (0.7.5-3)
Robot OS tf2 transform library - development files
libtf2-eigen-dev (0.7.5-3)
Robot OS tf2 transform library for Eigen - dev files
libtf2-geometry-msgs-dev (0.7.5-3)
Robot OS geometry messages for tf2 transform library - dev files
libtf2-kdl-dev (0.7.5-3)
Robot OS tf2 transform library using Orocos-KDL - dev files
libtf2-msgs-dev (0.7.5-3)
Robot OS messages for tf2 transform library - dev files
libtf2-ros-dev (0.7.5-3)
Robot OS binding for tf2 transform library - dev files
libtf2-ros1d (0.7.5-3)
Robot OS binding for tf2 transform library
libtf2-sensor-msgs-dev (0.7.5-3)
Small lib for ROS to transform sensor_msgs with tf2 - dev files
libtfbs-perl (0.7.1-3+b2)
scanning DNA sequence with a position weight matrix
libtfm-dev (0.13-4)
Fast multiple-precision integer library [development files]
libtfm1 (0.13-4)
Fast multiple-precision integer library [runtime]
libtgl-0.0.0.20160623-0 (2.0.1+git20160323.ffb04cac-3+b1)
telegram API library
libtgl-0.0.0.20160623-dev (2.0.1+git20160323.ffb04cac-3+b1)
telegram API library (development headers)
libtgowt-dev (0~git20210627.91d836d+dfsg-3~deb11u1)
telegram related WebRTC fork
libtgvoip-dev (2.4.4+git20210101.13a5fcb+ds-2)
VoIP library for Telegram clients - developer files
libthai-data (0.1.28-3)
Data files for Thai language support library
libthai-data-udeb (0.1.28-3)
Data files for libthai for D-I use
libthai-dev (0.1.28-3)
Development files for Thai language support library
libthai-doc (0.1.28-3)
Documentation files for Thai language support library
libthai0 (0.1.28-3)
Thai language support library
libthai0-udeb (0.1.28-3)
Thai language support library for D-I use
libthemedsupport (3.1.2-2)
Theme-D support library
libtheora-bin (1.1.1+dfsg.1-15)
Theora Video Compression Codec (example encoder, decoder)
libtheora-dev (1.1.1+dfsg.1-15)
Theora Video Compression Codec (development files)
libtheora-doc (1.1.1+dfsg.1-15)
Theora Video Compression Codec (documentation)
libtheora-ocaml (0.3.1-2+b3 [amd64], 0.3.1-2+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml interface to the theora library
libtheora-ocaml-8v7r9
本虛擬套件由這些套件填實: libtheora-ocaml
libtheora-ocaml-dev (0.3.1-2+b3 [amd64], 0.3.1-2+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml interface to the theora library
libtheora-ocaml-dev-8v7r9
本虛擬套件由這些套件填實: libtheora-ocaml-dev
libtheora-ocaml-dev-inmi7
本虛擬套件由這些套件填實: libtheora-ocaml-dev
libtheora-ocaml-dev-t7or0
本虛擬套件由這些套件填實: libtheora-ocaml-dev
libtheora-ocaml-dev-u0ts2
本虛擬套件由這些套件填實: libtheora-ocaml-dev
libtheora-ocaml-inmi7
本虛擬套件由這些套件填實: libtheora-ocaml
libtheora-ocaml-t7or0
本虛擬套件由這些套件填實: libtheora-ocaml
libtheora-ocaml-u0ts2
本虛擬套件由這些套件填實: libtheora-ocaml
libtheora0 (1.1.1+dfsg.1-15)
Theora Video Compression Codec
libtheschwartz-perl (1.12-1)
reliable job queue
libthread-conveyor-monitored-perl (0.15-1)
module to monitor a conveyor belt for specific content
libthread-conveyor-perl (0.20-1)
thread-safe conveyor belt for any data-structure
libthread-pool-dev (3.0.2-1)
C++ header-only thread pool library (devel)
libthread-pool-perl (0.35-1)
group of threads for performing similar jobs
libthread-pool-simple-perl (0.25-2.1)
Perl implementation of a simple thread pool
libthread-queue-any-perl (1.16-1)
module implementing thread-safe queues for any data-structure
libthread-queue-perl (= 3.14)
本虛擬套件由這些套件填實: perl
libthread-serialize-perl (1.02-1)
module to serialize data-structures between threads
libthread-sigmask-perl (0.004-2+b7)
module for thread specific signal masks
libthread-tie-perl (0.15-1)
alternative separate thread implementation of shared variables
libthreads-perl (= 2.25)
本虛擬套件由這些套件填實: perl
libthreads-shared-perl (= 1.61)
本虛擬套件由這些套件填實: perl
libthreeten-extra-java (1.5.0-1)
Additional functionality that enhances JSR-310 dates and times
libthrift-0.13.0 (0.13.0-6)
Thrift C++ library
libthrift-c-glib-dev (0.13.0-6)
Thrift glib library (development headers)
libthrift-c-glib0 (0.13.0-6)
Thrift glib library
libthrift-dev (0.13.0-6)
Thrift C++ library (development headers)
libthrift-perl (0.13.0-6)
Perl language support for Thrift
libthrowable-perl (0.200013-1.1)
role for classes that can be thrown
libthrust-dev (1.11.0-1)
Thrust - Parallel Algorithms Library
libthunarx-3-0 (4.16.8-1)
extension library for thunar
libthunarx-3-dev (4.16.8-1)
Development files for libthunarx
libticables-dev (1.3.5+dfsg-3+b1)
Texas Instruments link cables library [development files]
libticables2-7 (1.3.5+dfsg-3+b1)
Texas Instruments link cables library
libticalcs-dev (1.1.9+dfsg-2+b1)
Texas Instruments calculator communication library [development files]
libticalcs2-12 (1.1.9+dfsg-2+b1)
Texas Instruments calculator communication library
libticcutils-dev (0.24-2+b1)
utility functions used in the context of Natural Language Processing (headers)
libticcutils8 (0.24-2+b1)
utility functions used in the context of Natural Language Processing (library)
libticket-simple-perl (0.0.2-4.1)
basic ticket system
libtickit-async-perl (0.24-2+b1)
module to use Tickit with IO::Async
libtickit-console-perl (0.09-1)
module to build full-screen console-style applications
libtickit-dev (0.4.1-1)
Terminal Interface Construction KIT (development files)
libtickit-perl (0.71-3+b1)
Terminal Interface Construction KIT
libtickit-widget-floatbox-perl (0.08-1)
module to manage a collection of floating widgets
libtickit-widget-scrollbox-perl (0.09-1)
widget allowing a single child widget to be scrolled
libtickit-widget-scroller-perl (0.25-1)
scroller widget for Tickit
libtickit-widget-tabbed-perl (0.023-1)
basic tabbed window support for Tickit
libtickit-widgets-perl (0.31-1)
collection of Tickit::Widget implementations
libtickit3 (0.4.1-1)
Terminal Interface Construction KIT
libticonv-dev (1.1.5-2+b1)
Texas Instruments calculators charsets library [development files]
libticonv8 (1.1.5-2+b1)
Texas Instruments calculators charsets library
libtidy-dev (2:5.6.0-11)
HTML/XML syntax checker and reformatter - development
libtidy5deb1 (2:5.6.0-11)
HTML/XML syntax checker and reformatter - shared library
libtie-array-iterable-perl (0.03-2)
module allowing creation of iterators for lists and arrays
libtie-array-sorted-perl (1.41-3.1)
Perl array which is kept sorted
libtie-cache-perl (0.21-1)
module for LRU cache in memory
libtie-cphash-perl (2.000-1.1)
module for managing a case preserving but case insensitive hash table
libtie-cycle-perl (1.226-1)
module for cycling through a list of values via a scalar
libtie-dbi-perl (1.08-1)
module tying hashes to relational databases
libtie-dxhash-perl (1.05-2.1)
Perl module that keeps insertion order in hash
libtie-encryptedhash-perl (1.24-2)
module for encrypted hashes and objects based on hashes
libtie-handle-offset-perl (0.004-1.1)
module to provide tied handle that hides the beginning of a file
libtie-hash-expire-perl (0.03-1.1)
Perl module providing hashes with keys that expire after a user-set period
libtie-hash-indexed-perl (0.08-1)
Ordered hashes for Perl
libtie-hash-regex-perl (1.14-1)
module to match hash keys using regular expressions
libtie-ical-perl (0.15-2.1)
module for tieing iCal files to Perl hashes
libtie-ixhash-perl (1.23-2.1)
Perl module to order associative arrays
libtie-persistent-perl (1.00-2.1)
tied interface to persistent file
libtie-refhash-weak-perl (0.09-2.1)
Tie::RefHash subclass with weakened references in the keys
libtie-shadowhash-perl (1.00-1.1)
Merge multiple data sources into a hash
libtie-simple-perl (1.04-1)
simple way to tie Perl variables
libtie-toobject-perl (0.03-4)
module for tying to an existing object
libtiff-dev (4.2.0-1+deb11u5)
Tag Image File Format library (TIFF), development files
libtiff-doc (4.2.0-1+deb11u5)
TIFF manipulation and conversion documentation
libtiff-opengl (4.2.0-1+deb11u5)
TIFF manipulation and conversion tools
libtiff-tools (4.2.0-1+deb11u5)
TIFF manipulation and conversion tools
libtiff5 (4.2.0-1+deb11u5)
Tag Image File Format (TIFF) library
libtiff5-dev (4.2.0-1+deb11u5)
Tag Image File Format library (TIFF), development files (transitional package)
libtiffxx5 (4.2.0-1+deb11u5)
Tag Image File Format (TIFF) library -- C++ interface
libtifiles-dev (1.1.7-2+b1)
Texas Instruments calculator files library [development files]
libtifiles2-10 (1.1.7-2+b1)
Texas Instruments calculator files library
libtigris-clojure (0.1.1-3)
stream-based JSON escaping for Clojure
libtika-java (1.22-2)
Apache Tika - content analysis toolkit
libtiled-dev (1.4.3-1)
library for general purpose tile map editor (development headers)
libtiled1 (1.4.3-1)
library for general purpose tile map editor
libtiles-autotag-java (1.2-2)
Automatic tag generation for Apache Tiles
libtiles-java (3.0.7-4)
Java templating framework for web application user interfaces
libtiles-java-doc (3.0.7-4)
Java templating framework for web application user interfaces - documentation
libtiles-request-java (1.0.7-1)
Tiles Request Framework
libtimbl-dev (6.5-3)
Tilburg Memory Based Learner - development
libtimbl4 (6.5-3)
Tilburg Memory Based Learner - runtime
libtimblserver-dev (1.14-3)
Server extensions for Timbl - development
libtimblserver4 (1.14-3)
Server extensions for Timbl - runtime
libtime-clock-perl (1.03-1)
twenty-four hour clock object with nanosecond precision
libtime-duration-parse-perl (0.15-1)
module to parse a string that represents a time duration
libtime-duration-perl (1.21-1)
module for rounded or exact English expression of durations
libtime-fake-perl (0.11-3.1)
Perl module for simulating different times without changing your system clock
libtime-format-perl (1.16-1)
module for easy date/time formatting
libtime-hires-perl (= 1.9764)
本虛擬套件由這些套件填實: perl
libtime-hr-perl (0.02-2+b3)
Perl interface to high-resolution timer
libtime-human-perl (1.03-4.1)
convert localtime() format to "speaking clock" time
libtime-local-perl (= 1.2800)
本虛擬套件由這些套件填實: perl
libtime-mock-perl (0.0.2-7)
shift and scale time Perl module
libtime-moment-perl (0.44-1+b3)
Perl C/XS module representing date and time of day with UTC offset
libtime-olsontz-download-perl (0.009-1)
Olson timezone database source module
libtime-out-perl (0.11-1.1)
module to easily timeout long running operations
libtime-parsedate-perl (2015.103-3)
collection of Perl modules for time/date manipulation
libtime-period-perl (1.25-1)
Perl library for testing if a time() is in a specific period
libtime-piece-mysql-perl (0.06-2.1)
module adding MySQL-specific methods to Time::Piece
libtime-piece-perl (= 1.3401)
本虛擬套件由這些套件填實: perl
libtime-progress-perl (2.12-1.1)
perl module for elapsed and estimated finish time reporting
libtime-stopwatch-perl (1.00-6.1)
Perl module to use tied scalars as timers
libtime-tiny-perl (1.08-1.1)
time object, with as little code as possible
libtime-warp-perl (0.54-1+b3)
module to provide control over the flow of time
libtime-y2038-perl (20100403-6)
Perl module with time functions working beyond 2038
libtimedate-perl (2.3300-2)
collection of modules to manipulate date/time information
libtimezonemap-data (0.4.6-2)
GTK+3 timezone map widget - data files
libtimezonemap1 (0.4.6-2)
GTK+3 timezone map widget
libtimezonemap1-dev (0.4.6-2)
GTK+3 timezone map widget - development files
libtimingframework-java (1.0-2)
A Java framework for timing and animations
libtimingframework-java-doc (1.0-2)
A Java framework for timing and animations (documentation)
libtinfo-dev (6.2+20201114-2+deb11u2)
transitional package for libncurses-dev
libtinfo-dev (= 6.2+20201114-2+deb11u2)
本虛擬套件由這些套件填實: libncurses-dev
libtinfo5 (6.2+20201114-2+deb11u2)
shared low-level terminfo library (legacy version)
libtinfo6 (6.2+20201114-2+deb11u2)
shared low-level terminfo library for terminal handling
libtinfo6-udeb (6.2+20201114-2+deb11u2)
shared low-level terminfo library for terminal handling - udeb
libtins-dev (4.0-1+b1 [amd64], 4.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
packet crafting and sniffing library - development files
libtins4.0 (4.0-1+b1 [amd64], 4.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
packet crafting and sniffing library
libtinyexr-dev (1.0.0+dfsg-1+deb11u1)
C++ library to load and save OpenEXR images - development headers
libtinyexr1d (1.0.0+dfsg-1+deb11u1)
C++ library to load and save OpenEXR images
libtinygltf-dev (2.5.0+dfsg-3+deb11u1)
glTF loader and saver library - header files
libtinygltf1d (2.5.0+dfsg-3+deb11u1)
glTF loader and saver library
libtinyobjloader-dev (2.0.0~rc5+dfsg1-4+b1)
Tiny but powerful wavefront OBJ loader (header)
libtinyobjloader1 (2.0.0~rc5+dfsg1-4+b1)
Tiny but powerful wavefront OBJ loader
libtinyxml-dev (2.6.2-4+deb11u2)
TinyXml library - header and static library
libtinyxml-doc (2.6.2-4+deb11u2)
TinyXml library - documentation files
libtinyxml2-8 (8.0.0+dfsg-2)
C++ XML parsing library
libtinyxml2-dev (8.0.0+dfsg-2)
TinyXML2 library - header and static library
libtinyxml2.6.2v5 (2.6.2-4+deb11u2)
C++ XML parsing library
libtinyxml2.6.2v5-dbg (2.6.2-4+deb11u2)
TinyXml library - debug files
libtirpc-common (1.3.1-1+deb11u1)
transport-independent RPC library - common files
libtirpc-dev (1.3.1-1+deb11u1)
transport-independent RPC library - development files
libtirpc3 (1.3.1-1+deb11u1)
transport-independent RPC library
libtirpc3-udeb (1.3.1-1+deb11u1)
transport-independent RPC library - udeb
libtk
本虛擬套件由這些套件填實: libtk8.6
libtk-dirselect-perl (1.12-3)
cross-platform directory selection widget
libtk-doubleclick-perl (0.04-1)
Perl/Tk function to handle double and single clicks
libtk-filedialog-perl (1.3-5.1)
highly configurable file dialog widget for Perl/Tk
libtk-fontdialog-perl (0.18-1.1)
font dialog widget for perl/Tk
libtk-gbarr-perl (2.08-2.1)
various Tk Perl widgets
libtk-histentry-perl (0.45-1)
Tk entry widget with history
libtk-img (1:1.4.13+dfsg-1)
Extended image format support for Tcl/Tk (runtime)
libtk-img-dev (1:1.4.13+dfsg-1)
Extended image format support for Tcl/Tk (development files)
libtk-img-doc (1:1.4.13+dfsg-1)
Extended image format support for Tcl/Tk (manual pages)
libtk-objeditor-perl (2.009-1)
Perl/Tk widget to edit recursively a data structure
libtk-objscanner-perl (2.017-1)
Tk viewer for perl data structures
libtk-perl
本虛擬套件由這些套件填實: perl-tk
libtk-png-perl
本虛擬套件由這些套件填實: perl-tk
libtk-pod-perl (0.9943-1)
Tk Pod browser widget with hypertext capability
libtk-splashscreen-perl (1.0-4.1)
Toplevel mega widget to display a splashscreen
libtk-tablematrix-perl (1.26-1+b1)
Table/matrix widget extension to Perl/Tk
libtk8.6 (8.6.11-2)
Tk toolkit for Tcl and X11 v8.6 - run-time files
libtl-parser-dev (0.0.0+git20180215.f49077de-4.1)
command-line tool to parse TL scheme to tlo file (development)
libtllist-dev (1.0.4-1)
C header file only implementation of a typed linked list
libtlsh-dev (3.4.4+20151206-1.3+b4)
fuzzy hashing library - development files
libtlsh0 (3.4.4+20151206-1.3+b4)
fuzzy hashing library
libtmglib-dev (3.9.0-3+deb11u1)
test matrix generators of LAPACK - development files
libtmglib3 (3.9.0-3+deb11u1)
test matrix generators of LAPACK - shared version
libtmglib64-3 (3.9.0-3+deb11u1)
test matrix generators of LAPACK - shared version (64bit-index)
libtmglib64-dev (3.9.0-3+deb11u1)
test matrix generators of LAPACK - development files (64bit-index)
libtnt-dev (1.2.6-1.1)
interface for scientific computing in C++
libtntdb-dev (1.3-4+b1)
Development headers for tntdb
libtntdb4v5 (1.3-4+b1)
C++ class library for easy database access
libtntnet-dev (2.2.1-4)
Tntnet library development headers
libtntnet12v5 (2.2.1-4)
Tntnet libraries
libtogl-dev (2.0-1.1)
Tk OpenGL widget - development files
libtogl2 (2.0-1.1)
Tk OpenGL widget
libtokyocabinet-dev (1.4.48-13)
Tokyo Cabinet Database Libraries [development]
libtokyocabinet-perl (1.34-2+b10 [s390x], 1.34-2+b9 [mipsel], 1.34-2+b8 [amd64, armel, armhf, i386], 1.34-2+b7 [arm64, mips64el, ppc64el])
Perl Binding of Tokyo Cabinet
libtokyocabinet9 (1.4.48-13)
Tokyo Cabinet Database Libraries [runtime]
libtolua++5.1-dev (1.0.93-3.1)
extended tool to integrate C/C++ code with Lua (devel)
libtolua-dev (5.2.0-1+b1)
Tool to integrate C/C++ code with Lua - development files
libtomcat9-embed-java (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- embed libraries
libtomcat9-java (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- core libraries
libtomcatjss-java (7.6.1-1)
JSSE implementation using JSS for Tomcat
libtomcrypt-dev (1.18.2-5)
static library, header files and documentation for libtomcrypt
libtomcrypt1 (1.18.2-5)
public domain open source cryptographic toolkit
libtoml-parser-perl (0.91-1)
simple toml parser
libtoml-perl (0.97-1)
Perl module for reading and writing TOML files
libtoml-tiny-perl (0.11-1)
minimal, pure perl TOML parser and serializer
libtommath-dev (1.2.0-6)
multiple-precision integer library [development files]
libtommath-doc (1.2.0-6)
multiple-precision integer library [documentation]
libtommath1 (1.2.0-6)
multiple-precision integer library [runtime]
libtomoyotools3 (2.6.0-20201111-1)
lightweight Linux Mandatory Access Control system - library
libtonezone-dev (1:3.1.0-2)
tonezone library (development)
libtonezone2.0 (1:3.1.0-2)
tonezone library (runtime)
libtool (2.4.6-15)
Generic library support script
libtool-bin (2.4.6-15)
Generic library support script (libtool binary)
libtool-doc (2.4.6-15)
Generic library support script
libtoolkit-perl (0.0.2-2.1)
Keep your handy modules organized
libtools-analyzer-clojure (1.0.0-2)
analyzer for host agnostic Clojure code
libtools-analyzer-jvm-clojure (1.1.0-2)
analyzer for Clojure code providing additional jvm-specific passes
libtools-cli-clojure (1.0.194-2)
command line argument parser for Clojure
libtools-logging-clojure (0.2.3-6)
Logging macros for Clojure
libtools-macro-clojure (0.1.5-2)
Clojure tools for writing macros
libtools-namespace-clojure (0.2.11-1.1)
tools for managing namespaces in Clojure
libtools-nrepl-clojure (0.2.13-2)
Clojure network REPL
libtools-reader-clojure (1.3.4-1)
complete Clojure and EDN-only reader
libtools-trace-clojure (0.7.9-1.1)
Clojure tracing facility in Clojure
libtophide-ocaml-dev (1.0.4-3)
hide values that start with '_' in OCaml toplevel
libtophide-ocaml-dev-j56r7
本虛擬套件由這些套件填實: libtophide-ocaml-dev
libtopic-tools-dev (1.15.9+ds1-7+deb11u1)
development files for libtopic-tools (Robot OS)
libtopic-tools2d (1.15.9+ds1-7+deb11u1)
library for messing with Robot OS topics
libtopkg-ocaml-dev (1.0.3-1)
packager for OCaml software
libtorch-dev (1.7.1-7)
Tensors and Dynamic neural networks in Python with strong GPU acceleration
libtorch-test (1.7.1-7)
Tensors and Dynamic neural networks in Python with strong GPU acceleration
libtorch1.7 (1.7.1-7)
Tensors and Dynamic neural networks in Python with strong GPU acceleration
libtorrent-dev (0.13.8-2)
C++ BitTorrent library by Rakshasa (development files)
libtorrent-rasterbar-dbg (1.2.9-0.3)
Debug symbols for libtorrent-rasterbar
libtorrent-rasterbar-dev (1.2.9-0.3)
Development files for libtorrent-rasterbar
libtorrent-rasterbar-doc (1.2.9-0.3)
Documentation for libtorrent-rasterbar
libtorrent-rasterbar10 (1.2.9-0.3)
C++ bittorrent library by Rasterbar Software
libtorrent21 (0.13.8-2)
C++ BitTorrent library by Rakshasa
libtotem-dev (3.38.0-2)
Main library for the Totem media player - development files
libtotem-plparser-common (3.26.5-5)
Totem Playlist Parser library - common files
libtotem-plparser-dev (3.26.5-5)
Totem Playlist Parser library - development files
libtotem-plparser18 (3.26.5-5)
Totem Playlist Parser library - runtime files
libtotem0 (3.38.0-2)
Main library for the Totem media player
libtoxcore-dev (0.2.12-1)
Distributed, secure messenger - development headers
libtoxcore2 (0.2.12-1)
Distributed, secure messenger
libtpl-dev (1.6.1-1.1)
efficient C serialization library - development files
libtpl0 (1.6.1-1.1)
efficient C serialization library
libtpm-unseal-dev (1.3.9.1-0.2+b1 [amd64], 1.3.9.1-0.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Management tools for the TPM hardware (development)
libtpm-unseal1 (1.3.9.1-0.2+b1 [amd64], 1.3.9.1-0.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Management tools for the TPM hardware (library)
libtpm2-pkcs11-1 (1.5.0-4)
pkcs11 library for tpm2
libtpm2-pkcs11-1-dev (1.5.0-4)
pkcs11 library for tpm2 - development files
libtpm2-pkcs11-tools (1.5.0-4)
pkcs11 library for tpm2 - command-line tools
libtr-tid2 (3.5.4+1)
Moonshot Temporary Identity Shared Libraries
libtrace-dev
本虛擬套件由這些套件填實: libtrace3-dev
libtrace-tools (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
helper utilities for use with the libtrace process library
libtrace3 (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
network trace processing library supporting many input formats
libtrace3-dev (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
development headers for the libtrace network processing library
libtraceevent-dev (1:1.1.2-1)
Linux kernel trace event library (development files)
libtraceevent-doc (1:1.1.2-1)
Linux kernel trace event library (documentation)
libtraceevent1 (1:1.1.2-1)
Linux kernel trace event library (shared library)
libtraceevent1-plugin (1:1.1.2-1)
Linux kernel trace event library (plugins)
libtracefs-dev (1.0.2-1)
API to access the kernel tracefs directory (development files)
libtracefs-doc (1.0.2-1)
API to access the kernel tracefs directory (documentation)
libtracefs1 (1.0.2-1)
API to access the kernel tracefs directory (shared library)
libtracker-control-2.0-0 (2.3.6-2)
library to control/monitor tracker miners
libtracker-control-2.0-dev (2.3.6-2)
library to control/monitor tracker miners - development files
libtracker-control-doc (2.3.6-2)
library to control/monitor tracker miners - API documentation
libtracker-miner-2.0-0 (2.3.6-2)
tracker data miner library
libtracker-miner-2.0-dev (2.3.6-2)
tracker data miner library - development files
libtracker-miner-doc (2.3.6-2)
tracker data miner library - API documentation
libtracker-sparql-2.0-0 (2.3.6-2)
metadata database, indexer and search tool - library
libtracker-sparql-2.0-dev (2.3.6-2)
metadata database, indexer and search tool - development files
libtracker-sparql-doc (2.3.6-2)
metadata database, indexer and search tool - API documentation
libtraildb-dev (0.6+dfsg1-1+b1)
library to handle series of discrete events (development files)
libtraildb0 (0.6+dfsg1-1+b1)
library to handle series of discrete events
libtrajectory-msgs-dev (1.13.0-2)
Messages relating to Robot OS trajectory, C/C++ interface
libtrampoline1 (2.2-1)
foreign function call libraries - closures in C (non-reentrant variant)
libtrang-java (20181222+dfsg2-4)
XML schema converter - Java library
libtranscript-dev (0.3.3-1+b1 [amd64], 0.3.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Development files for libtranscript
libtranscript1 (0.3.3-1+b1 [amd64], 0.3.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Character set conversion library
libtransmission-client-perl (0.0806-1)
Perl interface to Transmission
libtrapperkeeper-authorization-clojure (1.0.0-2)
authorization service for use with the trapperkeeper service framework
libtrapperkeeper-clojure (3.1.0-2)
framework for configuring, composing and running Clojure services
libtrapperkeeper-comidi-metrics-clojure (0.1.2-2)
ring middleware to automatically track metrics for requests
libtrapperkeeper-filesystem-watcher-clojure (1.2.2-2)
Trapperkeeper filesystem watcher service
libtrapperkeeper-metrics-clojure (1.3.1-2)
Trapperkeeper Metrics Service
libtrapperkeeper-scheduler-clojure (1.1.3-4)
Trapperkeeper service for scheduling background tasks
libtrapperkeeper-status-clojure (1.1.1-2)
status monitoring for trapperkeeper services
libtrapperkeeper-webserver-jetty9-clojure (4.1.0-4)
trapperkeeper webserver service
libtravel-routing-de-vrr-perl (2.19-1)
unofficial interface to the efa.vrr.de German itinerary service
libtre-dev (0.8.0-6+b1)
development package for the libtre5 regexp matching library
libtre5 (0.8.0-6+b1)
regexp matching library with approximate matching
libtree-dagnode-perl (1.32-1)
Perl (super)class for representing nodes in a tree
libtree-multinode-perl (1.0.10-2.1)
a multi node tree object
libtree-r-perl (0.072-1.1)
Perl extension for the R-tree data structure and algorithms
libtree-rb-perl (0.500006-1)
Perl implementation of the Red/Black tree
libtree-redblack-perl (0.5-2.1)
Perl implementation of Red/Black tree, a balanced tree
libtree-simple-perl (1.34-1)
implementation of a simple tree object
libtree-simple-visitorfactory-perl (0.16-1)
collection of dispensing visitor objects for Tree::Simple
libtree-xpathengine-perl (0.05-1.1)
re-usable XPath engine
libtreelayout-java (1.0.3-2)
Efficient and customizable TreeLayout Algorithm in Java
libtreil-dev (1.8-2.2+b5)
Development library for treil (tree structure into tiles)
libtreil0 (1.8-2.2+b5)
Shared library for treil (tree structure into tiles)
libtrf-tcl
本虛擬套件由這些套件填實: tcl-trf
libtrf-tcl-dev
本虛擬套件由這些套件填實: tcl-trf-dev
libtrf-tcl-doc
本虛擬套件由這些套件填實: tcl-trf-doc
libtriangle-1.6 (1.6-3) [non-free]
High-quality 2-D mesh generator shared library
libtriangle-dev (1.6-3) [non-free]
High-quality 2-D mesh generator development files
libtrident-java (7.3+dfsg3-5)
Animation library for Java applications
libtrident-java-doc (7.3+dfsg3-5)
Animation library for Java applications (documentation)
libtrie-ocaml (1.0.0-1+b2 [amd64], 1.0.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
strict impure trie tree in OCaml (runtime)
libtrie-ocaml-27ew7
本虛擬套件由這些套件填實: libtrie-ocaml
libtrie-ocaml-bvm82
本虛擬套件由這些套件填實: libtrie-ocaml
libtrie-ocaml-dev (1.0.0-1+b2 [amd64], 1.0.0-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
strict impure trie tree in OCaml (dev)
libtrie-ocaml-dev-27ew7
本虛擬套件由這些套件填實: libtrie-ocaml-dev
libtrie-ocaml-dev-bvm82
本虛擬套件由這些套件填實: libtrie-ocaml-dev
libtrie-ocaml-dev-e8u15
本虛擬套件由這些套件填實: libtrie-ocaml-dev
libtrie-ocaml-dev-pzka0
本虛擬套件由這些套件填實: libtrie-ocaml-dev
libtrie-ocaml-e8u15
本虛擬套件由這些套件填實: libtrie-ocaml
libtrie-ocaml-pzka0
本虛擬套件由這些套件填實: libtrie-ocaml
libtrilead-putty-extension-java (1.2-2)
PuTTY key support for Trilead SSH2 library
libtrilead-ssh2-java (6401+svn158-1.1)
Java SSH library
libtrilinos-amesos-dev (12.18.1-2)
direct sparse solver package - development files
libtrilinos-amesos12 (12.18.1-2)
direct sparse solver package - runtime files
libtrilinos-amesos2-12 (12.18.1-2)
next generation direct sparse solver package - runtime files
libtrilinos-amesos2-dev (12.18.1-2)
next generation direct sparse solver package - development files
libtrilinos-anasazi-dev (12.18.1-2)
large-scale eigenvalue algorithms - development files
libtrilinos-anasazi12 (12.18.1-2)
large-scale eigenvalue algorithms - runtime files
libtrilinos-aztecoo-dev (12.18.1-2)
object-oriented interface to the Aztec solver - development files
libtrilinos-aztecoo12 (12.18.1-2)
object-oriented interface to the Aztec solver - runtime files
libtrilinos-belos-dev (12.18.1-2)
iterative linear solvers - development files
libtrilinos-belos12 (12.18.1-2)
iterative linear solvers - runtime files
libtrilinos-epetra-dev (12.18.1-2)
basis package for linear algebra - development files
libtrilinos-epetra12 (12.18.1-2)
basis package for linear algebra - runtime files
libtrilinos-epetraext-dev (12.18.1-2)
extensions to the Epetra toolkit - development files
libtrilinos-epetraext12 (12.18.1-2)
extensions to the Epetra toolkit - runtime files
libtrilinos-galeri-dev (12.18.1-2)
generation of distributed linear systems - development files
libtrilinos-galeri12 (12.18.1-2)
generation of distributed linear systems - runtime files
libtrilinos-globipack-dev (12.18.1-2)
1D globalization capabilities - development files
libtrilinos-globipack12 (12.18.1-2)
1D globalization capabilities - runtime files
libtrilinos-ifpack-dev (12.18.1-2)
algebraic preconditioners - development files
libtrilinos-ifpack12 (12.18.1-2)
algebraic preconditioners - runtime files
libtrilinos-ifpack2-12 (12.18.1-2)
next generation algebraic preconditioners - runtime files
libtrilinos-ifpack2-dev (12.18.1-2)
next generation algebraic preconditioners - development files
libtrilinos-intrepid-dev (12.18.1-2)
compatible discretizations of PDEs - development files
libtrilinos-intrepid12 (12.18.1-2)
compatible discretizations of PDEs - runtime files
libtrilinos-intrepid2-12 (12.18.1-2)
next generation compatible discretizations of PDEs - runtime files
libtrilinos-intrepid2-dev (12.18.1-2)
next generation compatible discretizations of PDEs - development files
libtrilinos-isorropia-dev (12.18.1-2)
partitioning, load balancing, coloring of sparse matrices - development files
libtrilinos-isorropia12 (12.18.1-2)
partitioning, load balancing, coloring of sparse matrices - runtime files
libtrilinos-kokkos-dev (12.18.1-2)
Trilinos Kokkos programming model - development files
libtrilinos-kokkos-kernels-dev (12.18.1-2)
Kokkos local computational kernels - development files
libtrilinos-kokkos-kernels12 (12.18.1-2)
Kokkos local computational kernels - runtime files
libtrilinos-kokkos12 (12.18.1-2)
Trilinos Kokkos programming model - runtime files
libtrilinos-komplex-dev (12.18.1-2)
complex linear solver package - development files
libtrilinos-komplex12 (12.18.1-2)
complex linear solver package - runtime files
libtrilinos-ml-dev (12.18.1-2)
multigrid preconditioning - development files
libtrilinos-ml12 (12.18.1-2)
multigrid preconditioning - runtime files
libtrilinos-moertel-dev (12.18.1-2)
mortar methods package - development files
libtrilinos-moertel12 (12.18.1-2)
mortar methods package - runtime files
libtrilinos-muelu-dev (12.18.1-2)
next generation multilevel preconditioner capabilities - development files
libtrilinos-muelu12 (12.18.1-2)
next generation multilevel preconditioner capabilities - runtime files
libtrilinos-nox-dev (12.18.1-2)
robust solution of nonlinear equations - development files
libtrilinos-nox12 (12.18.1-2)
robust solution of nonlinear equations - runtime files
libtrilinos-optipack-dev (12.18.1-2)
Trilinos optimization algorithms - development files
libtrilinos-optipack12 (12.18.1-2)
Trilinos optimization algorithms - runtime files
libtrilinos-pamgen-dev (12.18.1-2)
hexahedral and quadrilateral mesh generator - development files
libtrilinos-pamgen12 (12.18.1-2)
hexahedral and quadrilateral mesh generator - runtime files
libtrilinos-phalanx-dev (12.18.1-2)
local field evaluation kernel - development files
libtrilinos-phalanx12 (12.18.1-2)
local field evaluation kernel - runtime files
libtrilinos-pike-dev (12.18.1-2)
physics integration kernels - development files
libtrilinos-pike12 (12.18.1-2)
physics integration kernels - runtime files
libtrilinos-piro-dev (12.18.1-2)
embedded nonlinear analysis package - development files
libtrilinos-piro12 (12.18.1-2)
embedded nonlinear analysis package - runtime files
libtrilinos-pliris-dev (12.18.1-2)
Trilinos dense solvers package - development files
libtrilinos-pliris12 (12.18.1-2)
Trilinos dense solvers package - runtime files
libtrilinos-rol-dev (12.18.1-2)
rapid optimization library - development files
libtrilinos-rol12 (12.18.1-2)
rapid optimization library - runtime files
libtrilinos-rtop-dev (12.18.1-2)
reductions/transformation operators - development files
libtrilinos-rtop12 (12.18.1-2)
reductions/transformation operators - runtime files
libtrilinos-rythmos-dev (12.18.1-2)
Trilinos transient integrator - development files
libtrilinos-rythmos12 (12.18.1-2)
Trilinos transient integrator - runtime files
libtrilinos-sacado-dev (12.18.1-2)
automatic differentiation for C++ applications - development files
libtrilinos-sacado12 (12.18.1-2)
automatic differentiation for C++ applications - runtime files
libtrilinos-shards-dev (12.18.1-2)
interoperability tools for PDE solving - development files
libtrilinos-shards12 (12.18.1-2)
interoperability tools for PDE solving - runtime files
libtrilinos-shylu-dev (12.18.1-2)
solving sparse linear systems - development files
libtrilinos-shylu12 (12.18.1-2)
solving sparse linear systems - runtime files
libtrilinos-stokhos-dev (12.18.1-2)
Trilinos uncertainty quantification package - development files
libtrilinos-stokhos12 (12.18.1-2)
Trilinos uncertainty quantification package - runtime files
libtrilinos-stratimikos-dev (12.18.1-2)
wrappers for linear solvers and preconditioners - development files
libtrilinos-stratimikos12 (12.18.1-2)
wrappers for linear solvers and preconditioners - runtime files
libtrilinos-teko-dev (12.18.1-2)
block preconditioners - development files
libtrilinos-teko12 (12.18.1-2)
block preconditioners - runtime files
libtrilinos-teuchos-dev (12.18.1-2)
common tools for Trilinos - development files
libtrilinos-teuchos12 (12.18.1-2)
common tools for Trilinos - runtime files
libtrilinos-thyra-dev (12.18.1-2)
interoperability layer for numerical software - development files
libtrilinos-thyra12 (12.18.1-2)
interoperability layer for numerical software - runtime files
libtrilinos-tpetra-dev (12.18.1-2)
templated numerical linear algebra package - development files
libtrilinos-tpetra12 (12.18.1-2)
templated numerical linear algebra package - runtime files
libtrilinos-trilinoscouplings-dev (12.18.1-2)
combining Trilinos packages - development files
libtrilinos-trilinoscouplings12 (12.18.1-2)
combining Trilinos packages - runtime files
libtrilinos-trilinosss-dev (12.18.1-2)
suitesparse with adaptations for Amesos - development files
libtrilinos-trilinosss12 (12.18.1-2)
suitesparse with adaptations for Amesos - runtime files
libtrilinos-triutils-dev (12.18.1-2)
utilities for Trilinos - development files
libtrilinos-triutils12 (12.18.1-2)
utilities for Trilinos - runtime files
libtrilinos-xpetra-dev (12.18.1-2)
conversion between Epetra and Teuchos objects - development files
libtrilinos-xpetra12 (12.18.1-2)
conversion between Epetra and Teuchos objects - runtime files
libtrilinos-zoltan-dev (12.18.1-2)
parallel dynamic load balancing - development files
libtrilinos-zoltan12 (12.18.1-2)
parallel dynamic load balancing - runtime files
libtrilinos-zoltan2-12 (12.18.1-2)
Trilinos next generation load balancing package - runtime files
libtrilinos-zoltan2-dev (12.18.1-2)
Trilinos next generation load balancing package - development files
libtrio-dev (1.16+dfsg1-3+b2)
portable and extendable printf and string functions development files
libtrio2 (1.16+dfsg1-3+b2)
portable and extendable printf and string functions
libtritonus-bin
本虛擬套件由這些套件填實: libtritonus-jni
libtritonus-java (20070428-14.1)
implementation of the Java Sound API
libtritonus-jni (20070428-14.1)
implementation of the Java Sound API (jni shared libraries)
libtrollop-ruby
本虛擬套件由這些套件填實: ruby-trollop
libtrove-intellij-java (1.0.20190514-2)
high performance collections for java
libtrove-java (2.1.0-3)
high performance collections for java
libtrove-java-doc (2.1.0-3)
high performance collections for java
libtrove3-java (3.0.3-5)
high performance collections for java
libtrove3-java-doc (3.0.3-5)
high performance collections for java
libtrue-perl (1.0.2-1)
automatically return a true value when a file is required
libtruffle-dsl-processor-java (0.6-2.1)
Java library that helps writing Truffle nodes in a efficient way
libtruffle-dsl-processor-java-doc (0.6-2.1)
Documentation for truffle-dsl-processor
libtruffle-java (0.6-3)
multi-language framework for executing dynamic languages
libtruffle-java-doc (0.6-3)
Documentation for truffle
libtruth-java (0.39-1)
assertion/proposition framework for Java unit tests
libtry-tiny-byclass-perl (0.01-2.1)
selectively catch exceptions by class name
libtry-tiny-perl (0.30-1)
module providing minimalistic try/catch
libtry-tiny-smartcatch-perl (0.5-1.1)
lightweight Perl module for powerful exceptions handling
libtrycatch-perl (1.003002-2+b7 [amd64, armel, armhf, i386, mipsel, s390x], 1.003002-2+b6 [arm64, mips64el, ppc64el])
first class try catch semantics for Perl
libts-bin (1.22-1+b1)
touch screen library utilities
libts-dev (1.22-1+b1)
touch screen library, development files
libts0 (1.22-1+b1)
touch screen library
libtsan0 (10.2.1-6)
ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
libtsan0-amd64-cross (10.2.1-6cross1)
ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
libtsan0-arm64-cross (10.2.1-6cross1)
ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
libtsan0-ppc64-cross (10.2.1-6cross1)
ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
libtsan0-ppc64el-cross (10.2.1-6cross1)
ThreadSanitizer -- a Valgrind-based detector of data races (runtime)
libtse3-0.3.1c2a (0.3.1-6)
portable MIDI sequencer engine in C++
libtse3-dev (0.3.1-6)
portable MIDI sequencer engine in C++ - development files
libtsk-dev (4.10.1+dfsg-1)
library for forensics analysis (development files)
libtsk19 (4.10.1+dfsg-1)
library for forensics analysis on volume and filesystem data
libtsl-hopscotch-map-dev (2.3.0-1)
C++ fast hash map and hash set using hopscotch hashing
libtsl-ordered-map-dev (1.0.0-1)
C++ insertion-order-preserving hash map and hash set
libtsm-dev (3-1+b1)
Terminal-emulator State Machine - development
libtsm3 (3-1+b1)
Terminal-emulator State Machine - runtime
libtspi-dev (0.3.14+fixed1-1.2)
open-source TCG Software Stack (development)
libtspi1 (0.3.14+fixed1-1.2)
open-source TCG Software Stack (library)
libtss-dev (1045-1.2)
Development headers for IBM's TSS 2.0
libtss0 (1045-1.2)
Development library for IBM's TSS 2.0
libtss2-dev (3.0.3-2)
TPM2 Software stack library - development files
libtss2-esys-3.0.2-0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-fapi1 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-mu0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-rc0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-sys1 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-tcti-cmd0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-tcti-device0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-tcti-mssim0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-tcti-swtpm0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libtss2-tcti-tabrmd-dev (2.3.3-1+b2)
TPM2 Access Broker & Resource Management - static libraries and headers
libtss2-tcti-tabrmd0 (2.3.3-1+b2)
TPM2 Access Broker & Resource Management - shared libraries
libtss2-tctildr0 (3.0.3-2)
TPM2 Software stack library - TSS and TCTI libraries
libttfautohint-dev (1.8.3-2+b1)
Automatic font hinter - development files
libttfautohint1 (1.8.3-2+b1)
Automatic font hinter - library
libttspico-data (1.0+git20130326-11) [non-free]
Small Footprint TTS (lingware)
libttspico-dev (1.0+git20130326-11) [non-free]
Small Footprint TTS (development files)
libttspico-utils (1.0+git20130326-11) [non-free]
Small Footprint TTS (binaries)
libttspico0 (1.0+git20130326-11) [non-free]
Small Footprint TTS (library)
libtty-dev (0.19-1)
terminal/ttyrec library -- development
libtty1 (0.19-1)
terminal/ttyrec library -- runtime
libtulip-core-5.4 (5.4.0+dfsg-3)
Tulip graph library - core runtime
libtulip-dev (5.4.0+dfsg-3)
Tulip graph library - core development files
libtulip-gui-5.4 (5.4.0+dfsg-3)
Tulip graph library - Qt/OpenGL GUI runtime
libtulip-ogdf-5.4 (5.4.0+dfsg-3)
Tulip graph library - OGDF runtime
libtulip-ogl-5.4 (5.4.0+dfsg-3)
Tulip graph library - OpenGL runtime
libtulip-python
本虛擬套件由這些套件填實: libtulip-python-5.4
libtulip-python-5.4 (5.4.0+dfsg-3)
Tulip graph library - Python support
libtumbler-1-0 (4.16.0-1)
library for tumbler, a D-Bus thumbnailing service
libtumbler-1-dev (4.16.0-1)
library for tumbler, a D-Bus thumbnailing service (development)
libturbojpeg-dev
本虛擬套件由這些套件填實: libturbojpeg0-dev
libturbojpeg0 (1:2.0.6-4)
TurboJPEG runtime library - SIMD optimized
libturbojpeg0-dev (1:2.0.6-4)
Development files for the TurboJPEG library
libtut-dev (0.0.20070706-3)
elegant C++ unit test framework
libtwatch-perl (0.0.7-1.1)
watch torrent trackers and automatically download new torrents
libtweeny-dev (3-2)
modern C++ tweening library
libtwelvemonkeys-java (3.6.3-1)
collection of plugins and extensions for Java's ImageIO
libtwelvemonkeys-java-doc (3.6.3-1)
Documentation for libtwelvemonkeys-java
libtwin-dev (13.05.03.15.06-g287d16c-2.2+b2)
tiny window system (development files)
libtwin0 (13.05.03.15.06-g287d16c-2.2+b2)
tiny window system (library)
libtwitter-api-perl (1.0005-1)
Twitter REST API library for Perl
libtwofish-dev (0.3-5+b1)
Niels Ferguson's Twofish cryptographic algorithm library
libtwofish0 (0.3-5+b1)
Niels Ferguson's Twofish cryptographic library -- runtime package
libtwolame-dev (0.4.0-2)
MPEG Audio Layer 2 encoder (development files)
libtwolame0 (0.4.0-2)
MPEG Audio Layer 2 encoding library
libtxw2-java (2.3.0.1-10)
Typed XML Writer for Java
libtycho-java (1.6.0-2)
build Eclipse plugins with Maven
libtype-tie-perl (0.015-1)
tie a variable to a type constraint
libtype-tiny-perl (1.012001-2)
tiny, yet Moo(se)-compatible type constraint
libtype-tiny-xs-perl (0.022-1)
boost for some of Type::Tiny's built-in type constraints
libtyperep-ocaml (1:0.14.0-1+b1)
runtime types for OCaml (runtime)
libtyperep-ocaml-4ihk8
本虛擬套件由這些套件填實: libtyperep-ocaml
libtyperep-ocaml-8g7l6
本虛擬套件由這些套件填實: libtyperep-ocaml
libtyperep-ocaml-dev (1:0.14.0-1+b1)
runtime types for OCaml (development)
libtyperep-ocaml-dev-4ihk8
本虛擬套件由這些套件填實: libtyperep-ocaml-dev
libtyperep-ocaml-dev-8g7l6
本虛擬套件由這些套件填實: libtyperep-ocaml-dev
libtyperep-ocaml-dev-ps2m6
本虛擬套件由這些套件填實: libtyperep-ocaml-dev
libtyperep-ocaml-dev-qdi32
本虛擬套件由這些套件填實: libtyperep-ocaml-dev
libtyperep-ocaml-ps2m6
本虛擬套件由這些套件填實: libtyperep-ocaml
libtyperep-ocaml-qdi32
本虛擬套件由這些套件填實: libtyperep-ocaml
libtypes-datetime-perl (0.002-1)
type constraints and coercions for datetime objects
libtypes-path-tiny-perl (0.006-1)
Path::Tiny types and coercions for Moose and Moo
libtypes-serialiser-perl (1.01-1)
module providing simple data types for common serialisation formats
libtypes-uri-perl (0.007-1)
type constraints and coercions for URIs
libtypes-uuid-perl (0.004-1.1)
type constraints for UUIDs
libtypes-xsd-lite-perl (0.005-1.1)
type constraints based on a subset of XML schema datatypes
libtypes-xsd-perl (0.007-1)
type constraints based on XML schema datatypes
libtypesafe-config-clojure (0.1.5-1.1)
Java wrapper around libtypesafe-config-java
libtypesafe-config-java (1.3.1-2.1)
configuration library for JVM languages
libtypesafe-config-java-doc (1.3.1-2.1)
configuration library for JVM languages - documentation
libtyxml-ocaml (4.4.0-1+b1)
typed XML in OCaml (plugins)
libtyxml-ocaml-6fg29
本虛擬套件由這些套件填實: libtyxml-ocaml
libtyxml-ocaml-8o639
本虛擬套件由這些套件填實: libtyxml-ocaml
libtyxml-ocaml-dev (4.4.0-1+b1)
typed XML in OCaml (development files)
libtyxml-ocaml-dev-6fg29
本虛擬套件由這些套件填實: libtyxml-ocaml-dev
libtyxml-ocaml-dev-8o639
本虛擬套件由這些套件填實: libtyxml-ocaml-dev
libtyxml-ocaml-dev-imw87
本虛擬套件由這些套件填實: libtyxml-ocaml-dev
libtyxml-ocaml-dev-pen41
本虛擬套件由這些套件填實: libtyxml-ocaml-dev
libtyxml-ocaml-doc (4.4.0-1)
typed XML in OCaml (documentation)
libtyxml-ocaml-imw87
本虛擬套件由這些套件填實: libtyxml-ocaml
libtyxml-ocaml-pen41
本虛擬套件由這些套件填實: libtyxml-ocaml
libu2f-host-dev (1.1.10-3)
Development files for the U2F host C library libu2f-host
libu2f-host-doc (1.1.10-3)
Documentation for the U2F host C library libu2f-host
libu2f-host0 (1.1.10-3)
Universal 2nd Factor (U2F) host communication C Library
libu2f-server-dev (1.1.0-4)
Development files for the U2F server C library libu2f-server
libu2f-server0 (1.1.0-4)
Universal 2nd Factor (U2F) server communication C Library
libu2f-udev (1.1.10-3)
Universal 2nd Factor (U2F) — transitional package
libuber-pom-java (1.0.3-2)
UberPom - merging maven pom hierarchy parameters
libubertooth-dev (2018.12.R1-5)
Shared library for Bluetooth experimentation - development files
libubertooth1 (2018.12.R1-5)
Shared library for Bluetooth experimentation
libubi-dev (1:2.1.2-2)
UBIFS Development Libraries
libubootenv-dev (0.3.2-0.1)
Library to access U-Boot environment - development files
libubootenv-doc (0.3.2-0.1)
Library to access U-Boot environment - document
libubootenv-tool (0.3.2-0.1)
Library to access U-Boot environment - tool
libubootenv0.1 (0.3.2-0.1)
Library to access U-Boot environment - runtime
libubsan1 (10.2.1-6)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-amd64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-arm64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-armel
本虛擬套件由這些套件填實: libubsan1
libubsan1-armel-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-armhf
本虛擬套件由這些套件填實: libubsan1
libubsan1-armhf-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-i386-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-powerpc-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-ppc64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-ppc64el-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-s390x-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-sparc64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libubsan1-x32-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (runtime)
libuchar-ocaml
本虛擬套件由這些套件填實: ocaml-base-nox
libuchar-ocaml-dev
本虛擬套件由這些套件填實: ocaml-nox
libuchardet-dev (0.0.7-1)
universal charset detection library - development files
libuchardet0 (0.0.7-1)
universal charset detection library - shared library
libucimf-dev (2.3.8-10)
Unicode console input method framework - development files
libucimf0 (2.3.8-10)
Unicode console input method framework - library
libucl-dev (1.03+repack-6)
Portable compression library - development
libucl1 (1.03+repack-6)
Portable compression library - runtime
libucommon-dev (7.0.0-19)
lightweight C++ threading and sockets - development files
libucommon8 (7.0.0-19)
lightweight C++ threading and sockets - shared libraries
libucpp-dev (1.3.2-2+b1)
embeddable, quick and light C preprocessor -- development
libucto-dev (0.21.1-2+b1)
Unicode Tokenizer - development
libucto5 (0.21.1-2+b1)
Unicode Tokenizer - runtime
libucx-dev (1.10.1~rc1+really.1.10.0-1)
Header files for UCX library
libucx0 (1.10.1~rc1+really.1.10.0-1)
Unified Communication X libraries
libudev-dev (247.3-7+deb11u4)
libudev development files
libudev0 (200-1)
compatibility wrapper for libudev version 0
libudev1 (247.3-7+deb11u4)
libudev shared library
libudev1-udeb (247.3-7+deb11u4)
libudev shared library
libudf-dev (2.1.0-2)
library to work with UDF filesystems (development files)
libudf0 (2.1.0-2)
library to work with UDF filesystems
libudfread-dev (1.1.1-1)
UDF reader library (development files)
libudfread0 (1.1.1-1)
UDF reader library
libudisks2-0 (2.9.2-2+deb11u1)
GObject based library to access udisks2
libudisks2-dev (2.9.2-2+deb11u1)
GObject based library to access udisks2 - development files
libudisks2-qt5
本虛擬套件由這些套件填實: libudisks2-qt5-0
libudisks2-qt5-0 (5.0.5-1)
UDisks2 library with Qt5
libudisks2-qt5-dev (5.0.5-1)
UDisks2 library with Qt5 (development files)
libudns-dev (0.4-1+b1)
async-capable DNS stub resolver library, development files
libudns0 (0.4-1+b1)
async-capable DNS stub resolver library
libudp-tcl
本虛擬套件由這些套件填實: tcl-udp
libudt-dev (4.11+dfsg1-1+b1)
UDP-based Data Transfer Protocol - development files
libudt0 (4.11+dfsg1-1+b1)
UDP-based Data Transfer Protocol
libudunits2-0 (2.2.28-3)
Library for handling of units of physical quantities
libudunits2-data (2.2.28-3)
Data for handling of units of physical quantities
libudunits2-dev (2.2.28-3)
Development files for the libunits physical units package
libuecc-dev (7-3)
development library and headers for libuecc
libuecc0 (7-3)
very small Elliptic Curve Cryptography library
libuev-dev (2.3.1-1+b1)
static library, header files, and docs for libuev
libuev2 (2.3.1-1+b1)
Lightweight event loop library for Linux
libufo-bin (0.16.0.52.gbd831ab-1+b1)
Library for high-performance, GPU-based computing - tools
libufo-data (0.16.0.52.gbd831ab-1)
Library for high-performance, GPU-based computing - data
libufo-dev (0.16.0.52.gbd831ab-1+b1)
Library for high-performance, GPU-based computing - devel
libufo1 (0.16.0.52.gbd831ab-1+b1)
Library for high-performance, GPU-based computing - runtime
libufpidentity-dev (1.0-1+b1)
UFP Identity development library for C applications
libufpidentity1 (1.0-1+b1)
UFP Identity library for C applications
libuhd-dev (3.15.0.0-4+b1)
universal hardware driver for Ettus Research products - headers
libuhd3.15.0 (3.15.0.0-4+b1)
universal hardware driver for Ettus Research products - library
libuhttpmock-0.0-0 (0.5.3-2)
HTTP web service mocking - library
libuhttpmock-0.0-dev (0.5.3-2)
HTTP web service mocking - development files
libui-dialog-perl (1.21-0.1)
UI::Dialog a wrapper for various dialog applications
libui-gxmlcpp-dev (1.4.5-1+b2)
High-level C++ wrapper library for libxml2/libxslt (development)
libui-gxmlcpp5v5 (1.4.5-1+b2)
High-level C++ wrapper library for libxml2/libxslt (run time)
libui-utilcpp-dev (1.10.0-1+b2)
UI C++ utility library (development)
libui-utilcpp9v5 (1.10.0-1+b2)
UI C++ utility library (run time)
libuid-wrapper (1.2.8-1)
UID wrapper library
libuil4 (2.3.8-3)
Motif - UIL (User Interface Language) shared library
libuim-custom2 (1:1.8.8-9)
Universal Input Method - uim-custom API library
libuim-data (1:1.8.8-9)
transitional package for uim-data
libuim-dev (1:1.8.8-9)
Universal Input Method - development files
libuim-scm0 (1:1.8.8-9)
Universal Input Method - uim-scm API library
libuim8 (1:1.8.8-9)
Universal Input Method - uim library
libuima-adapter-vinci-java (2.10.2-4)
Library to provide Vinci web services within UIMA
libuima-addons-java (2.3.1-8)
Apache UIMA Addons
libuima-as-java (2.3.1-9)
Apache UIMA Asynch Scaleout framework
libuima-as-java-doc (2.3.1-9)
Documentation for Apache UIMA Asynch Scaleout framework
libuima-core-java (2.10.2-4)
Core library for the UIMA framework
libuima-cpe-java (2.10.2-4)
Library for the UIMA Collection Processing Engine
libuima-document-annotation-java (2.10.2-4)
Library for the UIMA document annotation
libuima-tools-java (2.10.2-4)
UIMA library for the UIMA tools
libuima-vinci-java (2.10.2-4)
Library to handle Vinci web service protocol
libuinputplus-dev (0.1.4-2+b1)
Development files for the libuinputplus library
libuinputplus-dev
本虛擬套件由這些套件填實: libuinputplus-dev
libukui-backgroundclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
background settings interfaces
libukui-backgroundclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
background settings module
libukui-datesetting-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
date settings interfaces
libukui-datesetting0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
date settings module
libukui-defaultprograms-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
default programs settings interfaces
libukui-defaultprograms0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
default programs settings module
libukui-desktopclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
desktop settings interfaces
libukui-desktopclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
desktop settings module
libukui-fontclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
font settings interfaces
libukui-fontclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
font settings module
libukui-gsettings-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
application settings interface
libukui-gsettings0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
application settings module
libukui-interfaceclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
interface settings interfaces
libukui-interfaceclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
interface settings module
libukui-keyboardclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
keyboard settings interfaces
libukui-keyboardclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
keyboard settings module
libukui-marcogeneralclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
marcogeneral settings interfaces
libukui-marcogeneralclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
marcogeneral settings module
libukui-menu-dev (1.1.4-1+b2)
implementation of the freedesktop menu specification for UKUI (dev)
libukui-menu2 (1.1.4-1+b2)
implementation of the freedesktop menu specification for UKUI (library)
libukui-mouseclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mouse settings interfaces
libukui-mouseclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mouse settings module
libukui-network-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
network settings interfaces
libukui-network0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
network settings module
libukui-powerclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
power settings interfaces
libukui-powerclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
power settings module
libukui-print-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
print interface
libukui-print0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
print module
libukui-screensaverclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
screensaver settings interfaces
libukui-screensaverclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
screensaver settings module
libukui-sessionclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
session settings interfaces
libukui-sessionclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
session settings module
libukui-subversion-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Subversion check interfaces
libukui-subversion0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Subversion check module
libukui-sysinfo-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
system information gettings interfaces
libukui-sysinfo0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
system information gettings module
libukui-touchpadclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
touchpad settings interfaces
libukui-touchpadclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
touchpad settings module
libukui-usersetting-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
user settings interfaces
libukui-usersetting0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
user settings module
libukui-xkbgeneralclient-dev (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
xkbgeneral settings interfaces
libukui-xkbgeneralclient0 (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
xkbgeneral settings module
libukwm-1-0 (1.2.0-1+b1)
window manager library from the Ukwm window manager
libukwm-1-dev (1.2.0-1+b1)
Development files for the Ukwm window manager
libulfius-dev (2.7.1-1+deb11u2)
Web Framework for REST Applications in C - development
libulfius2.7 (2.7.1-1+deb11u2)
Web Framework for REST Applications in C
libumad2sim0 (0.10-2)
InfiniBand fabric simulator
libumfpack5 (1:5.8.1+dfsg-2)
sparse LU factorization library
libumlib-dev (0.8.2-3)
View-OS in user space - Development files
libumlib0 (0.8.2-3)
View-OS in user space - Support library for modules
libumockdev-dev (0.15.4-1)
development files and documentation for libumockdev
libumockdev0 (0.15.4-1)
hardware mocking testbed for bug reports and regression tests
libunac1 (1.8.0-8)
unac programming library - runtime version
libunac1-dev (1.8.0-8)
C programmer's library that removes accents from a string
libunarr-dev (1.0.1-1+b1)
Decompression library for RAR, TAR, ZIP and 7z archives (devel)
libunarr1 (1.0.1-1+b1)
Decompression library for RAR, TAR, ZIP and 7z archives (runtime)
libunbescape-java (1.1.5-1.1)
advanced yet easy-to-use escape/unescape library for Java
libunbescape-java-doc (1.1.5-1.1)
escape/unescape library for Java (documentation)
libunbound-dev (1.13.1-1+deb11u2) [security]
static library, header files, and docs for libunbound
libunbound8 (1.13.1-1+deb11u2) [security]
library implementing DNS resolution and validation
libuncommons-maths-java (1.2.3-2)
Maths library for Java
libuncommons-maths-java-doc (1.2.3-2)
Maths library for Java - Documentations
libuncommons-watchmaker-framework-java (0.7.1-1.1)
Framework for Evolutionary Computation
libuncommons-watchmaker-swing-java (0.7.1-1.1)
Framework for Evolutionary Computation - Swing library
libungif-bin
本虛擬套件由這些套件填實: giflib-tools
libunibilium-dev (2.1.0-1)
simple, self-contained terminfo library (development files)
libunibilium4 (2.1.0-1)
simple, self-contained terminfo library
libunibreak-dev (1.1-2.1)
line breaking library for Unicode (development files)
libunibreak-doc (1.1-2.1)
line breaking library for Unicode (documentation)
libunibreak1 (1.1-2.1)
line breaking library for Unicode (shared library)
libunicap-dev
本虛擬套件由這些套件填實: libunicap2-dev
libunicap-docs (0.9.12+repack20150328.0.git2c600ae-3)
unified interface to video capture devices - documentation
libunicap2 (0.9.12+repack20150328.0.git2c600ae-3)
unified interface to video capture devices - shared libraries
libunicap2-dev (0.9.12+repack20150328.0.git2c600ae-3)
unified interface to video capture devices - development files
libunicode-casefold-perl (1.01-1+b3)
module for Unicode case-folding
libunicode-collate-perl (1.29-1+b1)
Unicode collation algorithm built with fast XSUB
libunicode-collate-perl (= 1.27)
本虛擬套件由這些套件填實: perl
libunicode-escape-perl (0.0.2-4.1)
escape and unescape Unicode characters
libunicode-japanese-perl (0.49-1+b7)
module for converting Japanese encodings
libunicode-linebreak-perl (0.0.20190101-1+b3 [amd64], 0.0.20190101-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
UAX #14 Unicode Line Breaking Algorithm
libunicode-map-perl (0.112-12+b3)
Perl module for mapping charsets from and to UTF16 Unicode
libunicode-map8-perl (0.13+dfsg-4+b9 [s390x], 0.13+dfsg-4+b7 [amd64, armel, armhf, i386, mipsel], 0.13+dfsg-4+b6 [arm64, mips64el, ppc64el])
module to map 8bit character sets to Unicode
libunicode-maputf8-perl (1.14-1)
Perl module for converting between any character sets and UTF8
libunicode-string-perl (2.10-1+b6)
Older Perl modules for Unicode string manipulation
libunicode-stringprep-perl (1.105+dfsg-1.1)
Perl module for preparation of Internationalized Strings (RFC 3454)
libunicode-utf8-perl (0.62-1+b2)
encoding and decoding of UTF-8 encoding form
libuniconf4.6 (4.6.1-15)
C++ network libraries for rapid application development
libuninameslist-dev (20200413-1)
library of Unicode annotation data (development files)
libuninameslist1 (20200413-1)
library of Unicode annotation data
libuninum-dev (2.7-1.1+b2)
development files for Libuninum library
libuninum5 (2.7-1.1+b2)
library for handling numbers as Unicode strings
libunirest-java-java (1.4.8-3)
Simplified, lightweight HTTP client library
libunistring-dev (0.9.10-4)
Unicode string library for C - development files
libunistring2 (0.9.10-4)
Unicode string library for C
libunittest++-dev (2.0.0-3)
unit testing framework for c++, static library and headers
libunittest++2 (2.0.0-3)
unit testing framework for c++, runtime library
libunity-common
本虛擬套件由這些套件填實: libunity-scopes-json-def-desktop, libunity-scopes-json-def-phone
libunity-dev (7.1.4+19.04.20190319-5)
binding to get places into the launcher - development files
libunity-protocol-private0 (7.1.4+19.04.20190319-5)
binding to get places into the launcher - private library
libunity-scopes-json-def-desktop (7.1.4+19.04.20190319-5)
binding to get places into the launcher - desktop def file
libunity-scopes-json-def-phone (7.1.4+19.04.20190319-5)
binding to get scopes into the launcher - phone def file
libunity-tools (7.1.4+19.04.20190319-5)
binding to get places into the launcher - debugging tools
libunity9 (7.1.4+19.04.20190319-5)
binding to get places into the launcher - shared library
libunivalue-dev (1.1.1+20191112-1)
C++ universal value object and JSON library - development headers
libunivalue0 (1.1.1+20191112-1)
C++ universal value object and JSON library
libuniversal-can-perl (1.20140328-1.1)
safer version of UNIVERSAL::can
libuniversal-exports-perl (0.05-3.1)
module for lightweight, universal variable exporting
libuniversal-isa-perl (1.20171012-1.1)
safer version of UNIVERSAL::isa
libuniversal-moniker-perl (0.08-8.1)
Methods to output nicer names for your Perl modules/classes
libuniversal-ref-perl (0.14-4+b4)
turns ref() into a multimethod
libuniversal-require-perl (0.18-1)
Load modules from a variable
libunivocity-parsers-java (2.8.3-2)
Parsers for CSV, TSV and fixed width files
libunix-configfile-perl (0.6-2.1)
Perl interface to various Unix configuration files
libunix-mknod-perl (0.04-4+b3)
Perl extension for mknod, major, minor, and makedev
libunix-processors-perl (2.046-2+b1)
interface to processor (CPU) information
libunix-syslog-perl (1.1-3+b3)
Perl interface to the UNIX syslog(3) calls
libunixsocket-java (0.8.1-1.1)
Unix socket API and bindings for Java
libuno-cppu3 (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- CPPU public library
libuno-cppuhelpergcc3-3 (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- CPPU helper library
libuno-purpenvhelpergcc3-3 (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- "purpose environment" helper
libuno-sal3 (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- SAL public library
libuno-salhelpergcc3-3 (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- SAL helpers for C++ library
libunoil-java (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- UNO interface library (compatibility library)
libunoloader-java (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- (Java) UNO loader
libunrar-dev (1:6.0.3-1+deb11u3) [non-free]
Unarchiver for .rar files (non-free version) - development files
libunrar-headers (1:6.0.3-1+deb11u3) [non-free]
Unarchiver for .rar files (non-free version) - development header files
libunrar5 (1:6.0.3-1+deb11u3) [non-free]
Unarchiver for .rar files (non-free version) - shared library
libunsafe-fences-java (1.0-1.1)
wrapper library around the Java 8 fences API
libunsafe-mock-java (8.0-3)
Java library providing backported sun.misc.Unsafe class from JDK 8
libunshield-dev (1.4.2-1)
development files for libunshield
libunshield0 (1.4.2-1)
library to extract CAB files from InstallShield installers
libunwind-13 (1:13.0.1-6~deb11u1)
production-quality unwinder
libunwind-13-dev (1:13.0.1-6~deb11u1)
production-quality unwinder
libunwind-16 (1:16.0.6-15~deb11u2)
production-quality unwinder
libunwind-16-dev (1:16.0.6-15~deb11u2)
production-quality unwinder
libunwind-dev (1.3.2-2)
library to determine the call-chain of a program - development
libunwind-dev
本虛擬套件由這些套件填實: libunwind-16-dev
libunwind-setjmp0 (1.3.2-2)
libunwind-based non local goto - runtime
libunwind-setjmp0-dev (1.3.2-2)
libunwind-based non local goto - development
libunwind-x.y
本虛擬套件由這些套件填實: libunwind-16, libunwind-13
libunwind-x.y-dev
本虛擬套件由這些套件填實: libunwind-13-dev, libunwind-16-dev
libunwind8 (1.3.2-2)
library to determine the call-chain of a program - runtime
libunwind8-dev
本虛擬套件由這些套件填實: libunwind-dev
libupb-dev (0.0.0~git200730-1)
small protobuf implementation in C (development files)
libupb0 (0.0.0~git200730-1)
small protobuf implementation in C
libupnp-dev (1:1.8.4-2)
Portable SDK for UPnP Devices, version 1.8 (development files)
libupnp-doc (1:1.8.4-2)
Documentation for the Portable SDK for UPnP Devices, version 1.8
libupnp-java (1.0.4+triplea-1.1)
Java library for Universal Plug aNd Play (upnp)
libupnp-java-doc (1.0.4+triplea-1.1)
Java library for Universal Plug aNd Play (upnp) - doc
libupnp13 (1:1.8.4-2)
Portable SDK for UPnP Devices, version 1.8 (shared library)
libupower-glib-dev (0.99.11-2)
abstraction for power management - development files
libupower-glib3 (0.99.11-2)
abstraction for power management - shared library
libups-nut-perl (2.7.4-13)
network UPS tools - Perl bindings for NUT server
libupsclient-dev (2.7.4-13)
network UPS tools - development files
libupsclient4 (2.7.4-13)
network UPS tools - client library
libupse-dev (1.0.0-2+b1)
unix playstation sound emulator - library development files
libupse2 (1.0.0-2+b1)
unix playstation sound emulator - library
libuptimed-dev
本虛擬套件由這些套件填實: uptimed
libuptimed0
本虛擬套件由這些套件填實: uptimed
libur-perl (0.470+ds-1)
object/relation mapper library
liburcu-dev (0.12.2-1)
userspace RCU (read-copy-update) library - development files
liburcu6 (0.12.2-1)
userspace RCU (read-copy-update) library
liburcu6-udeb (0.12.2-1)
userspace RCU (read-copy-update) library -- udeb
liburdf-dev (1.13.2-3)
Development files for ROS urdf library
liburdf-parser-plugin-dev (1.13.2-3)
Development files for ROS urdf_parser_plugin library
liburdf1d (1.13.2-3)
ROS urdf library
liburdfdom-dev (1.0.4+ds-2)
URDF DOM - development files
liburdfdom-headers-dev (1.0.5-3)
URDF DOM - header files
liburdfdom-model (1.0.4+ds-2)
URDF DOM - model library
liburdfdom-model-state (1.0.4+ds-2)
URDF DOM - model state library
liburdfdom-sensor (1.0.4+ds-2)
URDF DOM - sensor library
liburdfdom-tools (1.0.4+ds-2)
URDF DOM - tools
liburdfdom-world (1.0.4+ds-2)
URDF DOM - world library
liburfkill-glib-dev (0.5.0-7)
wireless killswitch management library (development files)
liburfkill-glib0 (0.5.0-7)
wireless killswitch management library
liburfkill-glib0-dbg (0.5.0-7)
wireless killswitch management library (debug symbols)
liburi-cpan-perl (1.007-2)
module providing URLs for CPAN elements
liburi-db-perl (0.19-1.1)
module to support database URI standard
liburi-encode-perl (1.1.1-1)
Perl module to encode and decode strings to URIs
liburi-escape-xs-perl (0.14-1+b5)
drop-in XS replacement for URI::Escape
liburi-fetch-perl (0.13-2)
smart URI fetching/caching client
liburi-find-delimited-perl (0.03-1)
Find URIs which may be wrapped in enclosing delimiters
liburi-find-perl (20160806-2)
module to find URIs in arbitrary text
liburi-find-simple-perl (1.07-1)
simple interface to URI::Find
liburi-fromhash-perl (0.05-1)
module to build a URI from a set of named parameters
liburi-namespacemap-perl (1.10-1)
namespace URI management classes for Perl
liburi-nested-perl (0.10-2.1)
module for handling nested URIs
liburi-normalize-perl (0.002-2)
Perl module to normalize URI paths
liburi-perl (5.08-1)
module to manipulate and access URI strings
liburi-query-perl (0.16-1)
module for manipulating URI query strings
liburi-smarturi-perl (0.032-2)
subclass of URI which add an hostless object
liburi-template-perl (0.24-0.1)
handle URI templates in perl
liburi-title-perl (1.902-2)
common interface to get the titles of things on the web
liburi-todisk-perl (1.12-2.1)
module to provide mapping between URIs and on-disk storage
liburi-ws-perl (0.03-2)
WebSocket support for URI package
liburing-dev (0.7-3)
Linux kernel io_uring access library - development files
liburing1 (0.7-3)
Linux kernel io_uring access library - shared library
liburiparser-dev (0.9.4+dfsg-1+deb11u1)
development files for uriparser
liburiparser-doc (0.9.4+dfsg-1+deb11u1)
documentation files for uriparser
liburiparser1 (0.9.4+dfsg-1+deb11u1)
URI parsing library compliant with RFC 3986
liburl-clojure (0.1.1-2)
Makes working with URLs easy
liburl-encode-perl (0.03-2)
module to encode/decode to/from application/x-www-form-urlencoded encoding
liburl-encode-xs-perl (0.03-2+b1)
XS implementation of URL::Encode
liburl-search-perl (0.000005-2)
module to search for URLs in plain text
libusb-0.1-4 (2:0.1.12-32)
userspace USB programming library
libusb-0.1-udeb (2:0.1.12-32)
userspace USB programming library
libusb-1.0-0 (2:1.0.24-3)
userspace USB programming library
libusb-1.0-0-dev (2:1.0.24-3)
userspace USB programming library development files
libusb-1.0-0-udeb (2:1.0.24-3)
userspace USB programming library
libusb-1.0-doc (2:1.0.24-3)
documentation for userspace USB programming
libusb-dev (2:0.1.12-32)
userspace USB programming library development files
libusb-java (0.8+ztex20090101-9)
Java wrapper for libusb
libusb-java-doc (0.8+ztex20090101-9)
Java wrapper for libusb (documentation)
libusb-java-lib (0.8+ztex20090101-9)
Java wrapper for libusb (native library)
libusb-libusb-perl (0.09-2+b1)
Perl interface to the libusb-1.0 API
libusb-ocaml (1.3.1-4+b5 [i386], 1.3.1-4+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml bindings to libusb-1.0 (runtime)
libusb-ocaml-4ghx7
本虛擬套件由這些套件填實: libusb-ocaml
libusb-ocaml-7fn40
本虛擬套件由這些套件填實: libusb-ocaml
libusb-ocaml-a8gj1
本虛擬套件由這些套件填實: libusb-ocaml
libusb-ocaml-dev (1.3.1-4+b5 [i386], 1.3.1-4+b4 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml bindings to libusb-1.0
libusb-ocaml-dev-4ghx7
本虛擬套件由這些套件填實: libusb-ocaml-dev
libusb-ocaml-dev-7fn40
本虛擬套件由這些套件填實: libusb-ocaml-dev
libusb-ocaml-dev-a8gj1
本虛擬套件由這些套件填實: libusb-ocaml-dev
libusb-ocaml-dev-osse2
本虛擬套件由這些套件填實: libusb-ocaml-dev
libusb-ocaml-osse2
本虛擬套件由這些套件填實: libusb-ocaml
libusb3380-0 (0.0.1+git20190125.c83d1e9-2)
USB3380 abstraction layer for libusb
libusb3380-dev (0.0.1+git20190125.c83d1e9-2)
USB3380 abstraction layer for libusb: development
libusbauth-configparser-dev (1.0.3-1)
Development package of library for USB Firewall including flex/bison parser
libusbauth-configparser1 (1.0.3-1)
Library for USB Firewall including flex/bison parser
libusbguard0 (1.0.0+ds-2)
USB device authorization policy framework - shared library
libusbmuxd-dev (2.0.2-3)
USB multiplexor daemon for iPhone and iPod Touch devices - devel
libusbmuxd-tools (2.0.2-3)
USB multiplexor daemon for iPhone and iPod Touch devices - tools
libusbmuxd6 (2.0.2-3)
USB multiplexor daemon for iPhone and iPod Touch devices - library
libusbredirhost-dev (0.8.0-1+b1)
implementing the usb-host (*) side of a usbredir connection (development)
libusbredirhost1 (0.8.0-1+b1)
Implementing the usb-host (*) side of a usbredir connection (runtime)
libusbredirparser-dev (0.8.0-1+b1)
Parser for the usbredir protocol (development)
libusbredirparser1 (0.8.0-1+b1)
Parser for the usbredir protocol (runtime)
libuseful-clojure (0.11.6-4)
collection of generally-useful Clojure utility functions
libuser (1:0.62~dfsg-0.4)
user and group account administration library - utilities
libuser-agent-utils-java (1.21-1)
Utilities for processing user-agent strings
libuser-identity-perl (1.00-1)
module to manage a person's identities/roles
libuser-perl (1.9-1.1)
Provides user data in an OS independent manner
libuser-simple-perl (1.45-2.1)
module for simple user sessions management
libuser1 (1:0.62~dfsg-0.4)
user and group account administration library - shared libraries
libuser1-dev (1:0.62~dfsg-0.4)
user and group account administration library - development files
libuserbindmount-dev (0.1-2+b1 [amd64], 0.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
bind-mount in user namespaces (devel)
libuserbindmount0 (0.1-2+b1 [amd64], 0.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
bind-mount in user namespaces (library)
libusrsctp-dev (0.9.3.0+20201102-2)
portable SCTP userland stack - development headers
libusrsctp-examples (0.9.3.0+20201102-2)
portable SCTP userland stack - example programs
libusrsctp1 (0.9.3.0+20201102-2)
portable SCTP userland stack - shared library
libustr-1.0-1 (1.0.4-6)
Micro string library: shared library
libustr-1.0-1-dbg (1.0.4-6)
Micro string library: debugging symbols
libustr-dev (1.0.4-6)
Micro string library: development stuff
libustr-doc (1.0.4-6)
Micro string library: documentation
libutempter-dev (1.2.1-2)
privileged helper for utmp/wtmp updates (development)
libutempter0 (1.2.1-2)
privileged helper for utmp/wtmp updates (runtime)
libutf8-all-perl (0.024-1)
Perl pragma to turn on UTF-8 everywhere
libutf8.h-dev (0~git20190120.2a7c5bf-1.1)
header-files only UTF8 library
libutf8proc-dev (2.5.0-1)
C library for processing UTF-8 Unicode data (development files)
libutf8proc2 (2.5.0-1)
C library for processing UTF-8 Unicode data (shared library)
libutfcpp-dev (2.3.4-1.1)
UTF8-CPP: UTF-8 with C++ - dev
libutfcpp-doc (2.3.4-1.1)
UTF8-CPP: UTF-8 with C++ - dev
libutop-ocaml (2.7.0-2)
improved OCaml toplevel (runtime library)
libutop-ocaml-5qa16
本虛擬套件由這些套件填實: libutop-ocaml
libutop-ocaml-dev (2.7.0-2)
improved OCaml toplevel (development tools)
libutop-ocaml-dev-5qa16
本虛擬套件由這些套件填實: libutop-ocaml-dev
libuu-dev (0.5.20-12)
Library for de/encoding several popular file encodings - development
libuu0 (0.5.20-12)
Library for de/encoding several popular file encodings - runtime
libuuid-perl (0.28-1+b2)
Perl extension for using UUID interfaces as defined in e2fsprogs
libuuid-tiny-perl (1.0400-2)
pure Perl module to generate v1, v3, v4, and v5 UUIDs
libuuid-urandom-perl (0.001-1.1)
Perl module to provide UUIDs based on /dev/urandom
libuuid1 (2.36.1-8+deb11u2) [security]
Universally Unique ID library
libuuid1-udeb (2.36.1-8+deb11u2) [security]
stripped down Universally Unique ID library, for debian-installer
libuuidm-ocaml-dev (0.9.7-1+b1)
Universally unique identifiers for OCaml
libuuidm-ocaml-dev-5hkb4
本虛擬套件由這些套件填實: libuuidm-ocaml-dev
libuuidm-ocaml-dev-lcos8
本虛擬套件由這些套件填實: libuuidm-ocaml-dev
libuuidm-ocaml-dev-n1330
本虛擬套件由這些套件填實: libuuidm-ocaml-dev
libuuidm-ocaml-dev-txk21
本虛擬套件由這些套件填實: libuuidm-ocaml-dev
libuutf-ocaml (1.0.2-1+b1)
Non-blocking streaming Unicode codec for OCaml (plugins)
libuutf-ocaml-98514
本虛擬套件由這些套件填實: libuutf-ocaml
libuutf-ocaml-dev (1.0.2-1+b1)
Non-blocking streaming Unicode codec for OCaml (development files)
libuutf-ocaml-dev-98514
本虛擬套件由這些套件填實: libuutf-ocaml-dev
libuutf-ocaml-dev-p2t27
本虛擬套件由這些套件填實: libuutf-ocaml-dev
libuutf-ocaml-dev-ptr38
本虛擬套件由這些套件填實: libuutf-ocaml-dev
libuutf-ocaml-dev-t03b2
本虛擬套件由這些套件填實: libuutf-ocaml-dev
libuutf-ocaml-doc (1.0.2-1)
Non-blocking streaming Unicode codec for OCaml (documentation)
libuutf-ocaml-p2t27
本虛擬套件由這些套件填實: libuutf-ocaml
libuutf-ocaml-ptr38
本虛擬套件由這些套件填實: libuutf-ocaml
libuutf-ocaml-t03b2
本虛擬套件由這些套件填實: libuutf-ocaml
libuutil-dev
本虛擬套件由這些套件填實: libzfslinux-dev
libuutil3linux (2.0.3-9+deb11u1) [contrib]
Solaris userland utility library for Linux
libuv1 (1.40.0-2+deb11u1) [security]
asynchronous event notification library - runtime library
libuv1-dev (1.40.0-2+deb11u1) [security]
asynchronous event notification library - development files
libuvc-dev (0.0.6-1.1)
cross-platform library for USB video devices - development files
libuvc-doc (0.0.6-1.1)
cross-platform library for USB video devices - documentation
libuvc0 (0.0.6-1.1)
cross-platform library for USB video devices
libuwac0-0 (2.3.0+dfsg1-2+deb11u1)
Using wayland as a client library
libuwac0-dev (2.3.0+dfsg1-2+deb11u1)
Using wayland as a client (development files)
libv-perl (0.13-2)
module for printing the version of specified perl module(s)
libv4l-0 (1.20.0-2)
Collection of video4linux support libraries
libv4l-dev (1.20.0-2)
Collection of video4linux support libraries (development files)
libv4l2rds0 (1.20.0-2)
Video4Linux Radio Data System (RDS) decoding library
libv4lconvert0 (1.20.0-2)
Video4linux frame format conversion library
libv8-dev
本虛擬套件由這些套件填實: libnode-dev
libva-dev (2.10.0-1)
Video Acceleration (VA) API for Linux -- development files
libva-driver-abi-1.0
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.1
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.10
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.2
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.3
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.4
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.5
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.6
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.7
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.8
本虛擬套件由這些套件填實: libva2
libva-driver-abi-1.9
本虛擬套件由這些套件填實: libva2
libva-drm2 (2.10.0-1)
Video Acceleration (VA) API for Linux -- DRM runtime
libva-glx2 (2.10.0-1)
Video Acceleration (VA) API for Linux -- GLX runtime
libva-wayland2 (2.10.0-1)
Video Acceleration (VA) API for Linux -- Wayland runtime
libva-x11-2 (2.10.0-1)
Video Acceleration (VA) API for Linux -- X11 runtime
libva2 (2.10.0-1)
Video Acceleration (VA) API for Linux -- runtime
libvala-0.48-0 (0.48.17-1)
C# like language for the GObject system - library
libvala-0.48-dev (0.48.17-1)
C# like language for the GObject system - development headers
libvala-dev
本虛擬套件由這些套件填實: libvala-0.48-dev
libvalacodegen-0.48-0 (0.48.17-1)
internal package for C# like language for the GObject system
libvaladoc-0.48-0 (0.48.17-1)
API documentation generator for vala (library)
libvaladoc-0.48-data (0.48.17-1)
API documentation generator for vala (data)
libvaladoc-0.48-dev (0.48.17-1)
API documentation generator for vala (devel files)
libvaladoc-dev
本虛擬套件由這些套件填實: libvaladoc-0.48-dev
libvalapanel-dev (0.5.0-1)
library for Vala Panel - development files
libvalapanel0 (0.5.0-1)
library for Vala Panel
libvalidate-net-perl (0.6-2.1)
Perl module for validating Net:: related strings
libvalidate-yubikey-perl (0.03-1)
module to validate Yubikey OTPs
libvalidation-class-perl (7.900057-2)
module for centralized, simplified input validation
libvamp-hostsdk3v5 (2.10.0-1)
helper library for Vamp hosts written in C++
libvamp-sdk2v5 (2.10.0-1)
helper library for Vamp plugins written in C++
libvamsas-client-java (0.2~git2011.10.17+1b42648-1.1)
library for phylogenetic tasks
libvanessa-adt-dev (0.0.9-2+b2)
Headers and static libraries for vanessa_adt
libvanessa-adt1 (0.0.9-2+b2)
Library of Abstract Data Types
libvanessa-logger-dev (0.0.10-3.1)
Headers and static libraries for libvanessa-logger
libvanessa-logger-sample (0.0.10-3.1)
Sample programme using libvanessa-logger
libvanessa-logger0 (0.0.10-3.1)
Generic Logging Library
libvanessa-socket-dev (0.0.13-1+b3)
Headers and static libraries for libvanessa_socket
libvanessa-socket-pipe (0.0.13-1+b3)
User space TCP/IP pipe
libvanessa-socket2 (0.0.13-1+b3)
Library to simplify TCP socket operations
libvar-pairs-perl (0.004001-1)
Perl module implementing iterators and pair constructors for variables
libvariable-disposition-perl (0.004-2)
module to dispose of variables
libvariable-magic-perl (0.62-1+b3)
module to associate user-defined magic to variables from Perl
libvariantslib-ocaml (1:0.14.0-1+b1)
OCaml syntax extension for supporting variants as first class values (runtime)
libvariantslib-ocaml-dev (1:0.14.0-1+b1)
OCaml syntax extension for supporting variants as first class values (dev)
libvariantslib-ocaml-dev-vfz65
本虛擬套件由這些套件填實: libvariantslib-ocaml-dev
libvariantslib-ocaml-dev-z8tg7
本虛擬套件由這些套件填實: libvariantslib-ocaml-dev
libvariantslib-ocaml-vfz65
本虛擬套件由這些套件填實: libvariantslib-ocaml
libvariantslib-ocaml-z8tg7
本虛擬套件由這些套件填實: libvariantslib-ocaml
libvarnish-dev
本虛擬套件由這些套件填實: libvarnishapi-dev
libvarnishapi-dev (6.5.1-1+deb11u3)
development files for Varnish
libvarnishapi2 (6.5.1-1+deb11u3)
shared libraries for Varnish
libvavr0-java (0.10.3-2)
object-functional language extension for Java
libvbr-dev (2.11.0~beta2-4+deb11u1)
Vouch By Reference (VBR) library (development files)
libvbr2 (2.11.0~beta2-4+deb11u1)
Vouch By Reference (VBR) library
libvc-dev (009-1)
vCard library - development files
libvc0 (009-1)
vCard (the Electronic Business Card) library
libvcdinfo-dev (2.0.1+dfsg-5)
library to extract information from VideoCD (development files)
libvcdinfo0 (2.0.1+dfsg-5)
library to extract information from VideoCD
libvcflib-dev (1.0.2+dfsg-2)
C++ library for parsing and manipulating VCF files (development)
libvcflib-tools (1.0.2+dfsg-2)
C++ library for parsing and manipulating VCF files (tools)
libvcflib1 (1.0.2+dfsg-2)
C++ library for parsing and manipulating VCF files
libvcs-lite-perl (0.12-1)
Minimal version control system
libvdb-sqlite-dev (2.10.9+dfsg-2)
sqlite modul of ncbi-vdb library (devel)
libvdb-sqlite2 (2.10.9+dfsg-2)
sqlite modul of ncbi-vdb library
libvde-dev (2.3.2+r586-7)
Virtual Distributed Ethernet - support libraries development files
libvde0 (2.3.2+r586-7)
Virtual Distributed Ethernet - support libraries
libvdeplug-agno (0.1.0-3)
libvdeplug plugin for encryption
libvdeplug-dev (4.0.1-2)
Virtual Distributed Ethernet - Plug development files
libvdeplug-pcap (0.1.0-2+b1 [amd64], 0.1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
libvdeplug plugin: packet capture library (pcap)
libvdeplug-slirp (0.1.0-2+b1 [amd64], 0.1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
libvdeplug plugin for slirp
libvdeplug-vdesl (0.1.0-2+b1 [amd64], 0.1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
libvdeplug plugin: vde over serial lines
libvdeplug-vlan (0.1.0-2+b1 [amd64], 0.1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
libvdeplug nested plugin: VLAN
libvdeplug2 (4.0.1-2)
Virtual Distributed Ethernet - Plug library
libvdeslirp-dev (0.1.1-1)
libslirp for Linux made easy peasy - development
libvdeslirp0 (0.1.1-1)
libslirp for Linux made easy peasy
libvdestack-dev (0.1.2-1)
network namespace as a library - development
libvdestack0 (0.1.2-1)
network namespace as a library
libvdjtools-java (1.2.1+git20190311-5) [non-free]
Java library of vdjtools
libvdk2-2c2 (2.4.0-5.5)
Visual Development Kit C++ library version 2
libvdk2-dbg (2.4.0-5.5)
debugging static library for the VDK C++ library version 2
libvdk2-dev (2.4.0-5.5)
header files and static libraries for VDK library version 2
libvdk2-doc (2.4.0-5.5)
documentation for VDK library version 2
libvdpau-dev (1.4-3)
Video Decode and Presentation API for Unix (development files)
libvdpau-doc (1.4-3)
Video Decode and Presentation API for Unix (documentation)
libvdpau-va-gl1 (0.4.2-1+b1)
VDPAU driver with OpenGL/VAAPI backend
libvdpau1 (1.4-3)
Video Decode and Presentation API for Unix (libraries)
libvecmath-java (1.5.2-7)
javax.vecmath vector math package
libvecmath-java-doc (1.5.2-7)
javax.vecmath vector math package (documentation)
libvecmath1.2-java
本虛擬套件由這些套件填實: libvecmath-java
libvecpf-dev (1.1.0-3)
Vector Printf Library development files
libvecpf1 (1.1.0-3)
Vector Printf Library
libvectorgraphics2d-java (0.13-2)
library for adding vector export to Java Graphics2D
libvelocity-tools-java (2.0-8)
collection of useful tools for Velocity template engine
libvelocity-tools-java-doc (2.0-8)
collection of useful tools for Velocity template engine - documentation
libvendorlib-perl (0.12-1.1)
pragma to enforce only core and vendor libraries are used
libventrilo-dev (1.2.5-4.1)
library for communicating with Ventrilo servers (development)
libventrilo3-0 (1.2.5-4.1)
library for communicating with Ventrilo servers
libverbiste-0.1-0v5 (0.1.47-1)
French and Italian conjugator - shared library
libverbiste-dev (0.1.47-1)
French and Italian conjugator - development files
libverilog-perl (3.474-1+b1)
framework providing Verilog support
libversion-compare-perl (0.14-1.1)
Perl module for comparing version strings
libversion-next-perl (1.000-1)
Perl module for simply incrementing Perl module version numbers
libversion-perl (1:0.9928-1+b1)
Perl extension for Version Objects
libversion-perl (= 1:0.9924)
本虛擬套件由這些套件填實: perl
libversion-requirements-perl
本虛擬套件由這些套件填實: perl
libversion-util-perl (0.731-1)
Perl module that provides version-number utilities
libversioneer-clojure (0.2.0-1)
version introspection for Leiningen-generated projects
libverto-dev (0.3.1-1)
Event loop abstraction for Libraries - Development
libverto-glib1 (0.3.1-1)
Event loop abstraction for Libraries - glib
libverto-libev1 (0.3.1-1)
Event loop abstraction for Libraries - libev
libverto1 (0.3.1-1)
Event loop abstraction for Libraries - Runtime
libveyon-core (4.5.3+repack1-1)
Computer Monitoring and Classroom Management Software - libraries
libvformat-dev (1.13-12)
library to read and write vcard files (development files)
libvformat0 (1.13-12)
library to read and write vcard files
libvhdi-dev (20201204-3)
Virtual Hard Disk image format access library -- development files
libvhdi-utils (20201204-3)
Virtual Hard Disk image format access library -- Utilities
libvhdi1 (20201204-3)
Virtual Hard Disk image format access library
libvi-quickfix-perl (1.135-1.1)
Perl support for vim's QuickFix mode
libvibrant6-dev (6.1.20170106+dfsg1-9)
NCBI libraries for graphic biology applications (development files)
libvibrant6b (6.1.20170106+dfsg1-9)
NCBI libraries for graphic biology applications
libvideo-capture-v4l-perl (0.902-5+b1 [amd64, arm64, mips64el, ppc64el, s390x], 0.902-5 [armel, armhf, i386, mipsel])
Perl interface to the Video4linux framegrabber interface
libvideo-fourcc-info-perl (1.005-4)
Perl module to find information about codecs from its FourCC
libvideo-info-perl (0.993-4) [non-free]
Perl module to examine video files
libvideo-ivtv-perl (0.13-8+b10 [s390x], 0.13-8+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.13-8+b7 [mips64el, ppc64el])
Perl extension for using V4l2 in the ivtv perl scripts
libvidstab-dev (1.1.0-2+b1)
video stabilization library (development files)
libvidstab1.1 (1.1.0-2+b1)
video stabilization library (shared library)
libviennacl-dev (1.7.1+dfsg1-6)
Scientific computing library written in C++ based on OpenCL
libviennacl-doc (1.7.1+dfsg1-6)
ViennaCL API and user documentation
libview-dev (0.6.6-2.1+b4)
VMware's Incredibly Exciting Widgets
libview2 (0.6.6-2.1+b4)
VMware's Incredibly Exciting Widgets
libview2-dbg (0.6.6-2.1+b4)
VMware's Incredibly Exciting Widgets
libvigraimpex-dev (1.11.1+dfsg-8)
development files for the C++ computer vision library
libvigraimpex-doc (1.11.1+dfsg-8)
Documentation for the C++ computer vision library
libvigraimpex11 (1.11.1+dfsg-8)
C++ computer vision library
libvinnie-java (2.0.2-1)
Lightweight Java library with "vobject" data
libvips
本虛擬套件由這些套件填實: libvips42
libvips-dev (8.10.5-2)
image processing system good for very large ones (dev)
libvips-doc (8.10.5-2)
image processing system good for very large ones (doc)
libvips-tools (8.10.5-2)
image processing system good for very large ones (tools)
libvips42 (8.10.5-2)
image processing system good for very large ones
libvirglrenderer-dev (0.8.2-5+deb11u1)
virtual GPU for KVM virtualization - headers
libvirglrenderer1 (0.8.2-5+deb11u1)
virtual GPU for KVM virtualization
libvirt-clients (7.0.0-3+deb11u2)
Programs for the libvirt library
libvirt-daemon (7.0.0-3+deb11u2)
Virtualization daemon
libvirt-daemon-config-network (7.0.0-3+deb11u2)
Libvirt daemon configuration files (default network)
libvirt-daemon-config-nwfilter (7.0.0-3+deb11u2)
Libvirt daemon configuration files (default network filters)
libvirt-daemon-driver-lxc (7.0.0-3+deb11u2)
Virtualization daemon LXC connection driver
libvirt-daemon-driver-qemu (7.0.0-3+deb11u2)
Virtualization daemon QEMU connection driver
libvirt-daemon-driver-storage-gluster (7.0.0-3+deb11u2)
Virtualization daemon glusterfs storage driver
libvirt-daemon-driver-storage-iscsi-direct (7.0.0-3+deb11u2)
Virtualization daemon iSCSI (libiscsi) storage driver
libvirt-daemon-driver-storage-rbd (7.0.0-3+deb11u2)
Virtualization daemon RBD storage driver
libvirt-daemon-driver-storage-zfs (7.0.0-3+deb11u2)
Virtualization daemon ZFS storage driver
libvirt-daemon-driver-vbox (7.0.0-3+deb11u2)
Virtualization daemon VirtualBox connection driver
libvirt-daemon-driver-xen (7.0.0-3+deb11u2)
Virtualization daemon Xen connection driver
libvirt-daemon-system (7.0.0-3+deb11u2)
Libvirt daemon configuration files
libvirt-daemon-system-systemd (7.0.0-3+deb11u2)
Libvirt daemon configuration files (systemd)
libvirt-daemon-system-sysv (7.0.0-3+deb11u2)
Libvirt daemon configuration files (sysv)
libvirt-dbus (1.4.0-2)
libvirt D-Bus API bindings
libvirt-dev (7.0.0-3+deb11u2)
development files for the libvirt library
libvirt-doc (7.0.0-3+deb11u2)
documentation for the libvirt library
libvirt-glib-1.0-0 (3.0.0-1)
libvirt GLib and GObject mapping library
libvirt-glib-1.0-dev (3.0.0-1)
Development files for the libvirt-glib library
libvirt-login-shell (7.0.0-3+deb11u2)
Isolate user sessions using LXC containers
libvirt-ocaml (0.6.1.5-1+b2)
OCaml bindings for libvirt (runtime)
libvirt-ocaml-dev (0.6.1.5-1+b2)
OCaml bindings for libvirt (development files)
libvirt-ocaml-dev-gq417
本虛擬套件由這些套件填實: libvirt-ocaml-dev
libvirt-ocaml-dev-kysq7
本虛擬套件由這些套件填實: libvirt-ocaml-dev
libvirt-ocaml-dev-t4h59
本虛擬套件由這些套件填實: libvirt-ocaml-dev
libvirt-ocaml-dev-zyat9
本虛擬套件由這些套件填實: libvirt-ocaml-dev
libvirt-ocaml-gq417
本虛擬套件由這些套件填實: libvirt-ocaml
libvirt-ocaml-kysq7
本虛擬套件由這些套件填實: libvirt-ocaml
libvirt-ocaml-t4h59
本虛擬套件由這些套件填實: libvirt-ocaml
libvirt-ocaml-zyat9
本虛擬套件由這些套件填實: libvirt-ocaml
libvirt-sanlock (7.0.0-3+deb11u2)
Sanlock plugin for virtlockd
libvirt-wireshark (7.0.0-3+deb11u2)
Wireshark dissector for the libvirt protocol
libvirt0 (7.0.0-3+deb11u2)
library for interfacing with different virtualization systems
libvirtodbc0 (7.2.5.1+dfsg1-0.1)
high-performance database - ODBC libraries
libvirtualpg-dev (2.0.1-1)
VirtualPG development files
libvirtualpg0 (2.0.1-1)
VirtualPG shared library
libvirtuoso5.5-cil (7.2.5.1+dfsg1-0.1)
high-performance database - Mono assemblies
libvisa-dev (0.0.20130812-2.2)
Virtual Instrument Software Architecture (development files)
libvisa0 (0.0.20130812-2.2)
Virtual Instrument Software Architecture (runtime files)
libvisio-0.1-1 (0.1.7-1+b1)
library for parsing the visio file structure
libvisio-dev (0.1.7-1+b1)
library for parsing the visio file structure -- development
libvisio-doc (0.1.7-1)
library for parsing the visio file structure -- documentatio
libvisio-tools (0.1.7-1+b1)
library for parsing the visio file structure -- tools
libvisp-ar-dev (3.3.0-5+b1)
development files for libvisp-ar
libvisp-ar3.3 (3.3.0-5+b1)
visual servoing platform ar library
libvisp-blob-dev (3.3.0-5+b1)
development files for libvisp-blob
libvisp-blob3.3 (3.3.0-5+b1)
visual servoing platform blob tracking library
libvisp-core-dev (3.3.0-5+b1)
development files for libvisp-core
libvisp-core3.3 (3.3.0-5+b1)
visual servoing platform core library
libvisp-detection-dev (3.3.0-5+b1)
development files for libvisp-detection
libvisp-detection3.3 (3.3.0-5+b1)
visual servoing platform detection library
libvisp-dev (3.3.0-5+b1)
development files for ViSP
libvisp-doc (3.3.0-5)
visual servoing library - documentation
libvisp-gui-dev (3.3.0-5+b1)
development files for libvisp-gui
libvisp-gui3.3 (3.3.0-5+b1)
visual servoing platform gui library
libvisp-imgproc-dev (3.3.0-5+b1)
development files for libvisp-imgproc
libvisp-imgproc3.3 (3.3.0-5+b1)
visual servoing platform imgproc library
libvisp-io-dev (3.3.0-5+b1)
development files for libvisp-io
libvisp-io3.3 (3.3.0-5+b1)
visual servoing platform io library
libvisp-klt-dev (3.3.0-5+b1)
development files for libvisp-klt
libvisp-klt3.3 (3.3.0-5+b1)
visual servoing platform klt tracking library
libvisp-mbt-dev (3.3.0-5+b1)
development files for libvisp-mbt
libvisp-mbt3.3 (3.3.0-5+b1)
visual servoing platform mbt library
libvisp-me-dev (3.3.0-5+b1)
development files for libvisp-me
libvisp-me3.3 (3.3.0-5+b1)
visual servoing platform me tracking library
libvisp-robot-dev (3.3.0-5+b1)
development files for libvisp-robot
libvisp-robot3.3 (3.3.0-5+b1)
visual servoing platform robot library
libvisp-sensor-dev (3.3.0-5+b1)
development files for libvisp-sensor
libvisp-sensor3.3 (3.3.0-5+b1)
visual servoing platform sensor library
libvisp-tt-dev (3.3.0-5+b1)
development files for libvisp-tt
libvisp-tt-mi-dev (3.3.0-5+b1)
development files for libvisp-tt-mi
libvisp-tt-mi3.3 (3.3.0-5+b1)
visual servoing platform tt-mi library
libvisp-tt3.3 (3.3.0-5+b1)
visual servoing platform tt library
libvisp-vision-dev (3.3.0-5+b1)
development files for libvisp-vision
libvisp-vision3.3 (3.3.0-5+b1)
visual servoing platform vision library
libvisp-visual-features-dev (3.3.0-5+b1)
development files for libvisp-visual-features
libvisp-visual-features3.3 (3.3.0-5+b1)
visual servoing platform visual-features library
libvisp-vs-dev (3.3.0-5+b1)
development files for libvisp-vs
libvisp-vs3.3 (3.3.0-5+b1)
visual servoing platform vs library
libvistaio-dev (1.2.19-3)
Development files for the libvistaio library
libvistaio14 (1.2.19-3)
Library for loading and storing various types of binary data
libvisual-0.4-0 (0.4.0-17)
audio visualization framework
libvisual-0.4-dev (0.4.0-17)
audio visualization framework (development files)
libvisual-0.4-plugins (1:0.4.0+dfsg1-16)
audio visualization framework plugins
libvisualization-msgs-dev (1.13.0-2)
Messages relating to Robot OS visualization, C/C++ interface
libvisualvm-jni (2.0.6+dfsg-1)
All-in-One Java Troubleshooting Tool (JNI libraries)
libvitacilina-perl (0.2-2)
Perl feed aggregation library
libvkd3d-dev (1.1-5)
Direct3D 12 to Vulkan translation - development files
libvkd3d-utils1 (1.1-5)
Direct3D 12 to Vulkan translation - utilities library
libvkd3d1 (1.1-5)
Direct3D 12 to Vulkan translation - library
libvlc-bin (3.0.20-0+deb11u1)
tools for VLC's base library
libvlc-dev (3.0.20-0+deb11u1)
development files for libvlc
libvlc5 (3.0.20-0+deb11u1)
multimedia player and streamer library
libvlccore-dev (3.0.20-0+deb11u1)
development files for libvlccore
libvlccore9 (3.0.20-0+deb11u1)
base library for VLC and its modules
libvldocking-java (3.0.5-3)
Java components for building applications with Docking capabilities
libvlfeat-dev (0.9.21+dfsg0-6+deb11u1)
Computer vision library focussing on visual features and clustering
libvlfeat-doc (0.9.21+dfsg0-6+deb11u1)
Computer vision library focussing on visual features and clustering
libvlfeat1 (0.9.21+dfsg0-6+deb11u1)
Computer vision library focussing on visual features and clustering
libvm-ec2-perl (1.28-2)
module providing controls on Amazon EC2 and Eucalyptus
libvm-ec2-security-credentialcache-perl (0.23-1.1)
EC2 credential cache respecting expiration time for IAM roles
libvmatch-dev (2.3.1+dfsg-6)
development headers and static library for Vmatch
libvmdk-dev (20200926-2)
VMWare Virtual Disk format access library -- development files
libvmdk-utils (20200926-2)
VMWare Virtual Disk format access library -- Utilities
libvmdk1 (20200926-2)
VMWare Virtual Disk format access library
libvmem-dev (1.8-2)
development files for libvmem1
libvmem1 (1.8-2)
Persistent Memory volatile memory support library
libvmemcache-dev (0.8.1-4)
buffer-based LRU cache
libvmemcache0 (0.8.1-4)
buffer-based LRU cache
libvmime-dev (0.9.2-6+b1)
C++ mail library (development files)
libvmime1 (0.9.2-6+b1)
C++ mail library (runtime library)
libvmime1-doc (0.9.2-6)
C++ mail library (API documentation)
libvmmalloc-dev (1.8-2)
development files for libvmmalloc1
libvmmalloc1 (1.8-2)
Persistent Memory dynamic allocation support library
libvmmlib-dev (1.0-2.2)
templatized C++ vector and matrix math library
libvncclient1 (0.9.13+dfsg-2+deb11u1)
API to write one's own VNC server - client library
libvncserver-dev (0.9.13+dfsg-2+deb11u1)
API to write one's own VNC server - development files
libvncserver1 (0.9.13+dfsg-2+deb11u1)
API to write one's own VNC server
libvnlog-dev (1.31-1)
Toolkit to read/write/manipulate whitespace-separated ASCII logs
libvnlog-perl (1.31-1)
Toolkit to read/write/manipulate whitespace-separated ASCII logs
libvnlog0 (1.31-1)
Toolkit to read/write/manipulate whitespace-separated ASCII logs
libvo-aacenc-dev (0.1.3-2)
VisualOn AAC encoder library (development files)
libvo-aacenc0 (0.1.3-2)
VisualOn AAC encoder library
libvo-amrwbenc-dev (0.1.3-2)
VisualOn AMR-WB encoder library (development files)
libvo-amrwbenc0 (0.1.3-2)
VisualOn AMR-WB encoder library
libvoaacenc-ocaml (0.1.1-1+b1)
OCaml interface to the vo-aacenc library -- runtime files
libvoaacenc-ocaml-87hl8
本虛擬套件由這些套件填實: libvoaacenc-ocaml
libvoaacenc-ocaml-dev (0.1.1-1+b1)
OCaml interface to the vo-aacenc library -- developpement files
libvoaacenc-ocaml-dev-87hl8
本虛擬套件由這些套件填實: libvoaacenc-ocaml-dev
libvoaacenc-ocaml-dev-g06v6
本虛擬套件由這些套件填實: libvoaacenc-ocaml-dev
libvoaacenc-ocaml-dev-nixq6
本虛擬套件由這些套件填實: libvoaacenc-ocaml-dev
libvoaacenc-ocaml-dev-wt4r3
本虛擬套件由這些套件填實: libvoaacenc-ocaml-dev
libvoaacenc-ocaml-g06v6
本虛擬套件由這些套件填實: libvoaacenc-ocaml
libvoaacenc-ocaml-nixq6
本虛擬套件由這些套件填實: libvoaacenc-ocaml
libvoaacenc-ocaml-wt4r3
本虛擬套件由這些套件填實: libvoaacenc-ocaml
libvoikko-dev (4.3-1+b1)
Development files for libvoikko
libvoikko1 (4.3-1+b1)
Library of free natural language processing tools
libvolatilestream-dev (0.2-1)
stdio stream as volatile memory buffers (devel)
libvolatilestream0 (0.2-1)
stdio stream as volatile memory buffers (library)
libvolk2-bin (2.4.1-2)
vector optimized runtime tools
libvolk2-dev (2.4.1-2)
vector optimized function headers
libvolk2-doc (2.4.1-2)
vector optimized library documentation
libvolk2.4 (2.4.1-2)
vector optimized functions
libvolpack-dev
本虛擬套件由這些套件填實: libvolpack1-dev
libvolpack1 (1.0b3-9)
fast volume rendering library
libvolpack1-dev (1.0b3-9)
fast volume rendering library (development package)
libvolume-key-dev (0.3.12-3.1+b1)
Library for manipulating storage encryption keys (dev files)
libvolume-key1 (0.3.12-3.1+b1)
Library for manipulating storage encryption keys and passphrases
libvoms-api-java-java (3.3.2-1+deb11u1)
Virtual Organization Membership Service Java API
libvoms-api-java-java-doc (3.3.2-1+deb11u1)
Virtual Organization Membership Service Java API Documentation
libvomsapi1v5 (2.1.0~rc0-6+b2)
Virtual Organization Membership Service C++ API
libvorbis-dev (1.3.7-1)
development files for Vorbis General Audio Compression Codec
libvorbis-java (0.8-3)
Ogg and Vorbis toolkit for Java
libvorbis-ocaml (0.7.1-1+b3 [amd64], 0.7.1-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for vorbis library
libvorbis-ocaml-2eiq9
本虛擬套件由這些套件填實: libvorbis-ocaml
libvorbis-ocaml-dev (0.7.1-1+b3 [amd64], 0.7.1-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml bindings for the vorbis library
libvorbis-ocaml-dev-2eiq9
本虛擬套件由這些套件填實: libvorbis-ocaml-dev
libvorbis-ocaml-dev-i6w65
本虛擬套件由這些套件填實: libvorbis-ocaml-dev
libvorbis-ocaml-dev-vx7k6
本虛擬套件由這些套件填實: libvorbis-ocaml-dev
libvorbis-ocaml-dev-ysmi7
本虛擬套件由這些套件填實: libvorbis-ocaml-dev
libvorbis-ocaml-i6w65
本虛擬套件由這些套件填實: libvorbis-ocaml
libvorbis-ocaml-vx7k6
本虛擬套件由這些套件填實: libvorbis-ocaml
libvorbis-ocaml-ysmi7
本虛擬套件由這些套件填實: libvorbis-ocaml
libvorbis0a (1.3.7-1)
decoder library for Vorbis General Audio Compression Codec
libvorbisenc2 (1.3.7-1)
encoder library for Vorbis General Audio Compression Codec
libvorbisfile3 (1.3.7-1)
high-level API for Vorbis General Audio Compression Codec
libvorbisidec-dev (1.2.1+git20180316-7)
Integer-only Ogg Vorbis decoder, AKA "tremor" (Development Files)
libvorbisidec1 (1.2.1+git20180316-7)
Integer-only Ogg Vorbis decoder, AKA "tremor"
libvorbisspi-java (1.0.3-3.1)
Java Service Provider Interface for the OGG Vorbis audio format
libvoro++1 (0.5+revert-to-0.4.6+dfsg1-1)
library for the computation of the Voronoi diagram (shared library)
libvotca-csg-dev (1.6.4-1)
VOTCA's coarse-graining library, development kit
libvotca-csg6 (1.6.4-1)
VOTCA's coarse-graining library
libvotca-tools-dev (1.6.4-1)
VOTCA's tools library, development kit
libvotca-tools6 (1.6.4-1)
VOTCA's tools library
libvotca-xtp-dev (1.6.4-1)
VOTCA's exciton transport library, development kit
libvotca-xtp6 (1.6.4-1)
VOTCA's exciton transport library
libvotequorum-dev (3.1.2-2)
cluster engine Votequorum library development
libvotequorum8 (3.1.2-2)
cluster engine Votequorum library
libvpb-dbg (4.2.61-1.2)
debugging symbols for libvpb and vpb-utils
libvpb-dev (4.2.61-1.2)
Voicetronix telephony hardware userspace library development files
libvpb-doc (4.2.61-1.2)
Voicetronix telephony interface library documentation
libvpb1 (4.2.61-1.2)
Voicetronix telephony hardware userspace interface library
libvpd-2.2-2 (2.2.7-1)
VPD Database access library
libvpd-dev (2.2.7-1)
VPD Database access library development files
libvpoll-dev (0.1-2)
generate synthetic events for poll/select/ppoll/pselect/epoll (devel)
libvpoll0 (0.1-2)
generate synthetic events for poll/select/ppoll/pselect/epoll (library)
libvpx-dev (1.9.0-1+deb11u2)
VP8 and VP9 video codec (development files)
libvpx-doc (1.9.0-1+deb11u2)
VP8 and VP9 video codec (API documentation)
libvpx6 (1.9.0-1+deb11u2)
VP8 and VP9 video codec (shared library)
libvshadow-dev (20190323-2)
Volume Shadow Snapshot format access library -- development files
libvshadow-utils (20190323-2)
Volume Shadow Snapshot format access library -- Utilities
libvshadow1 (20190323-2)
Volume Shadow Snapshot format access library
libvslvm-dev (20181227-1.1+b2)
Linux LVM volume system format access library -- development files
libvslvm-utils (20181227-1.1+b2)
Linux LVM volume system format access library -- Utilities
libvslvm1 (20181227-1.1+b2)
Linux LVM volume system format access library
libvsqlitepp-dev (0.3.13-4.1)
SQLite3 Wrapper for C++ -- development files
libvsqlitepp-doc (0.3.13-4.1)
SQLite3 Wrapper for C++ -- API documentation
libvsqlitepp3v5 (0.3.13-4.1)
SQLite3 Wrapper for C++
libvt-ldap-java (3.3.8-3)
Virginia Tech LDAP Libraries
libvte-2.91-0 (0.62.3-1)
Terminal emulator widget for GTK+ 3.0 - runtime files
libvte-2.91-0-udeb (0.62.3-1)
Terminal emulator widget for GTK+ 3.0 - minimal runtime
libvte-2.91-common (0.62.3-1)
Terminal emulator widget for GTK+ 3.0 - common files
libvte-2.91-dev (0.62.3-1)
Terminal emulator widget for GTK+ 3.0 - development files
libvte-2.91-doc (0.62.3-1)
Terminal emulator widget for GTK+ 3.0 - documentation
libvte-common (1:0.28.2-6)
Terminal emulator widget for GTK+ 2.x - common files
libvte-dev (1:0.28.2-6)
Terminal emulator widget for GTK+ 2.0 - development files
libvte9 (1:0.28.2-6)
Terminal emulator widget for GTK+ 2.0 - runtime files
libvte9-udeb (1:0.28.2-6)
Terminal emulator widget for GTK+ 2.0 - minimal runtime
libvted-3-0 (3.9.0-4)
Terminal emulator widget for GTK+ - D bindings
libvted-3-dev (3.9.0-4)
Terminal emulator widget for GTK+ - development files for D
libvterm-bin (0.1.4-1)
abstract terminal library (utility programs)
libvterm-dev (0.1.4-1)
abstract terminal library (development files)
libvterm0 (0.1.4-1)
abstract terminal library
libvtk-dicom-dev (0.8.12-4)
DICOM for VTK - dev
libvtk6-dev (6.3.0+dfsg2-8.1)
VTK header files
libvtk6-qt-dev (6.3.0+dfsg2-8.1)
VTK header files, containing Qt files
libvtk6.3 (6.3.0+dfsg2-8.1)
VTK libraries
libvtk6.3-qt (6.3.0+dfsg2-8.1)
VTK libraries, Qt files
libvtk7-dev (7.1.1+dfsg2-8)
VTK header files
libvtk7-java (7.1.1+dfsg2-8)
Visualization Toolkit - A high level 3D visualization library - java
libvtk7-jni (7.1.1+dfsg2-8)
Visualization Toolkit - A high level 3D visualization library - java
libvtk7-qt-dev (7.1.1+dfsg2-8)
VTK header files, containing Qt files
libvtk7.1p (7.1.1+dfsg2-8)
VTK libraries
libvtk7.1p-qt (7.1.1+dfsg2-8)
VTK libraries, Qt files
libvtk9 (9.0.1+dfsg1-8)
VTK libraries
libvtk9-dev (9.0.1+dfsg1-8)
VTK header files
libvtk9-java (9.0.1+dfsg1-8)
VTK - Java language support
libvtk9-qt (9.0.1+dfsg1-8)
VTK libraries, Qt files
libvtk9-qt-dev (9.0.1+dfsg1-8)
VTK header files, containing Qt files
libvtkdicom0.8 (0.8.12-4)
DICOM for VTK - lib
libvtkgdcm-cil (3.0.8-2)
Grassroots DICOM VTK CLI bindings
libvtkgdcm-dev (3.0.8-2)
Grassroots DICOM VTK development libraries and headers
libvtkgdcm-java (3.0.8-2)
Grassroots DICOM VTK Java bindings
libvtkgdcm-tools (3.0.8-2)
Grassroots DICOM VTK tools and utilities
libvtkgdcm3.0 (3.0.8-2)
Grassroots DICOM VTK runtime libraries
libvulkan-dev (1.2.162.0-1)
Vulkan loader library -- development files
libvulkan1 (1.2.162.0-1)
Vulkan loader library
libvuser-google-api-perl (1.0.1-1.1)
Perl module that implements the Google Apps Provisioning API
libwacom-bin (1.8-2)
Wacom model feature query library -- binaries
libwacom-common (1.8-2)
Wacom model feature query library (common files)
libwacom-dev (1.8-2)
Wacom model feature query library (development files)
libwacom2 (1.8-2)
Wacom model feature query library
libwaei-dev (3.6.2-9)
Japanese-English Dictionary for GNOME
libwaei2 (3.6.2-9)
Japanese-English Dictionary for GNOME
libwaffle-1-0 (1.6.3-3)
Waffle library (binaries)
libwaffle-dev (1.6.3-3)
Waffle library (development files)
libwaffle-doc (1.6.3-3)
Waffle library (documentation)
libwagon-file-java (3.3.4-1)
Artifact transport abstraction used in Maven (file provider)
libwagon-ftp-java (3.3.4-1)
Artifact transport abstraction used in Maven (FTP provider)
libwagon-http-java (3.3.4-1)
Artifact transport abstraction used in Maven (HTTP providers)
libwagon-http-shaded-java (3.3.4-1)
Artifact transport abstraction used in Maven (HTTP providers with dependencies)
libwagon-java (3.3.4-1)
Artifact transport abstraction used in Maven (transitional package)
libwagon-provider-api-java (3.3.4-1)
Artifact transport abstraction used in Maven (Provider API)
libwagon-ssh-java (3.3.4-1)
Artifact transport abstraction used in Maven (SSH providers)
libwaili-dev (19990723-22.1+b2)
WAILI is a wavelet transform library (develop. files)
libwaili-doc
本虛擬套件由這些套件填實: libwaili-dev
libwaili-test
本虛擬套件由這些套件填實: libwaili-dev
libwaili1c2 (19990723-22.1+b2)
WAILI is a wavelet transform library
libwala-java (1.5.2-1)
Static analysis on Java bytecode and related languages
libwala-java-doc (1.5.2-1)
Static analysis on Java bytecode and related languages - Docs
libwandio-dev
本虛擬套件由這些套件填實: libwandio1-dev
libwandio1 (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
multi-threaded file compression and decompression library
libwandio1-dev (3.0.21-1+b3 [mipsel], 3.0.21-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
development headers for the libwandio library
libwannier90-dev (3.1.0+ds-4)
Maximally Localized Wannier Functions - development library
libwant-perl (0.29-1+b6)
generalisation of wantarray
libwarnings-illegalproto-perl (0.001003-1.1)
pragma to disable illegal prototype warnings on old Perls
libwatchtower-clojure (0.1.1-2)
simple file/directory watcher library
libwavpack-dev (5.4.0-1)
audio codec (lossy and lossless) - development files
libwavpack1 (5.4.0-1)
audio codec (lossy and lossless) - library
libwayland-bin (1.18.0-2~exp1.1)
wayland compositor infrastructure - binary utilities
libwayland-client++0 (0.2.8-1)
wayland compositor infrastructure - client library C++ bindings
libwayland-client-extra++0 (0.2.8-1)
wayland compositor infrastructure - client library extra C++ bindings
libwayland-client0 (1.18.0-2~exp1.1)
wayland compositor infrastructure - client library
libwayland-cursor++0 (0.2.8-1)
wayland compositor infrastructure - cursor library C++ bindings
libwayland-cursor0 (1.18.0-2~exp1.1)
wayland compositor infrastructure - cursor library
libwayland-dev (1.18.0-2~exp1.1)
wayland compositor infrastructure - development files
libwayland-doc (1.18.0-2~exp1.1)
wayland compositor infrastructure - documentation files
libwayland-egl++0 (0.2.8-1)
wayland compositor infrastructure - EGL library C++ bindings
libwayland-egl-backend-dev (1.18.0-2~exp1.1)
wayland compositor infrastructure - EGL backend development files
libwayland-egl1 (1.18.0-2~exp1.1)
wayland compositor infrastructure - EGL library
libwayland-egl1-mesa (20.3.5-1)
transitional dummy package
libwayland-egl1-mesa
本虛擬套件由這些套件填實: libwayland-egl1
libwayland-server0 (1.18.0-2~exp1.1)
wayland compositor infrastructure - server library
libwbclient-dev (2:4.13.13+dfsg-1~deb11u6) [security]
Samba winbind client library - development files
libwbclient-sssd (2.4.1-2)
SSSD libwbclient implementation
libwbclient-sssd-dev (2.4.1-2)
SSSD libwbclient implementation -- development files
libwbclient0 (2:4.13.13+dfsg-1~deb11u6) [security]
Samba winbind client library
libwbxml2-0 (0.10.7-1+b2)
WBXML parsing and encoding library
libwbxml2-0-dbg (0.10.7-1+b2)
WBXML library development file
libwbxml2-dev (0.10.7-1+b2)
WBXML library development file
libwbxml2-utils (0.10.7-1+b2)
Binary XML utilities
libwcat1 (1.1-3)
Process monitoring library
libwcat1-dev (1.1-3)
Process monitoring library (development files)
libwcs7 (7.4+ds-2)
Implementation of the FITS WCS standard
libwcstools-dev (3.9.6-1)
Handle the WCS of a FITS image (development files)
libwcstools1 (3.9.6-1)
Handle the WCS of a FITS image (shared library)
libweasel-driverrole-perl (0.04-2)
API definition for Weasel's driver wrappers
libweasel-perl (0.27-1)
PHP's Mink inspired multi-protocol web-testing library for Perl
libweasel-widgets-dojo-perl (0.07-1)
Dojo Widgets for Weasel
libweather-ion7 (4:5.20.5-6)
Plasma Workspace for KF5 library
libweb-api-perl (2.7-1)
simple base module for implementing RESTful APIs
libweb-id-perl (1.927-2.1)
implementation of WebID (a.k.a. FOAF+SSL)
libweb-machine-perl (0.17-1.1)
Perl port of Webmachine
libweb-mrest-cli-perl (0.283-1.1)
CLI components for Web::MEST-based applications
libweb-mrest-perl (0.288-1.1)
minimalistic REST server
libweb-query-perl (0.39-1)
perl web scraping library like jQuery
libweb-scraper-perl (0.38-1.1)
Web Scraping Toolkit using HTML and CSS Selectors or XPath expressions
libweb-simple-perl (0.033-1)
simple web framework
libwebauth-dev (4.7.0-8)
Development files for WebAuth authentication
libwebauth-perl (4.7.0-8)
Perl library for WebAuth authentication
libwebauth12 (4.7.0-8)
Shared libraries for WebAuth authentication
libwebinject-perl (1.94-1)
Perl Module for testing web services
libwebjars-locator-core-java (0.30-1.1)
WebJars Locator Core
libwebjars-locator-java (0.32-1.1)
WebJars Locator
libwebkdc-perl (4.7.0-8)
Perl libraries for WebAuth central login server
libwebkit2-sharp-4.0-cil (2.10.9+git20160917-1.1)
CLI bindings for WebKitGTK+ 4.0 using GObject Introspection
libwebkit2-sharp-4.0-cil-dev (2.10.9+git20160917-1.1)
CLI bindings for WebKitGTK+ 4.0 using GObject Introspection - development
libwebkit2gtk-4.0-37 (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
Web content engine library for GTK
libwebkit2gtk-4.0-dev (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
Web content engine library for GTK - development files
libwebkit2gtk-4.0-doc (2.42.5-1~deb11u1) [security]
Web content engine library for GTK - documentation
libwebm-dev (1.0.0.28-1)
WebM parser library (development files)
libwebm-tools (1.0.0.28-1)
WebM parser library (binary tools)
libwebm1 (1.0.0.28-1)
WebM parser library
libwebp-dev (0.6.1-2.1+deb11u2)
Lossy compression of digital photographic images.
libwebp6 (0.6.1-2.1+deb11u2)
Lossy compression of digital photographic images.
libwebpdemux2 (0.6.1-2.1+deb11u2)
Lossy compression of digital photographic images.
libwebpmux3 (0.6.1-2.1+deb11u2)
Lossy compression of digital photographic images.
libwebrtc-audio-processing-dev (0.3-1+b1)
development files for the webrtc-audio-processing library
libwebrtc-audio-processing1 (0.3-1+b1)
AudioProcessing module from the WebRTC project.
libwebservice-cia-perl (1.4-3.1)
get information from the CIA World Factbook via Perl
libwebservice-ils-perl (0.18-1)
Standardised library discovery/circulation services
libwebservice-musicbrainz-perl (1.0.4-2)
XML based Web service API to the MusicBrainz database
libwebservice-solr-perl (0.44-1)
Perl interface for the Solr (Lucene) web service
libwebservice-validator-css-w3c-perl (0.3-1.1)
interface to the W3C CSS Validator
libwebservice-validator-html-w3c-perl (0.28-2.1)
Perl interface to access the W3C's online HTML validator
libwebservice-youtube-perl (1.0.3-4.1)
Perl module that provides an interface to YouTube services
libwebsocket-api-java (1.1-2)
Java WebSocket API
libwebsocketpp-dev (0.8.2-3)
C++/Boost Asio based websocket client/server library. Dev package
libwebsocketpp-doc (0.8.2-3)
C++/Boost Asio based websocket client/server library. Doc package
libwebsockets-dev (4.0.20-2)
lightweight C websockets library - development files
libwebsockets-test-server (4.0.20-2)
lightweight C websockets library - test servers
libwebsockets-test-server-common (4.0.20-2)
lightweight C websockets library - test servers data
libwebsockets16 (4.0.20-2)
lightweight C websockets library
libweed-dev (3.0.2-1.1)
Development library for inclusion of plugins into LiVES
libweed0 (3.0.2-1.1)
Runtime library for inclusion of plugins into LiVES
libwerken.xpath-java (0.9.4-16)
JDOM XPath Engine
libweston-9-0 (9.0.0-2)
reference implementation of a wayland compositor (shared libs)
libweston-9-dev (9.0.0-2)
reference implementation of a wayland compositor (shared libs)
libwgdb-dev (0.7.3+git200711-1)
lightweight NoSQL database library, development files
libwgdb0 (0.7.3+git200711-1)
lightweight NoSQL database library, shared library
libwget0 (1.99.1-2.2)
Download library for files and recursive websites
libwhereami-dev (0.0~git20200503.e07bc35-1)
locate the current executable and the current module (development files)
libwhereami0 (0.0~git20200503.e07bc35-1)
locate the current executable and the current module
libwhisker2-perl (2.5-1.1)
Perl module geared for HTTP testing
libwhy3-ocaml-dev (1.3.3-1+b4)
OCaml librariries for why3 (dev)
libwhy3-ocaml-dev-9njo6
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwhy3-ocaml-dev-bz797
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwhy3-ocaml-dev-d94w5
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwhy3-ocaml-dev-l5f60
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwhy3-ocaml-dev-qc994
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwhy3-ocaml-dev-t4102
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwhy3-ocaml-dev-zedi7
本虛擬套件由這些套件填實: libwhy3-ocaml-dev
libwibble-dev (1.1-2)
Library of various useful C++ code
libwiki-toolkit-formatter-usemod-perl (0.25-1)
UseModWiki-style formatting for CGI::Wiki
libwiki-toolkit-perl (0.86-1)
Toolkit for building Wikis
libwiki-toolkit-plugin-categoriser-perl (0.08-2)
Category management for Wiki::Toolkit
libwiki-toolkit-plugin-diff-perl (0.12-4)
format differences between two Wiki::Toolkit pages
libwiki-toolkit-plugin-json-perl (0.05-1.1)
Wiki::Toolkit plugin to output RecentChanges JSON
libwiki-toolkit-plugin-locator-grid-perl (0.05-5)
A Wiki::Toolkit plugin to manage co-ordinate data
libwiki-toolkit-plugin-ping-perl (0.03-2)
"ping" various services when nodes are written
libwiki-toolkit-plugin-rss-reader-perl (1.6-3.1)
module to retrieve RSS feeds for inclusion in Wiki::Toolkit nodes
libwildfly-client-config-java (1.0.1-2)
Wildfly Client Configuration Library
libwildfly-common-java (1.5.4-1)
Wildfly common utilities project
libwildmagic-common (5.17+cleaned1-6)
libraries for mathematics, physics, numerical methods - data files
libwildmagic-dev (5.17+cleaned1-6)
libraries for mathematics, physics, numerical methods - dev files
libwildmagic-examples (5.17+cleaned1-6)
libraries for mathematics, physics, numerical methods - example files
libwildmagic5 (5.17+cleaned1-6)
libraries for mathematics, physics, numerical methods - runtime
libwildmidi-config (0.4.3-1)
software MIDI player configuration
libwildmidi-dev (0.4.3-1)
software MIDI player library headers
libwildmidi2 (0.4.3-1)
software MIDI player library
libwim-dev (1.13.3-1)
Library for manipulating Windows Imaging files - development files
libwim-doc (1.13.3-1)
Library for manipulating Windows Imaging files - API documentation
libwim15 (1.13.3-1)
Library for manipulating Windows Imaging files
libwin-hivex-perl (1.3.20-1)
Perl bindings to hivex
libwin32-exe-perl (0.17-2)
Manipulate Win32 executable files
libwind0-heimdal (7.7.0+dfsg-2+deb11u3)
Heimdal Kerberos - stringprep implementation
libwine (5.0.3-3)
Windows API implementation - library
libwine-dev (5.0.3-3)
Windows API implementation - development files
libwings-dev (0.95.9-2)
Window Maker's own widget set
libwings3 (0.95.9-2)
Window Maker's own widget set - runtime library
libwinpr-tools2-2 (2.3.0+dfsg1-2+deb11u1)
Windows Portable Runtime Tools library
libwinpr2-2 (2.3.0+dfsg1-2+deb11u1)
Windows Portable Runtime library
libwinpr2-dev (2.3.0+dfsg1-2+deb11u1)
Windows Portable Runtime library (development files)
libwiredtiger-dev (3.2.1-1)
high performance, scalable, NoSQL, extensible platform (development)
libwiredtiger-java (3.2.1-1)
Java language support for WiredTiger
libwiredtiger0 (3.2.1-1)
high performance, scalable, NoSQL, extensible platform (library)
libwireshark-data (3.4.10-0+deb11u1)
network packet dissection library -- data files
libwireshark-dev (3.4.10-0+deb11u1)
network packet dissection library -- development files
libwireshark14 (3.4.10-0+deb11u1)
network packet dissection library -- shared library
libwiretap-dev (3.4.10-0+deb11u1)
network packet capture library -- development files
libwiretap11 (3.4.10-0+deb11u1)
network packet capture library -- shared library
libwlroots-dev (0.11.0-3)
Modular wayland compositor library - development headers
libwlroots-examples (0.11.0-3)
Modular wayland compositor library - binaries
libwlroots6 (0.11.0-3)
Modular wayland compositor library - shared library
libwmaker-dev (0.95.9-2)
Static libraries and headers for Window Maker applications
libwmaker1 (0.95.9-2)
Runtime library for Window Maker applications
libwmf-bin (0.2.8.4-17)
Windows metafile conversion tools
libwmf-dev (0.2.8.4-17)
Windows metafile conversion development
libwmf-doc (0.2.8.4-17)
Windows metafile documentation
libwmf0.2-7 (0.2.8.4-17)
Windows metafile conversion library
libwmf0.2-7-gtk (0.2.8.4-17)
Windows metafile conversion library
libwnck-3-0 (3.36.0-1)
Window Navigator Construction Kit - runtime files
libwnck-3-common (3.36.0-1)
Window Navigator Construction Kit - common files
libwnck-3-dev (3.36.0-1)
Window Navigator Construction Kit - development files
libwnck-common (2.30.7-6)
Window Navigator Construction Kit - common files
libwnck-dev (2.30.7-6)
Window Navigator Construction Kit - development files
libwnck-doc (2.30.7-6)
Window Navigator Construction Kit - documentation files
libwnck22 (2.30.7-6)
Window Navigator Construction Kit - runtime files
libwnn-dev (1.1.1~a021+cvs20130302-7+b2)
Header files and static libraries for Wnn (FreeWnn jserver)
libwnn0 (1.1.1~a021+cvs20130302-7+b2)
FreeWnn library for Wnn (FreeWnn jserver)
libwnn6-1 (1.0.0-18+b3)
Wnn6 client library
libwnn6-dev (1.0.0-18+b3)
Header files and static library for Wnn6 client library
libwoff-dev (1.0.2-1+b1)
library for converting fonts to WOFF 2.0 - development files
libwoff1 (1.0.2-1+b1)
library for converting fonts to WOFF 2.0
libwolfssl-dev (4.6.0+p1-0+deb11u2)
Development files for the wolfSSL encryption library
libwolfssl24 (4.6.0+p1-0+deb11u2)
wolfSSL encryption library
libwoodstox-java (1:6.2.1-1)
High-performance XML processor
libwordnet-querydata-perl (1.49-1)
Perl interface to WordNet database
libwpa-client-dev (2:2.9.0-21)
development files for WPA/WPA2 client support (IEEE 802.11i)
libwpd-0.10-10 (0.10.3-1)
Library for handling WordPerfect documents (shared library)
libwpd-dev (0.10.3-1)
Library for handling WordPerfect documents (development)
libwpd-doc (0.10.3-1)
Library for handling WordPerfect documents (documentation)
libwpd-tools (0.10.3-1)
Tools from libwpd for converting WordPerfect to HTML/RAW/Text
libwpe-1.0-1 (1.10.0-2)
Base library for the WPE WebKit port
libwpe-1.0-dev (1.10.0-2)
Base library for the WPE WebKit port - development files
libwpebackend-fdo-1.0-1 (1.8.0-1)
WPE backend for FreeDesktop.org
libwpebackend-fdo-1.0-dev (1.8.0-1)
WPE backend for FreeDesktop.org - Development files
libwpewebkit-1.0-3 (2.38.6-1~deb11u1)
Web content engine for embedded devices
libwpewebkit-1.0-dev (2.38.6-1~deb11u1)
Web content engine for embedded devices - development files
libwpewebkit-1.0-doc (2.38.6-1~deb11u1)
Web content engine for embedded devices - documentation
libwpg-0.3-3 (0.3.3-1)
WordPerfect graphics import/convert library (shared library)
libwpg-dev (0.3.3-1)
WordPerfect graphics import/convert library (development)
libwpg-doc (0.3.3-1)
WordPerfect graphics import/convert library (documentation)
libwpg-tools (0.3.3-1)
Tools from libwpg for converting WP Graphics to Raw/SVG
libwps-0.4-4 (0.4.12-1)
Works text file format import filter library (shared library)
libwps-dev (0.4.12-1)
Works text file format import filter library (development)
libwps-doc (0.4.12-1)
Works text file format import filter library (documentation)
libwps-tools (0.4.12-1)
Tools from libwps for converting Works text documents to HTML/RAW/Text
libwrap0 (7.6.q-31)
Wietse Venema 的 TCP 包裝函式庫
libwrap0-dev (7.6.q-31)
Wietse Venema's TCP wrappers library, development files
libwraster-dev (0.95.9-2)
Static libraries and headers of Window Maker rasterizer
libwraster6 (0.95.9-2)
Shared libraries of Window Maker rasterizer
libwreport-dev (3.23-2+b4)
library for working with weather reports
libwreport-doc (3.23-2)
documentation for libwreport
libwreport3 (3.23-2+b4)
shared library for working with weather reports
libwriter2latex-java (1.4-10)
OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- library
libwriter2latex-java-doc (1.4-10)
OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- javadoc
libws-commons-util-java (1.0.1-10)
Common utilities from the Apache Web Services Project
libwsclean2 (2.10.1-1+b2)
Fast generic widefield interferometric imager (shared library)
libwsdl4j-java (1.6.3-4.1)
Webservice description language for Java
libwsdl4j-java-doc (1.6.3-4.1)
Documentation for Java Web Services Library
libwslay-dev (1.1.1-2)
WebSocket library written in C. Development files
libwslay-doc (1.1.1-2)
WebSocket library written in C. Documentation
libwslay1 (1.1.1-2)
WebSocket library written in C. Shared library
libwss4j-java (1.6.19-2)
Apache WSS4J WS-Security implementation
libwsutil-dev (3.4.10-0+deb11u1)
network packet dissection utilities library -- development files
libwsutil12 (3.4.10-0+deb11u1)
network packet dissection utilities library -- shared library
libwutil5 (0.95.9-2)
Window Maker's own widget set - utility library
libwv-1.2-4 (1.2.9-4.2+b2)
Library for accessing Microsoft Word documents
libwv-dev (1.2.9-4.2+b2)
Development files for the wvWare library
libwvstreams-dev (4.6.1-15)
Development libraries and header files for libwvstreams4.6
libwvstreams4.6-base (4.6.1-15)
C++ network libraries for rapid application development
libwvstreams4.6-dev
本虛擬套件由這些套件填實: libwvstreams-dev
libwvstreams4.6-doc (4.6.1-15)
Documentation for WvStreams
libwvstreams4.6-extras (4.6.1-15)
C++ network libraries for rapid application development
libwww-bugzilla-perl (1.5-2.1)
Perl module to manipulate a Bugzilla database
libwww-csrf-perl (1.00-1.1)
Perl module to generate and check tokens to protect against CSRF attacks
libwww-curl-perl (4.17-7+b1)
Perl bindings to libcurl
libwww-curl-simple-perl (0.100191-2)
simpler interface to WWW::Curl
libwww-dict-leo-org-perl (2.02-2)
interface module to dict.leo.org online dictionary
libwww-facebook-api-perl (0.4.18-2.1)
Facebook API implementation
libwww-form-urlencoded-perl (0.26-1)
parser and builder for application/x-www-form-urlencoded format
libwww-form-urlencoded-xs-perl (0.26-1+b1)
XS implementation of application/x-www-form-urlencoded parser/builder
libwww-google-calculator-perl (0.07-2.1)
Perl interface for Google calculator
libwww-indexparser-perl (0.91-1.1)
Fetch and parse the directory index from a web server
libwww-mechanize-autopager-perl (0.02-2.1)
plugin for WWW::Mechanize for automatic pagination
libwww-mechanize-formfiller-perl (0.12-1)
framework to automate HTML forms
libwww-mechanize-gzip-perl (0.14-1)
Perl module to fetch webpages with gzip-compression
libwww-mechanize-perl (2.03-1)
module to automate interaction with websites
libwww-mechanize-shell-perl (0.59-1)
interactive shell for WWW::Mechanize
libwww-mechanize-treebuilder-perl (1.20000-1.1)
Perl module integrating WWW::Mechanize and HTML::TreeBuilder
libwww-mediawiki-client-perl (0.31-2.1)
simple CVS-like interface for editing MediaWiki websites
libwww-oauth-perl (1.000-1.1)
portable OAuth 1.0 authentication module
libwww-opensearch-perl (0.17-1.1)
search OpenSearch compatible web sites
libwww-perl (6.52-1)
simple and consistent interface to the world-wide web
libwww-robotrules-perl (6.02-1)
database of robots.txt-derived permissions
libwww-search-ebay-perl
本虛擬套件由這些套件填實: libwww-search-perl
libwww-search-firstgov-perl
本虛擬套件由這些套件填實: libwww-search-perl
libwww-search-googlism-perl
本虛擬套件由這些套件填實: libwww-search-perl
libwww-search-jobserve-perl
本虛擬套件由這些套件填實: libwww-search-perl
libwww-search-perl (2.51.90+~cs6.78-2)
Perl modules which provide an API to WWW search engines
libwww-search-pubmed-perl
本虛擬套件由這些套件填實: libwww-search-perl
libwww-shorten-5gp-perl (1.030-1.1)
Perl library for short URLs using http://5.gp
libwww-shorten-github-perl (0.1.7-1.1)
shorten GitHub URLs using GitHub's URL shortener
libwww-shorten-perl (3.094-1)
interface to URL shortening sites
libwww-shorten-simple-perl (0.02-1)
factory wrapper around WWW::Shorten to avoid imports
libwww-wikipedia-perl (2.05-1)
perl module that provides an automated interface to Wikipedia
libwww-youtube-download-perl (0.65+dfsg-1)
module for downloading video from YouTube
libwwwbrowser-perl (2.23-3.1)
Platform independent means to start a WWW browser
libwx-glcanvas-perl (0.09-5+b4)
Perl interface to wxWidgets' OpenGL canvas
libwx-perl (1:0.9932-5+b5)
interface to wxWidgets cross-platform GUI toolkit
libwx-perl-datawalker-perl (0.02-2)
Perl data structure browser
libwx-perl-processstream-perl (0.32-1.1)
Wx::Perl module to access IO of external processes via events
libwx-scintilla-perl (0.39-4+b4)
source code editor component for wxWidgets
libwxbase3.0-0v5 (3.0.5.1+dfsg-2)
wxBase library (runtime) - non-GUI support classes of wxWidgets toolkit
libwxbase3.0-dev (3.0.5.1+dfsg-2)
wxBase library (development) - non-GUI support classes of wxWidgets toolkit
libwxgtk-media3.0-gtk3-0v5 (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (GTK 3 media library runtime)
libwxgtk-media3.0-gtk3-dev (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (GTK 3 media library development)
libwxgtk-webview3.0-gtk3-0v5 (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (GTK 3 webview library runtime)
libwxgtk-webview3.0-gtk3-dev (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (GTK 3 webview library development)
libwxgtk3.0-gtk3-0v5 (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (GTK 3 runtime)
libwxgtk3.0-gtk3-dev (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (GTK 3 development)
libwxsmithlib-dev (20.03-3)
wxSmith development files (Code::Blocks plugin for RAD GUI editing)
libwxsmithlib0 (20.03-3)
wxSmith shared library (Code::Blocks plugin for RAD GUI editing)
libwxsqlite3-3.0-0 (3.4.1~dfsg-5)
SQLite3 C++ wrapper for use in programs based on the wxWidgets
libwxsqlite3-3.0-dev (3.4.1~dfsg-5)
Development files for wxSQLite3
libwxsvg-dev (2:1.5.22+dfsg.1-1)
Development files for wxSVG
libwxsvg-tools (2:1.5.22+dfsg.1-1)
SVG library for the wxWidgets toolkit (tools)
libwxsvg3 (2:1.5.22+dfsg.1-1)
SVG library for the wxWidgets toolkit
libwyhash-dev (0~2.gbp234f0c6-1)
fast, high-quality, portable hash function (development files)
libx11-6 (2:1.7.2-1+deb11u2)
X11 client-side library
libx11-6-udeb (2:1.7.2-1+deb11u2)
X11 client-side library
libx11-data (2:1.7.2-1+deb11u2)
X11 client-side library
libx11-dev (2:1.7.2-1+deb11u2)
X11 client-side library (development headers)
libx11-doc (2:1.7.2-1+deb11u2)
X11 client-side library (development documentation)
libx11-freedesktop-desktopentry-perl (0.04-4.1)
perl interface to Freedesktop.org .desktop files
libx11-guitest-perl (0.28-2+b3)
collection of functions for X11 GUI testing/interaction
libx11-keyboard-perl (1.4-7)
keyboard support functions for X11
libx11-protocol-other-perl (31-1)
miscellaneous X11::Protocol helpers
libx11-protocol-perl (0.56-7.1)
Perl module for the X Window System Protocol, version 11
libx11-windowhierarchy-perl (0.004-1.1)
Perl module for retrieving the current X11 window hierarchy
libx11-xcb-dev (2:1.7.2-1+deb11u2)
Xlib/XCB interface library (development headers)
libx11-xcb-perl (0.18-1+b3)
perl bindings for libxcb
libx11-xcb1 (2:1.7.2-1+deb11u2)
Xlib/XCB interface library
libx12-parser-perl (0.80-2)
Perl module for parsing X12 Transaction files
libx264-160 (2:0.160.3011+gitcde9a93-2.1)
x264 video coding library
libx264-dev (2:0.160.3011+gitcde9a93-2.1)
development files for libx264
libx265-192 (3.4-2)
H.265/HEVC video stream encoder (shared library)
libx265-dev (3.4-2)
H.265/HEVC video stream encoder (development files)
libx265-doc (3.4-2)
H.265/HEVC video stream encoder (documentation)
libx2go-config-perl (4.1.0.3-5)
Perl X2Go::Config package
libx2go-log-perl (4.1.0.3-5)
Perl X2Go::Log package
libx2go-server-db-perl (4.1.0.3-5)
Perl X2Go::Server:DB package
libx2go-server-perl (4.1.0.3-5)
Perl X2Go::Server package
libx2go-utils-perl (4.1.0.3-5)
Perl X2Go::Utils package
libx32asan5 (9.3.0-22)
AddressSanitizer -- a fast memory error detector (x32)
libx32asan5-amd64-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (x32)
libx32asan5-i386-cross (9.3.0-22cross1)
AddressSanitizer -- a fast memory error detector (x32)
libx32asan6 (10.2.1-6)
AddressSanitizer -- a fast memory error detector (x32)
libx32asan6-amd64-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (x32)
libx32asan6-i386-cross (10.2.1-6cross1)
AddressSanitizer -- a fast memory error detector (x32)
libx32atomic1 (10.2.1-6)
support library providing __atomic built-in functions (x32)
libx32atomic1-amd64-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (x32)
libx32atomic1-i386-cross (10.2.1-6cross1)
support library providing __atomic built-in functions (x32)
libx32gcc-10-dev (10.2.1-6)
GCC support library (x32 development files)
libx32gcc-10-dev-amd64-cross (10.2.1-6cross1)
GCC support library (x32 development files)
libx32gcc-10-dev-i386-cross (10.2.1-6cross1)
GCC support library (x32 development files)
libx32gcc-9-dev (9.3.0-22)
GCC support library (x32 development files)
libx32gcc-9-dev-amd64-cross (9.3.0-22cross1)
GCC support library (x32 development files)
libx32gcc-9-dev-i386-cross (9.3.0-22cross1)
GCC support library (x32 development files)
libx32gcc-s1 (10.2.1-6)
GCC support library (x32)
libx32gcc-s1-amd64-cross (10.2.1-6cross1)
GCC support library (amd64) (x32)
libx32gcc-s1-amd64-dcv1
本虛擬套件由這些套件填實: libx32gcc-s1-amd64-cross
libx32gcc-s1-i386-cross (10.2.1-6cross1)
GCC support library (i386) (x32)
libx32gcc-s1-i386-dcv1
本虛擬套件由這些套件填實: libx32gcc-s1-i386-cross
libx32gcc1-amd64-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libx32gcc-s1-amd64-cross
libx32gcc1-i386-cross (= 1:10.2.1-6)
本虛擬套件由這些套件填實: libx32gcc-s1-i386-cross
libx32gfortran-10-dev (10.2.1-6)
Runtime library for GNU Fortran applications (x32 development files)
libx32gfortran-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (x32 development files)
libx32gfortran-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (x32 development files)
libx32gfortran-9-dev (9.3.0-22)
Runtime library for GNU Fortran applications (x32 development files)
libx32gfortran-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (x32 development files)
libx32gfortran-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Fortran applications (x32 development files)
libx32gfortran5 (10.2.1-6)
Runtime library for GNU Fortran applications (x32)
libx32gfortran5-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (x32)
libx32gfortran5-i386-cross (10.2.1-6cross1)
Runtime library for GNU Fortran applications (x32)
libx32go-10-dev (10.2.1-6)
Runtime library for GNU Go applications (x32 development files)
libx32go-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (x32 development files)
libx32go-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (x32 development files)
libx32go-9-dev (9.3.0-22)
Runtime library for GNU Go applications (x32 development files)
libx32go-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (x32 development files)
libx32go-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (x32 development files)
libx32go14 (9.3.0-22)
Runtime library for GNU Go applications (x32)
libx32go14-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (x32)
libx32go14-i386-cross (9.3.0-22cross1)
Runtime library for GNU Go applications (x32)
libx32go16 (10.2.1-6)
Runtime library for GNU Go applications (x32)
libx32go16-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (x32)
libx32go16-i386-cross (10.2.1-6cross1)
Runtime library for GNU Go applications (x32)
libx32gomp1 (10.2.1-6)
GCC OpenMP (GOMP) support library (x32)
libx32gomp1-amd64-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (x32)
libx32gomp1-i386-cross (10.2.1-6cross1)
GCC OpenMP (GOMP) support library (x32)
libx32gphobos-10-dev (10.2.1-6)
Phobos D standard library (x32 development files)
libx32gphobos-10-dev-amd64-cross (10.2.1-6cross1)
Phobos D standard library (x32 development files)
libx32gphobos-10-dev-i386-cross (10.2.1-6cross1)
Phobos D standard library (x32 development files)
libx32gphobos-9-dev (9.3.0-22)
Phobos D standard library (x32 development files)
libx32gphobos-9-dev-amd64-cross (9.3.0-22cross1)
Phobos D standard library (x32 development files)
libx32gphobos-9-dev-i386-cross (9.3.0-22cross1)
Phobos D standard library (x32 development files)
libx32gphobos1 (10.2.1-6)
Phobos D standard library (runtime library)
libx32gphobos1-amd64-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libx32gphobos1-i386-cross (10.2.1-6cross1)
Phobos D standard library (runtime library)
libx32gphobos76 (9.3.0-22)
Phobos D standard library (runtime library)
libx32gphobos76-amd64-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libx32gphobos76-i386-cross (9.3.0-22cross1)
Phobos D standard library (runtime library)
libx32itm1 (10.2.1-6)
GNU Transactional Memory Library (x32)
libx32itm1-amd64-cross (10.2.1-6cross1)
GNU Transactional Memory Library (x32)
libx32itm1-i386-cross (10.2.1-6cross1)
GNU Transactional Memory Library (x32)
libx32lsan0 (10.2.1-6)
LeakSanitizer -- a memory leak detector (x32)
libx32lsan0-amd64-cross (10.2.1-6cross1)
LeakSanitizer -- a memory leak detector (x32)
libx32objc-10-dev (10.2.1-6)
Runtime library for GNU Objective-C applications (x32 development files)
libx32objc-10-dev-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (x32 development files)
libx32objc-10-dev-i386-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (x32 development files)
libx32objc-9-dev (9.3.0-22)
Runtime library for GNU Objective-C applications (x32 development files)
libx32objc-9-dev-amd64-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (x32 development files)
libx32objc-9-dev-i386-cross (9.3.0-22cross1)
Runtime library for GNU Objective-C applications (x32 development files)
libx32objc4 (10.2.1-6)
Runtime library for GNU Objective-C applications (x32)
libx32objc4-amd64-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (x32)
libx32objc4-i386-cross (10.2.1-6cross1)
Runtime library for GNU Objective-C applications (x32)
libx32quadmath0 (10.2.1-6)
GCC Quad-Precision Math Library (x32)
libx32quadmath0-amd64-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library (x32)
libx32quadmath0-i386-cross (10.2.1-6cross1)
GCC Quad-Precision Math Library (x32)
libx32stdc++-10-dev (10.2.1-6)
GNU Standard C++ Library v3 (development files)
libx32stdc++-10-dev-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (amd64)
libx32stdc++-10-dev-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (development files) (i386)
libx32stdc++-9-dev (9.3.0-22)
GNU Standard C++ Library v3 (development files)
libx32stdc++-9-dev-amd64-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (amd64)
libx32stdc++-9-dev-i386-cross (9.3.0-22cross1)
GNU Standard C++ Library v3 (development files) (i386)
libx32stdc++6 (10.2.1-6)
GNU Standard C++ Library v3 (x32)
libx32stdc++6-10-dbg (10.2.1-6)
GNU Standard C++ Library v3 (debug build)
libx32stdc++6-9-dbg (9.3.0-22)
GNU Standard C++ Library v3 (debug build)
libx32stdc++6-amd64-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (amd64) (x32)
libx32stdc++6-amd64-dcv1
本虛擬套件由這些套件填實: libx32stdc++6-amd64-cross
libx32stdc++6-i386-cross (10.2.1-6cross1)
GNU Standard C++ Library v3 (i386) (x32)
libx32stdc++6-i386-dcv1
本虛擬套件由這些套件填實: libx32stdc++6-i386-cross
libx32ubsan1 (10.2.1-6)
UBSan -- undefined behaviour sanitizer (x32)
libx32ubsan1-amd64-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (x32)
libx32ubsan1-i386-cross (10.2.1-6cross1)
UBSan -- undefined behaviour sanitizer (x32)
libx500-dn-perl (0.29-5)
Perl parser and formatter for RFC 2253 style DN strings
libx52pro-dev (0.1.1-2.3+b1)
MFD and LED library for Saitek X52pro joysticks - dev files
libx52pro0 (0.1.1-2.3+b1)
MFD and LED library for Saitek x52pro joysticks
libx86-1 (1.1+ds1-12)
x86 real-mode library
libx86-dev (1.1+ds1-12)
x86 real-mode library - development files
libx86emu-dev (3.1-2)
x86 emulation library (development files)
libx86emu3 (3.1-2)
x86 emulation library
libxalan-c-dev (1.12-6)
XSLT processor library for C++ [development]
libxalan-c-doc (1.12-6)
XSLT processor library for C++ [development docs]
libxalan-c112 (1.12-6)
XSLT processor library for C++
libxalan2-java (2.7.2-4)
XSL Transformations (XSLT) processor in Java
libxalan2-java-doc (2.7.2-4)
Documentation and examples for the Xalan-Java XSLT processor
libxapian-dev (1.4.18-3+deb11u1)
Development files for Xapian search engine library
libxapian-java (1.4.18-1)
Xapian search engine interface for Java
libxapian-java-doc (1.4.18-1)
Xapian search engine interface for Java (documentation)
libxapian-jni (1.4.18-1)
Xapian search engine interface for Java (JNI library)
libxapian30 (1.4.18-3+deb11u1)
Search engine library
libxapp-dev (2.0.7-1)
XApp library - development files
libxapp1 (2.0.7-1)
XApp library
libxatracker-dev (20.3.5-1)
X acceleration library -- development files
libxatracker2 (20.3.5-1)
X acceleration library -- runtime
libxau-dev (1:1.0.9-1)
X11 authorisation library (development headers)
libxau6 (1:1.0.9-1)
X11 authorisation library
libxau6-udeb (1:1.0.9-1)
X11 authorisation library
libxaw-doc (2:1.0.13-1.1)
X11 Athena Widget library (documentation)
libxaw3dxft-dev
本虛擬套件由這些套件填實: libxaw3dxft8-dev
libxaw3dxft6 (2.9.1.4-4)
An extended version of Xaw3d with support for UTF8
libxaw3dxft8 (1.6.2h-1)
Extended version of Xaw3d with support for UTF8
libxaw3dxft8-dev (1.6.2h-1)
Extended version of Xaw3d with support for UTF8 (Development files)
libxaw7 (2:1.0.13-1.1)
X11 Athena Widget library
libxaw7-dbg (2:1.0.13-1.1)
X11 Athena Widget library (debug package)
libxaw7-dev (2:1.0.13-1.1)
X11 Athena Widget library (development headers)
libxbae-dev (4.60.4-9)
Xbae Matrix Widget development package
libxbae4m (4.60.4-9)
Xbae Matrix Widget libraries
libxbase64-1 (3.1.2-13)
xbase compatible C++ class library (shared libraries)
libxbase64-bin (3.1.2-13)
xbase compatible C++ class library (utilities)
libxbase64-dev (3.1.2-13)
xbase compatible C++ class library (development files)
libxbase64-doc (3.1.2-13)
xbase compatible C++ class library (documentation)
libxbean-java (4.5-8)
plugin based Java application server
libxbean-java-doc (4.5-8)
API documentation for XBean
libxbean-reflect-java (4.5-8)
plugin based Java application server (xbean-reflect)
libxc-dev (4.3.4-2)
Library of Exchange-Correlation Functionals (development files)
libxc5 (4.3.4-2)
Library of Exchange-Correlation Functionals
libxcb-composite0 (1.14-3)
X C Binding, composite extension
libxcb-composite0-dev (1.14-3)
X C Binding, composite extension, development files
libxcb-cursor-dev (0.1.1-4)
utility libraries for X C Binding -- cursor, development files
libxcb-cursor0 (0.1.1-4)
utility libraries for X C Binding -- cursor
libxcb-damage0 (1.14-3)
X C Binding, damage extension
libxcb-damage0-dev (1.14-3)
X C Binding, damage extension, development files
libxcb-doc (1.14-3)
X C Binding, development documentation
libxcb-dpms0 (1.14-3)
X C Binding, dpms extension
libxcb-dpms0-dev (1.14-3)
X C Binding, dpms extension, development files
libxcb-dri2-0 (1.14-3)
X C Binding, dri2 extension
libxcb-dri2-0-dev (1.14-3)
X C Binding, dri2 extension, development files
libxcb-dri3-0 (1.14-3)
X C Binding, dri3 extension
libxcb-dri3-dev (1.14-3)
X C Binding, dri3 extension, development files
libxcb-ewmh-dev (0.4.1-1.1)
utility libraries for X C Binding -- ewmh, development files
libxcb-ewmh2 (0.4.1-1.1)
utility libraries for X C Binding -- ewmh
libxcb-glx0 (1.14-3)
X C Binding, glx extension
libxcb-glx0-dev (1.14-3)
X C Binding, glx extension, development files
libxcb-icccm4 (0.4.1-1.1)
utility libraries for X C Binding -- icccm
libxcb-icccm4-dev (0.4.1-1.1)
utility libraries for X C Binding -- icccm, development files
libxcb-image0 (0.4.0-1+b3)
utility libraries for X C Binding -- image
libxcb-image0-dev (0.4.0-1+b3)
utility libraries for X C Binding -- image, development files
libxcb-imdkit-dev (1.0.2-1)
XIM protocol implementation in XCB (development files)
libxcb-imdkit1 (1.0.2-1)
XIM protocol implementation in XCB
libxcb-keysyms1 (0.4.0-1+b2)
utility libraries for X C Binding -- keysyms
libxcb-keysyms1-dev (0.4.0-1+b2)
utility libraries for X C Binding -- keysyms, development files
libxcb-present-dev (1.14-3)
X C Binding, present extension, development files
libxcb-present0 (1.14-3)
X C Binding, present extension
libxcb-randr0 (1.14-3)
X C Binding, randr extension
libxcb-randr0-dev (1.14-3)
X C Binding, randr extension, development files
libxcb-record0 (1.14-3)
X C Binding, record extension
libxcb-record0-dev (1.14-3)
X C Binding, record extension, development files
libxcb-render-util0 (0.3.9-1+b1)
utility libraries for X C Binding -- render-util
libxcb-render-util0-dev (0.3.9-1+b1)
utility libraries for X C Binding -- render-util
libxcb-render0 (1.14-3)
X C Binding, render extension
libxcb-render0-dev (1.14-3)
X C Binding, render extension, development files
libxcb-res0 (1.14-3)
X C Binding, res extension
libxcb-res0-dev (1.14-3)
X C Binding, res extension, development files
libxcb-screensaver0 (1.14-3)
X C Binding, screensaver extension
libxcb-screensaver0-dev (1.14-3)
X C Binding, screensaver extension, development files
libxcb-shape0 (1.14-3)
X C Binding, shape extension
libxcb-shape0-dev (1.14-3)
X C Binding, shape extension, development files
libxcb-shm0 (1.14-3)
X C Binding, shm extension
libxcb-shm0-dev (1.14-3)
X C Binding, shm extension, development files
libxcb-sync-dev (1.14-3)
X C Binding, sync extension, development files
libxcb-sync0-dev
本虛擬套件由這些套件填實: libxcb-sync-dev
libxcb-sync1 (1.14-3)
X C Binding, sync extension
libxcb-util-dev (0.4.0-1+b1)
utility libraries for X C Binding -- atom, aux and event
libxcb-util0-dev (0.4.0-1+b1)
dummy transitional package for utility libraries for X C Binding
libxcb-util1 (0.4.0-1+b1)
utility libraries for X C Binding -- atom, aux and event
libxcb-xf86dri0 (1.14-3)
X C Binding, xf86dri extension
libxcb-xf86dri0-dev (1.14-3)
X C Binding, xf86dri extension, development files
libxcb-xfixes0 (1.14-3)
X C Binding, xfixes extension
libxcb-xfixes0-dev (1.14-3)
X C Binding, xfixes extension, development files
libxcb-xinerama0 (1.14-3)
X C Binding, xinerama extension
libxcb-xinerama0-dev (1.14-3)
X C Binding, xinerama extension, development files
libxcb-xinput-dev (1.14-3)
X C Binding, xinput extension, development files
libxcb-xinput0 (1.14-3)
X C Binding, xinput extension
libxcb-xkb-dev (1.14-3)
X C Binding, XKEYBOARD extension, development files
libxcb-xkb1 (1.14-3)
X C Binding, XKEYBOARD extension
libxcb-xrm-dev (1.0-3+b1)
utility functions for the X resource manager, development files
libxcb-xrm0 (1.0-3+b1)
utility functions for the X resource manager
libxcb-xtest0 (1.14-3)
X C Binding, xtest extension
libxcb-xtest0-dev (1.14-3)
X C Binding, xtest extension, development files
libxcb-xv0 (1.14-3)
X C Binding, xv extension
libxcb-xv0-dev (1.14-3)
X C Binding, xv extension, development files
libxcb-xvmc0 (1.14-3)
X C Binding, xvmc extension
libxcb-xvmc0-dev (1.14-3)
X C Binding, xvmc extension, development files
libxcb1 (1.14-3)
X C Binding
libxcb1-dev (1.14-3)
X C Binding, development files
libxcb1-udeb (1.14-3)
X C Binding
libxcomp-dev (2:3.5.99.26-2)
NX compression library (development headers)
libxcomp3 (2:3.5.99.26-2)
NX compression library
libxcomp3-dev
本虛擬套件由這些套件填實: libxcomp-dev
libxcomposite-dev (1:0.4.5-1)
X11 Composite extension library (development headers)
libxcomposite1 (1:0.4.5-1)
X11 Composite extension library
libxcompshad-dev (2:3.5.99.26-2)
NX shadowing library (development headers)
libxcompshad3 (2:3.5.99.26-2)
NX shadowing library
libxcompshad3-dev
本虛擬套件由這些套件填實: libxcompshad-dev
libxcrypt-source (1:4.4.18-4)
libcrypt shared library: sources
libxcursor-dev (1:1.2.0-2)
X cursor management library (development files)
libxcursor1 (1:1.2.0-2)
X cursor management library
libxcursor1-udeb (1:1.2.0-2)
X cursor management library
libxdamage-dev (1:1.1.5-2)
X11 damaged region extension library (development headers)
libxdamage1 (1:1.1.5-2)
X11 damaged region extension library
libxdelta2 (1.1.3-9.3)
Xdelta runtime library
libxdelta2-dev (1.1.3-9.3)
Xdelta development files
libxdf-dev (0.99.6+dfsg-3)
C++ library for loading XDF files (headers and static lib)
libxdf0 (0.99.6+dfsg-3)
C++ library for loading XDF files
libxdffileio-dev (0.3-4)
Library to read/write EEG data file formats (development files)
libxdffileio0 (0.3-4)
Library to read/write EEG data file formats
libxdg-basedir-dev (1.2.0-2+b1 [amd64], 1.2.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Implementation of the XDG Base Directory Specifications (development files)
libxdg-basedir1 (1.2.0-2+b1 [amd64], 1.2.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Implementation of the XDG Base Directory Specifications
libxdg-basedir1-dbg (1.2.0-2+b1 [amd64], 1.2.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Implementation of the XDG Base Directory Specifications (debugging symbols)
libxdmcp-dev (1:1.1.2-3)
X11 authorisation library (development headers)
libxdmcp6 (1:1.1.2-3)
X11 Display Manager Control Protocol library
libxdmcp6-dbg (1:1.1.2-3)
X11 authorisation library (debug package)
libxdmcp6-udeb (1:1.1.2-3)
X11 Display Manager Control Protocol library
libxdmf-dev (3.0+git20190531-7)
eXtensible Data Model and Format development tools
libxdmf3 (3.0+git20190531-7)
eXtensible Data Model and Format library
libxdo-dev (1:3.20160805.1-4)
library for simulating X11 keyboard/mouse input
libxdo3 (1:3.20160805.1-4)
library for simulating (generating) X11 keyboard/mouse input events
libxdot4 (2.42.2-5)
rich set of graph drawing tools - xdot library
libxen-dev (4.14.6-1)
Public headers and libs for Xen
libxencall1 (4.14.6-1)
Xen runtime library - libxencall
libxendevicemodel1 (4.14.6-1)
Xen runtime libraries - libxendevicemodel
libxenevtchn1 (4.14.6-1)
Xen runtime libraries - libxenevtchn
libxenforeignmemory1 (4.14.6-1)
Xen runtime libraries - libxenforeignmemory
libxengnttab1 (4.14.6-1)
Xen runtime libraries - libxengnttab
libxenhypfs1 (4.14.6-1)
Xen runtime library - libxenhypfs
libxenium-dev (0.0.2+ds-3)
concurrent data structures and memory reclamation algorithms
libxenium-doc (0.0.2+ds-3)
HTML documentation for the xenium library
libxenmisc4.14 (4.14.6-1)
Xen runtime libraries - miscellaneous, versioned ABI
libxenstore3.0 (4.14.6-1)
Xen runtime libraries - libxenstore
libxentoolcore1 (4.14.6-1)
Xen runtime libraries - libxentoolcore
libxentoollog1 (4.14.6-1)
Xen runtime libraries - libxentoollog
libxerces-c-dev (3.2.3+debian-3+deb11u1)
validating XML parser library for C++ (development files)
libxerces-c-doc (3.2.3+debian-3+deb11u1)
validating XML parser library for C++ (documentation)
libxerces-c-samples (3.2.3+debian-3+deb11u1)
validating XML parser library for C++ (compiled samples)
libxerces-c3-dev
本虛擬套件由這些套件填實: libxerces-c-dev
libxerces-c3-doc
本虛擬套件由這些套件填實: libxerces-c-doc
libxerces-c3-samples
本虛擬套件由這些套件填實: libxerces-c-samples
libxerces-c3.2 (3.2.3+debian-3+deb11u1)
validating XML parser library for C++
libxerces2-java (2.12.1-1)
Validating XML parser for Java with DOM level 3 support
libxerces2-java-doc (2.12.1-1)
Validating XML parser for Java -- Documentation and examples
libxeus-python0 (0.9.5-1)
Native jupyter kernel for python (library)
libxeus1 (0.25.3-1)
C++ Implementation of the Jupyter Kernel protocol (library)
libxext-dev (2:1.3.3-1.1)
X11 miscellaneous extensions library (development headers)
libxext-doc (2:1.3.3-1.1)
X11 miscellaneous extensions library (documentation)
libxext6 (2:1.3.3-1.1)
X11 miscellaneous extension library
libxext6-dbg (2:1.3.3-1.1)
X11 miscellaneous extensions library (debug package)
libxext6-udeb (2:1.3.3-1.1)
X11 miscellaneous extension library
libxfce4panel-2.0-4 (4.16.2-1)
Xfce4 panel library (GTK3 variant)
libxfce4panel-2.0-dev (4.16.2-1)
Xfce4 panel library (development files for GTK3 variant)
libxfce4ui-2-0 (4.16.0-1)
widget library for Xfce - Gtk+3 variant
libxfce4ui-2-dev (4.16.0-1)
Development files for libxfce4ui - Gtk+3 variant
libxfce4ui-common (4.16.0-1)
common files for libxfce4ui
libxfce4ui-glade (4.16.0-1)
glade API for libxfce4ui
libxfce4ui-utils (4.16.0-1)
Utility files for libxfce4ui
libxfce4util-bin (4.16.0-1)
tools for libxfce4util
libxfce4util-common (4.16.0-1)
common files for libxfce4util
libxfce4util-dev (4.16.0-1)
Development files for libxfce4util7
libxfce4util7 (4.16.0-1)
Utility functions library for Xfce4
libxfconf-0-3 (4.16.0-2)
Client library for Xfce4 configure interface
libxfconf-0-dev (4.16.0-2)
Development files for libxfconf
libxfixes-dev (1:5.0.3-2)
X11 miscellaneous 'fixes' extension library (development headers)
libxfixes3 (1:5.0.3-2)
X11 miscellaneous 'fixes' extension library
libxfixes3-udeb (1:5.0.3-2)
X11 miscellaneous 'fixes' extension library
libxfont-dev (1:2.0.4-1)
X11 font rasterisation library (development headers)
libxfont2 (1:2.0.4-1)
X11 font rasterisation library
libxfont2-udeb (1:2.0.4-1)
X11 font rasterisation library
libxft-dev (2.3.2-2)
FreeType-based font drawing library for X (development files)
libxft2 (2.3.2-2)
FreeType-based font drawing library for X
libxft2-dev
本虛擬套件由這些套件填實: libxft-dev
libxft2-udeb (2.3.2-2)
FreeType-based font drawing library for X
libxgboost-dev (1.2.1-1)
Scalable and Flexible Gradient Boosting (Development)
libxgboost0 (1.2.1-1)
Scalable and Flexible Gradient Boosting (Shared lib)
libxgks-dev (2.6.1+dfsg.2-10)
X11 Graphical Kernel Subsystem, development files
libxgks2 (2.6.1+dfsg.2-10)
X11 Graphical Kernel Subsystem, library.
libxgks2-data (2.6.1+dfsg.2-10)
Font files for the X11 Graphical Kernel Subsystem
libxi-dev (2:1.7.10-1)
X11 Input extension library (development headers)
libxi6 (2:1.7.10-1)
X11 Input extension library
libxi6-udeb (2:1.7.10-1)
X11 Input extension library
libxine-xvdr
本虛擬套件由這些套件填實: libxine2-xvdr
libxine2 (1.2.10-4+b1)
xine media player library – metapackage
libxine2-all-plugins (1.2.10-4)
xine video/media player library ‒ metapackage for all plugins
libxine2-bin (1.2.10-4+b1)
xine video/media player library – binary files
libxine2-console (1.2.10-4+b1)
libaa/libcaca/framebuffer/directfb related plugins for libxine2
libxine2-dev (1.2.10-4+b1)
xine video player library – development packages
libxine2-doc (1.2.10-4)
xine video player library – documentation files
libxine2-ffmpeg (1.2.10-4+b1)
MPEG-related plugins for libxine2
libxine2-gnome (1.2.10-4+b1)
GNOME-related plugins for libxine2
libxine2-misc-plugins (1.2.10-4+b1)
Input, audio output and post plugins for libxine2
libxine2-plugins (1.2.10-4)
xine video/media player library ‒ metapackage for commonly-used plugins
libxine2-vdr (1.2.10-4+b1)
VDR-related plugins for libxine2
libxine2-x (1.2.10-4+b1)
X desktop video output plugins for libxine2
libxine2-xvdr (2.1.0+git20191101-1.1)
Xine input plugin for vdr-plugin-xineliboutput streams
libxineliboutput-fbfe (2.1.0+git20191101-1.1)
Local framebuffer frontend for the xineliboutput plugin
libxineliboutput-sxfe (2.1.0+git20191101-1.1)
Local X-Server frontend for the xineliboutput plugin
libxinerama-dev (2:1.1.4-2)
X11 Xinerama extension library (development headers)
libxinerama1 (2:1.1.4-2)
X11 Xinerama extension library
libxinerama1-udeb (2:1.1.4-2)
X11 Xinerama extension library
libxkbcommon-dev (1.0.3-2)
library interface to the XKB compiler - development files
libxkbcommon-doc (1.0.3-2)
library interface to the XKB compiler - documentation
libxkbcommon-tools (1.0.3-2)
library interface to the XKB compiler - tools
libxkbcommon-x11-0 (1.0.3-2)
library to create keymaps with the XKB X11 protocol
libxkbcommon-x11-dev (1.0.3-2)
library to create keymaps with the XKB X11 protocol - development files
libxkbcommon0 (1.0.3-2)
library interface to the XKB compiler - shared library
libxkbfile-dev (1:1.1.0-1)
X11 keyboard file manipulation library (development headers)
libxkbfile1 (1:1.1.0-1)
X11 keyboard file manipulation library
libxkbfile1-udeb (1:1.1.0-1)
X11 keyboard file manipulation library
libxkbregistry-dev (1.0.3-2)
library to query available RMLVO - development files
libxkbregistry0 (1.0.3-2)
library to query available RMLVO
libxklavier-dev (5.4-4)
Development files for libxklavier
libxklavier16 (5.4-4)
X Keyboard Extension high-level API
libxm4 (2.3.8-3)
Motif - X/Motif shared library
libxmhtml-dev (1.1.10-4)
Motif widget for displaying HTML 3.2 (development files)
libxmhtml1.1 (1.1.10-4)
Motif widget for displaying HTML 3.2 (library)
libxml++2.6-2v5 (2.40.1-3)
C++ interface to the GNOME XML library (libxml2)
libxml++2.6-dev (2.40.1-3)
C++ interface to the GNOME XML library (libxml2) - dev files
libxml++2.6-doc (2.40.1-3)
HTML interface documentation and examples for libxml++
libxml-atom-fromowl-perl (0.102-1.1)
export RDF data to Atom
libxml-atom-owl-perl (0.104-1.1)
parse an Atom file into RDF
libxml-atom-perl (0.42-2.1)
module for manipulating Atom feeds
libxml-atom-service-perl (0.16.2-2.1)
Atom Service Document object
libxml-atom-simplefeed-perl (0.904-1)
Perl module for generation of Atom syndication feeds
libxml-autowriter-perl (0.40-4.1)
Perl module to produce DOCTYPE-based XML output
libxml-bare-perl (0.53-1+b10 [s390x], 0.53-1+b8 [amd64, arm64, armel, armhf, i386, mipsel], 0.53-1+b7 [mips64el, ppc64el])
Perl module to parse XML into a Perl hash
libxml-catalog-perl (1.03-2.1)
Perl module for resolving public and remapping system identifiers
libxml-checker-perl (0.13-6.1)
Perl modules for validating XML
libxml-commonns-perl (0.06-4.1)
list of commonly used XML namespaces
libxml-commons-external-java (1.4.01-5)
XML Commons external code - DOM, SAX, and JAXP, etc
libxml-commons-resolver1.1-java (1.2-11)
XML entity and URI resolver library
libxml-commons-resolver1.1-java-doc (1.2-11)
XML entity and URI resolver library -- documentation
libxml-compacttree-perl (0.03-1.1)
fast parser of XML document into nested arrays
libxml-compile-cache-perl (1.06-1)
module that keeps the cache of compiled XML schemas
libxml-compile-dumper-perl (0.14-1.1)
module to help saving and loading the compiled XML processors
libxml-compile-perl (1.63-2)
Perl module to translate between XML and Perl based on XML schemas
libxml-compile-soap-perl (3.26+ds-1) [non-free]
Perl module to handle SOAP 1.1 messaging (client and server)
libxml-compile-tester-perl (0.91-1)
Perl module to support regression testing of "XML::Compile" modules
libxml-compile-wsdl11-perl (3.07-1) [non-free]
Perl module to handle SOAP messaging with WSDL version 1.1
libxml-csv-perl (0.15-9.1)
Perl module for transforming CSV documents into XML
libxml-descent-perl (1.04-5)
Perl module for recursive descent XML parsing
libxml-dom-perl (1.46-1)
Perl module for building DOM Level 1 compliant doc structures
libxml-dom-xpath-perl (0.14-3)
adds XPath support to XML::DOM, using XML::XPathEngine
libxml-dt-perl (0.69-1)
module for down translation of XML files
libxml-dtdparser-perl (2.01-5.1)
perl module providing a quick and dirty DTD parser
libxml-dumper-perl (0.81-1.3)
Perl module for dumping Perl objects from/to XML
libxml-easy-perl (0.011-2+b2)
Perl module for XML processing with a clean interface
libxml-encoding-perl (2.11-1)
Perl module for parsing encoding map XML files
libxml-feed-perl (0.61+dfsg-1)
syndication feed parser and auto-discovery Perl module
libxml-feedpp-mediarss-perl (0.02-2.1)
Perl module providing Media RSS support for XML::FeedPP
libxml-feedpp-perl (0.95-1)
module to parse/write/merge/edit RSS/RDF/Atom syndication feeds
libxml-filter-buffertext-perl (1.01-6)
Perl module for putting all characters into a single event
libxml-filter-detectws-perl (0.01-8.1)
Perl module for detecting ignorable whitespace
libxml-filter-reindent-perl (0.03-8.1)
Perl module for reformatting whitespace for pretty printing XML
libxml-filter-saxt-perl (0.01-8.1)
Perl module for replicating events to several event handlers
libxml-filter-sort-perl (1.01-4)
Perl module that implement a SAX filter for sorting XML elements
libxml-filter-xslt-perl (0.03-9.1)
Perl module for XSLT as a SAX Filter
libxml-generator-perl (1.04-2)
Perl module for generating XML files
libxml-generator-perldata-perl (0.95-1.1)
module for generating SAX2 events from nested Perl data structures
libxml-grove-perl (0.46alpha-13.1)
Perl module for accessing parsed *ML instances
libxml-handler-composer-perl (0.01-9)
Perl module for generating XML output
libxml-handler-printevents-perl (0.01-8.1)
Perl module for printing PerlSAX events (for debugging)
libxml-handler-trees-perl (0.02-7)
Perl module for building tree structures using PerlSAX handlers
libxml-handler-yawriter-perl (0.23-7)
Perl module for writing XML documents
libxml-hash-lx-perl (0.0603-1.1)
module to convert hash to xml and vice versa using LibXML
libxml-hash-xs-perl (0.56-1)
Perl XS module to fastly convert XML from/to hash
libxml-java (1.1.6.dfsg-3.1)
namespace aware SAX-Parser utility library
libxml-libxml-debugging-perl (0.103-2.1)
get debugging information from XML::LibXML
libxml-libxml-iterator-perl (1.06-1)
Iterator for XML parse-trees generated by XML::LibXML
libxml-libxml-lazybuilder-perl (0.08-2.1)
easy and lazy way to create XML documents for XML::LibXML
libxml-libxml-perl (2.0134+dfsg-2+b1)
Perl interface to the libxml2 library
libxml-libxml-simple-perl (1.01-1)
Perl module that uses the XML::LibXML parser for XML structures
libxml-libxslt-perl (1.99-1+b1)
Perl interface to the GNOME libxslt library
libxml-light-ocaml (2.4-1+b4 [armel, armhf], 2.4-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
mininal XML parser and printer for OCaml (runtime package)
libxml-light-ocaml-1v0o5
本虛擬套件由這些套件填實: libxml-light-ocaml
libxml-light-ocaml-dev (2.4-1+b4 [armel, armhf], 2.4-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
mininal XML parser and printer for OCaml (development package)
libxml-light-ocaml-dev-1v0o5
本虛擬套件由這些套件填實: libxml-light-ocaml-dev
libxml-light-ocaml-dev-e5dd0
本虛擬套件由這些套件填實: libxml-light-ocaml-dev
libxml-light-ocaml-dev-hsqw8
本虛擬套件由這些套件填實: libxml-light-ocaml-dev
libxml-light-ocaml-dev-lt0u7
本虛擬套件由這些套件填實: libxml-light-ocaml-dev
libxml-light-ocaml-e5dd0
本虛擬套件由這些套件填實: libxml-light-ocaml
libxml-light-ocaml-hsqw8
本虛擬套件由這些套件填實: libxml-light-ocaml
libxml-light-ocaml-lt0u7
本虛擬套件由這些套件填實: libxml-light-ocaml
libxml-maven-plugin-java (1.0.1-4)
Maven XML Plugin
libxml-mini-perl (1.38-3.1)
Perl implementation of the MiniXML XML generator and parser
libxml-namespace-perl (0.02-3.1)
simple support for XML namespaces
libxml-namespacefactory-perl (1.02-1.1)
simple factory objects for SAX namespaced names
libxml-namespacesupport-perl (1.12-1.1)
Perl module for supporting simple generic namespaces
libxml-node-perl (0.11-9)
Perl module for parsing XML files node based
libxml-nodefilter-perl (0.01-7.1)
Perl module for a generic node-filter class for DOM traversal
libxml-opml-perl (0.26-3.1)
Perl module to create and update OPML files
libxml-opml-simplegen-perl (0.07-1.1)
module for creating OPML using XML::Simple
libxml-parser-easytree-perl (0.01-1.1)
easier tree style for XML::Parser
libxml-parser-lite-perl (0.722-1)
lightweight regexp-based XML parser
libxml-parser-lite-tree-perl (0.14-2.1)
lightweight XML tree builder
libxml-parser-perl (2.46-2)
Perl module for parsing XML files
libxml-perl (0.08-3.1)
Perl modules for working with XML
libxml-quote-perl (1.02-4+b3)
XML quote/dequote functions
libxml-regexp-perl (0.04-1.1)
Perl module for regular expressions for XML tokens
libxml-rpc-fast-perl (0.8-1)
fast and modular implementation for an XML-RPC client and server
libxml-rss-feed-perl (2.212-1.2)
Perl module for Persistent XML RSS (RDF Site Summary) Encapsulation
libxml-rss-libxml-perl (0.3105+dfsg-2.1)
module for creating, parsing, updating RSS files with XML::LibXML
libxml-rss-perl (1.62-1)
Perl module for managing RSS (RDF Site Summary) files
libxml-rss-simplegen-perl (11.11-6)
Perl module for easily writing RSS files
libxml-rsslite-perl (0.15+dfsg-3.1)
lightweight, "relaxed" RSS (and XML-ish) parser
libxml-sax-base-perl (1.09-1.1)
base class for SAX drivers and filters
libxml-sax-expat-incremental-perl (0.05-2.1)
XML::SAX::Expat subclass for non-blocking (incremental) parsing
libxml-sax-expat-perl (0.51-1)
Perl module for a SAX2 driver for Expat (XML::Parser)
libxml-sax-expatxs-perl (1.33-2+b7)
Perl SAX 2 XS extension to Expat parser
libxml-sax-machines-perl (0.46-1.1)
Perl module collection for managing SAX processors
libxml-sax-perl (1.02+dfsg-1)
Perl module for using and building Perl SAX2 XML processors
libxml-sax-writer-perl (0.57-1.1)
Perl module for a SAX2 XML writer
libxml-saxon-xslt2-perl (0.010-3)
process XSLT 2.0 using Saxon 9.x
libxml-security-c-dev (2.0.2-4)
C++ library for XML Digital Signatures (development)
libxml-security-c20 (2.0.2-4)
C++ library for XML Digital Signatures (runtime)
libxml-security-java (2.0.10-2+deb11u1)
Apache Santuario -- XML Security for Java
libxml-security-java-doc (2.0.10-2+deb11u1)
Documentation for Apache Santuario
libxml-semanticdiff-perl (1.0007-1)
Perl extension for comparing XML documents
libxml-simple-perl (2.25-1)
Perl module for reading and writing XML
libxml-simpleobject-enhanced-perl (0.53-3)
Perl module which enhances libxml-simpleobject-perl
libxml-simpleobject-libxml-perl (0.53-3)
Simple oo representation of an XML::LibXML DOM object
libxml-simpleobject-perl (0.53-3)
Objectoriented Perl interface to a parsed XML::Parser tree
libxml-smart-perl (1.78-2.1)
Perl module for access to parsed XML trees
libxml-stream-perl (1.24-4)
module for manipulating streaming XML data
libxml-struct-perl (0.27-1)
represent XML as data structure preserving element order
libxml-structured-perl (1.01-3)
module to convert XML data into a predefined Perl data structure and back
libxml-tidy-perl (1.20-1)
module for tidy indenting of XML documents
libxml-tmx-perl (0.36-1)
Perl extensions for managing TMX files
libxml-tokeparser-perl (0.05-3.1)
Simplified interface to XML::Parser
libxml-treebuilder-perl (5.4-2.1)
XML parser providing XML::Elements DOM similar to HTML::Element
libxml-treepp-perl (0.43-1)
Pure Perl module for parsing/writing XML files
libxml-treepuller-perl (0.1.2-1.1)
pull interface to work with XML document fragments
libxml-twig-perl (1:3.52-1)
Perl module for processing huge XML documents in tree mode
libxml-um-perl (0.01-9.1)
Perl module for converting UTF-8 strings
libxml-validate-perl (1.025-3)
xml validator factory
libxml-validator-schema-perl (1.10-2.1)
Perl module to validate XML against a subset of W3C XML Schema
libxml-writer-perl (0.900-1)
Perl module for writing XML documents
libxml-writer-simple-perl (0.12-1.1)
simple API to create XML files
libxml-writer-string-perl (0.1-1.1)
Capture output from XML::Writer
libxml-xpath-perl (1.44-1)
Perl module for processing XPath
libxml-xpathengine-perl (0.14-1)
re-usable XPath engine for DOM-like trees
libxml-xql-perl (0.68-8)
Perl module for querying XML tree structures with XQL
libxml-xslt-perl (0.48-5)
Perl module for processing XSLT
libxml-xupdate-libxml-perl (0.6.0-3.1)
Perl module that implements XUpdate modification language
libxml2 (2.9.10+dfsg-6.7+deb11u4)
GNOME XML library
libxml2-dev (2.9.10+dfsg-6.7+deb11u4)
Development files for the GNOME XML library
libxml2-doc (2.9.10+dfsg-6.7+deb11u4)
Documentation for the GNOME XML library
libxml2-utils (2.9.10+dfsg-6.7+deb11u4)
XML utilities
libxmlada-doc (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (documentation)
libxmlada-dom10-dev (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (dom)
libxmlada-dom7 (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (dom runtime)
libxmlada-input10-dev (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (input sources)
libxmlada-input7 (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (input sources runtime)
libxmlada-sax10-dev (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (sax)
libxmlada-sax7 (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (sax runtime)
libxmlada-schema10-dev (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (schema)
libxmlada-schema7 (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (schema runtime)
libxmlada-unicode10-dev (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (unicode)
libxmlada-unicode7 (21.0.0-4)
XML/Ada, a full XML suite for Ada programmers (unicode runtime)
libxmlb-dev (0.1.15-2)
development files for libxmlb
libxmlb-tests (0.1.15-2)
Installed tests for libxmlb1
libxmlb1 (0.1.15-2)
Binary XML library
libxmlbeans-java (3.0.2-1)
Java library for accessing XML by binding it to Java types
libxmlbeans-maven-plugin-java (2.3.3-4)
Maven XMLBeans Plugin
libxmlbird-dev (1.2.12-1)
XML parser written in Vala (development files)
libxmlbird1 (1.2.12-1)
XML parser written in Vala
libxmlenc-java (0.52+dfsg-5)
Fast stream-based XML output library for java
libxmlezout7 (1.06.2-4+b1)
Easy-to-use library for emitting XML from Ada programs, runtime
libxmlezout8-dev (1.06.2-4+b1)
Easy-to-use library for emitting XML from Ada programs, dev files
libxmlgraphics-commons-java (2.4-2~deb11u1)
Reusable components used by Batik and FOP
libxmlgraphics-commons-java-doc (2.4-2~deb11u1)
Reusable components used by Batik and FOP (documentation)
libxmlm-ocaml-dev (1.3.0-2+b3 [amd64], 1.3.0-2+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OCaml xml manipulation module
libxmlm-ocaml-dev-a1lt9
本虛擬套件由這些套件填實: libxmlm-ocaml-dev
libxmlm-ocaml-dev-cq9w1
本虛擬套件由這些套件填實: libxmlm-ocaml-dev
libxmlm-ocaml-dev-kfjv2
本虛擬套件由這些套件填實: libxmlm-ocaml-dev
libxmlm-ocaml-dev-s5og5
本虛擬套件由這些套件填實: libxmlm-ocaml-dev
libxmlplaylist-ocaml-dev (0.1.5-1+b1)
Playlist parser for various xml formats
libxmlplaylist-ocaml-dev-4avw6
本虛擬套件由這些套件填實: libxmlplaylist-ocaml-dev
libxmlplaylist-ocaml-dev-iydf1
本虛擬套件由這些套件填實: libxmlplaylist-ocaml-dev
libxmlplaylist-ocaml-dev-lydc2
本虛擬套件由這些套件填實: libxmlplaylist-ocaml-dev
libxmlplaylist-ocaml-dev-ot3b3
本虛擬套件由這些套件填實: libxmlplaylist-ocaml-dev
libxmlrpc-c++8-dev (1.33.14-9)
Lightweight RPC library based on XML and HTTP [C++ development libraries]
libxmlrpc-c++8v5 (1.33.14-9)
Lightweight RPC library based on XML and HTTP [C++ runtime libraries]
libxmlrpc-core-c3 (1.33.14-9)
Lightweight RPC library based on XML and HTTP [C runtime libraries]
libxmlrpc-core-c3-dev (1.33.14-9)
Lightweight RPC library based on XML and HTTP [C development libraries]
libxmlrpc-epi-dev (0.54.2-1.2+b1)
Development files for libxmlrpc-epi0, a XML-RPC request library
libxmlrpc-epi0 (0.54.2-1.2+b1)
XML-RPC request serialisation/deserialisation library
libxmlrpc-epi0-dbg (0.54.2-1.2+b1)
Debug symbols for libxmlrpc-epi0, a XML-RPC request library
libxmlrpc-light-ocaml-dev (0.6.1-5+b5)
XmlRpc-Light is an XmlRpc library written in OCaml
libxmlrpc-light-ocaml-dev-1sru4
本虛擬套件由這些套件填實: libxmlrpc-light-ocaml-dev
libxmlrpc-light-ocaml-dev-cyzv0
本虛擬套件由這些套件填實: libxmlrpc-light-ocaml-dev
libxmlrpc-light-ocaml-dev-vjxb8
本虛擬套件由這些套件填實: libxmlrpc-light-ocaml-dev
libxmlrpc-light-ocaml-dev-wvw92
本虛擬套件由這些套件填實: libxmlrpc-light-ocaml-dev
libxmlrpc-lite-perl (0.717-4)
client and server implementation of the XML-RPC protocol
libxmlrpcpp-dev (1.15.9+ds1-7+deb11u1)
development files for Robot OS libxmlrpcpp
libxmlrpcpp3d (1.15.9+ds1-7+deb11u1)
Robot OS version of xml-rpc protocol library
libxmlsec1 (1.2.31-1)
XML security library
libxmlsec1-dev (1.2.31-1)
Development files for the XML security library
libxmlsec1-doc (1.2.31-1)
XML security library -- documentation
libxmlsec1-gcrypt (1.2.31-1)
Gcrypt engine for the XML security library
libxmlsec1-gnutls (1.2.31-1)
Gnutls engine for the XML security library
libxmlsec1-nss (1.2.31-1)
Nss engine for the XML security library
libxmlsec1-openssl (1.2.31-1)
Openssl engine for the XML security library
libxmltok1 (1.2-4+b1 [mips64el], 1.2-4 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
XML Parser Toolkit, runtime libraries
libxmltok1-dev (1.2-4+b1 [mips64el], 1.2-4 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
XML Parser Toolkit, developer libraries
libxmltooling-dev (3.2.0-3+deb11u1)
C++ XML parsing library with encryption support (development)
libxmltooling-doc (3.2.0-3+deb11u1)
C++ XML parsing library with encryption support (API docs)
libxmltooling10 (3.2.0-3+deb11u1)
C++ XML parsing library with encryption support (runtime)
libxmltv-perl (1.0.0-1)
modules related to the XMLTV file format for TV listings
libxmlunit-java (1.6-2)
Unit testing for XML documents
libxmmsclient++-dev (0.8+dfsg-21)
XMMS2 - client library for c++ - development files
libxmmsclient++-glib-dev (0.8+dfsg-21)
XMMS2 - glib client library for c++ - development files
libxmmsclient++-glib1v5 (0.8+dfsg-21)
XMMS2 - glib client library for c++
libxmmsclient++4v5 (0.8+dfsg-21)
XMMS2 - client library for c++
libxmmsclient-dev (0.8+dfsg-21)
XMMS2 - client library development files
libxmmsclient-glib-dev (0.8+dfsg-21)
XMMS2 - glib client library - development files
libxmmsclient-glib1 (0.8+dfsg-21)
XMMS2 - glib client library
libxmmsclient6 (0.8+dfsg-21)
XMMS2 - client library
libxmp-dev (4.4.1-3)
module file rendering library -- development files
libxmp4 (4.4.1-3)
module file rendering library
libxmpcore-java (5.1.3-1)
Adobe XMP Toolkit for Java
libxmpi
本虛擬套件由這些套件填實: libxmpi4
libxmpi-dev
本虛擬套件由這些套件填實: libxmpi4-dev
libxmpi4 (2.2.3b8-14+b1 [amd64], 2.2.3b8-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Graphical user interface for MPI program development
libxmpi4-dev (2.2.3b8-14+b1 [amd64], 2.2.3b8-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Graphical user interface for MPI program development
libxmu-dev (2:1.1.2-2+b3)
X11 miscellaneous utility library (development headers)
libxmu-headers (2:1.1.2-2)
X11 miscellaneous utility library headers
libxmu6 (2:1.1.2-2+b3)
X11 miscellaneous utility library
libxmu6-dbg (2:1.1.2-2+b3)
X11 miscellaneous utility library (debug package)
libxmuu-dev (2:1.1.2-2+b3)
X11 miscellaneous micro-utility library (development headers)
libxmuu1 (2:1.1.2-2+b3)
X11 miscellaneous micro-utility library
libxmuu1-dbg (2:1.1.2-2+b3)
X11 miscellaneous micro-utility library (debug package)
libxnee-dev (3.19-6)
X event recorder/replayer - development files
libxnee0 (3.19-6)
X event recorder/replayer - library
libxneur (0.20.0-3)
xneur library
libxneur-dev (0.20.0-3)
development files for xneur frontends and plugins.
libxnnpack-dev (0.0~git20201031.beca652+really.git20200323.1b35463-2)
High-efficiency floating-point neural network inference operators (dev)
libxnnpack0 (0.0~git20201031.beca652+really.git20200323.1b35463-2)
High-efficiency floating-point neural network inference operators (libs)
libxnvctrl-dev (470.141.03-1~deb11u1)
NV-CONTROL X extension (development files)
libxnvctrl0 (470.141.03-1~deb11u1)
NV-CONTROL X extension (runtime library)
libxom-java (1.2.10-1.1)
New XML object model for Java
libxom-java-doc (1.2.10-1.1)
Documentation for the libxom-java package
libxorg-gtest-data (0.7.1-7)
X.Org dummy testing environment for Google Test - data
libxorg-gtest-dev (0.7.1-7)
X.Org dummy testing environment for Google Test - headers
libxorg-gtest-doc (0.7.1-7)
X.org dummy testing environment for Google Test - documentation
libxosd-dev (2.2.14-2.1+b1)
X On-Screen Display library - development
libxosd2 (2.2.14-2.1+b1)
X On-Screen Display library - runtime
libxpa-dev (2.1.20-1)
Seamless communication between Unix programs (development files)
libxpa1 (2.1.20-1)
Seamless communication between Unix programs (shared library)
libxplc0.3.13 (0.3.13-10)
Light weight component system
libxplc0.3.13-dev (0.3.13-10)
Light weight component system (Development libraries and headers)
libxpm-dev (1:3.5.12-1.1+deb11u1)
X11 pixmap library (development headers)
libxpm4 (1:3.5.12-1.1+deb11u1)
X11 pixmap library
libxpp2-java (2.1.10-8)
XML pull parser library for java V2
libxpp3-java (1.1.4c-3)
XML pull parser library for java
libxpresent-dev (1.0.0-2+b10)
X11 Present extension library (development headers)
libxpresent1 (1.0.0-2+b10)
X11 Present extension library
libxqdbm-dev (1.8.78-10)
QDBM Database Libraries for C++ [development]
libxqdbm3c2 (1.8.78-10)
QDBM Database Libraries for C++ [runtime]
libxqilla-dev (2.3.4-1+b1)
XQuery and XPath 2.0 library - Development files
libxqilla6v5 (2.3.4-1+b1)
XQuery and XPath 2.0 library
libxrandr-dev (2:1.5.1-1)
X11 RandR extension library (development headers)
libxrandr2 (2:1.5.1-1)
X11 RandR extension library
libxray-absorption-perl (3.0.1-3)
x-ray absorption data for the elements
libxray-scattering-perl (3.0.1-2.1)
x-ray scattering data for the elements
libxray-spacegroup-perl (0.1.1-3.1)
symmetry operations for the crystal space groups
libxrdapputils2 (5.0.3-4)
Utilities library for xrootd applications
libxrdcl3 (5.0.3-4)
Client library for xrootd
libxrdcrypto2 (5.0.3-4)
Cryptograpic library for xrootd
libxrdcryptolite2 (5.0.3-4)
Light version of cryptograpic library for xrootd
libxrdesktop-0.15-0 (0.15.1-5)
XR desktop interaction library
libxrdesktop-dev (0.15.1-5)
XR desktop interaction library -- development files
libxrdffs3 (5.0.3-4)
File protocol library for xrootd
libxrdhttputils2 (5.0.3-4)
HTTP protocol utilities library for xrootd
libxrdposix3 (5.0.3-4)
Posix interface library for xrootd
libxrdserver3 (5.0.3-4)
Server library for xrootd
libxrdssilib2 (5.0.3-4)
Server internals library for xrootd
libxrdssishmap2 (5.0.3-4)
Server internals library for xrootd
libxrdutils3 (5.0.3-4)
Utilities library for xrootd
libxrdxml3 (5.0.3-4)
XML library for xrootd
libxrender-dev (1:0.9.10-1)
X Rendering Extension client library (development files)
libxrender1 (1:0.9.10-1)
X Rendering Extension client library
libxrender1-udeb (1:0.9.10-1)
X Rendering Extension client library
libxres-dev (2:1.2.0-4)
X11 Resource extension library (development headers)
libxres1 (2:1.2.0-4)
X11 Resource extension library
libxrootd-client-dev (5.0.3-4)
Development files for xrootd clients
libxrootd-dev (5.0.3-4)
Development files for xrootd
libxrootd-private-dev (5.0.3-4)
Private xrootd headers
libxrootd-server-dev (5.0.3-4)
Development files for xrootd servers
libxs-object-magic-perl (0.05-1+b1)
module for opaque, extensible XS pointer backed objects using sv_magic
libxs-parse-sublike-perl (0.11-1)
XS functions to assist in parsing sub-like syntax
libxsettings-client-dev (0.17-10)
utility functions for the Xsettings protocol (Development files)
libxsettings-client-doc (0.17-10)
utility functions for the Xsettings protocol (Documentation)
libxsettings-client0 (0.17-10)
utility functions for the Xsettings protocol (GPE)
libxsettings-dev (0.11-5)
Xsettings protocol (development files)
libxsettings0 (0.11-5)
Xsettings protocol library for GPE
libxshmfence-dev (1.3-1)
X shared memory fences - development files
libxshmfence1 (1.3-1)
X shared memory fences - shared library
libxshmfence1-udeb (1.3-1)
X shared memory fences
libxsloader-perl (= 0.30)
本虛擬套件由這些套件填實: perl-base
libxslt-dev
本虛擬套件由這些套件填實: libxslt1-dev
libxslt1-dev (1.1.34-4+deb11u1)
XSLT 1.0 processing library - development kit
libxslt1.1 (1.1.34-4+deb11u1)
XSLT 1.0 processing library - runtime library
libxsltc-java (2.7.2-4)
XSL Transformations (XSLT) compiler from Xalan-Java
libxslthl-java (2.1.3-5.1)
XSLT syntax highlighting
libxsom-java (2.3.0.1-10)
XML Schema Object Model Java library
libxss-dev (1:1.2.3-1)
X11 Screen Saver extension library (development headers)
libxss1 (1:1.2.3-1)
X11 Screen Saver extension library
libxstr-ocaml-dev (0.2.1-23+b2)
OCaml library for frequent string operations
libxstr-ocaml-dev-0pm55
本虛擬套件由這些套件填實: libxstr-ocaml-dev
libxstr-ocaml-dev-383n6
本虛擬套件由這些套件填實: libxstr-ocaml-dev
libxstr-ocaml-dev-qhvf4
本虛擬套件由這些套件填實: libxstr-ocaml-dev
libxstr-ocaml-dev-sb700
本虛擬套件由這些套件填實: libxstr-ocaml-dev
libxstream-java (1.4.15-3+deb11u2)
Java library to serialize objects to XML and back again
libxstring-perl (0.005-1+b1)
module containing isolated string helpers from B
libxstrp4-camlp4-dev (1.8.2-3)
camlp4 extension that expands brace expansions in OCaml string
libxt-dev (1:1.2.0-1)
X11 toolkit intrinsics library (development headers)
libxt-doc (1:1.2.0-1)
X11 toolkit intrinsics library (documentation)
libxt6 (1:1.2.0-1)
X11 toolkit intrinsics library
libxtables-dev (1.8.7-1)
netfilter xtables library -- development files
libxtables12 (1.8.7-1)
netfilter xtables library
libxtrx-dev (0.0.1+git20191219.98458ce-1+b1)
High-level XTRX API library: development
libxtrx0 (0.0.1+git20191219.98458ce-1+b1)
High-level XTRX API library
libxtrxdsp-dev (0.0.1+git20190830.eec2864-2)
Library of DSP functions, developed for XTRX SDR: development
libxtrxdsp0 (0.0.1+git20190830.eec2864-2)
Library of DSP functions, developed for XTRX SDR
libxtrxll-dev (0.0.1+git20191021.3229d65-1+b1)
Low-level XTRX hardware abstraction library: development
libxtrxll0 (0.0.1+git20191021.3229d65-1+b1)
Low-level XTRX hardware abstraction library
libxtst-dev (2:1.2.3-1)
X11 Record extension library (development headers)
libxtst-doc (2:1.2.3-1)
X11 Record extension library (documentation)
libxtst6 (2:1.2.3-1)
X11 Testing -- Record extension library
libxtst6-udeb (2:1.2.3-1)
X11 Testing -- Record extension library
libxv-dev (2:1.0.11-1)
X11 Video extension library (development headers)
libxv1 (2:1.0.11-1)
X11 Video extension library
libxvidcore-dev (2:1.3.7-1)
Open source MPEG-4 video codec (development)
libxvidcore4 (2:1.3.7-1)
Open source MPEG-4 video codec (library)
libxvmc-dev (2:1.0.12-2)
X11 Video extension library (development headers)
libxvmc1 (2:1.0.12-2)
X11 Video extension library
libxwiimote-dev (2-4)
xwiimote library - development
libxwiimote2 (2-4)
xwiimote library - runtime
libxxf86dga-dev (2:1.1.4-1+b3)
X11 Direct Graphics Access extension library (development headers)
libxxf86dga1 (2:1.1.4-1+b3)
X11 Direct Graphics Access extension library
libxxf86dga1-dbg (2:1.1.4-1+b3)
X11 Direct Graphics Access extension library (debug package)
libxxf86vm-dev (1:1.1.4-1+b2)
X11 XFree86 video mode extension library (development headers)
libxxf86vm1 (1:1.1.4-1+b2)
X11 XFree86 video mode extension library
libxxf86vm1-dbg (1:1.1.4-1+b2)
X11 XFree86 video mode extension library (debug package)
libxxhash-dev (0.8.0-2)
header files and a static library for libxxhash
libxxhash0 (0.8.0-2)
shared library for xxhash
libxxsds-dynamic-dev (1.0~alpha.1+2020072524git5390b6c-3)
succinct and compressed fully-dynamic data structures library
libxxx-perl (0.35-1)
debug viewer for Perl data structure
libxy-bin (1.6-1)
xylib - utilities
libxy-dev (1.6-1)
xylib development files
libxy3v5 (1.6-1)
Library for reading x-y data from several file formats
libxz-java (1.8-2)
Java library with a complete implementation of XZ data compression
libxz-java-doc (1.8-2)
Documentation for libxz-java
libyade (2021.01a-3)
Platform for discrete element modeling. Libraries
libyadifa-dev (2.4.2-1)
development libraries and header files for YADIFA
libyahc-perl (0.035-3)
Yet another HTTP client
libyajl-dev (2.1.0-3+deb11u2)
Yet Another JSON Library - development files
libyajl-doc (2.1.0-3+deb11u2)
Yet Another JSON Library - library documentation
libyajl2 (2.1.0-3+deb11u2)
Yet Another JSON Library
libyami-dev (1.3.2-1)
high-level abstraction for Video Acceleration (VA) API (development files)
libyami-utils (1.3.0-2+b1)
high-level abstraction for Video Acceleration (VA) API (utils)
libyami1 (1.3.2-1)
high-level abstraction for Video Acceleration (VA) API (shared library)
libyaml-0-2 (0.2.2-1)
Fast YAML 1.1 parser and emitter library
libyaml-appconfig-perl (0.19-1.1)
module to manage configuration files with YAML
libyaml-cpp-dev (0.6.3-9)
YAML parser and emitter for C++ - development files
libyaml-cpp0.6 (0.6.3-9)
YAML parser and emitter for C++
libyaml-dev (0.2.2-1)
Fast YAML 1.1 parser and emitter library (development)
libyaml-doc (0.2.2-1)
Fast YAML 1.1 parser and emitter library (documentation)
libyaml-libyaml-perl (0.82+repack-1+b1)
Perl interface to libyaml, a YAML implementation
libyaml-perl (1.30-1)
YAML Ain't Markup Language
libyaml-pp-perl (0.026-1)
pure-perl YAML framework
libyaml-shell-perl (0.71-2.1)
YAML test shell
libyaml-snake-java (1.28-1+deb11u2)
YAML parser and emitter for the Java programming language
libyaml-snake-java-doc (1.28-1+deb11u2)
Documentation for SnakeYAML
libyaml-syck-perl (1.34-1+b1)
Perl module providing a fast, lightweight YAML loader and dumper
libyaml-tiny-perl (1.73-1)
Perl module for reading and writing YAML files
libyanfs-java (0.0+cvs20070825-4.1)
Yet Another NFS - a Java NFS library
libyang-cpp-dev (1.0.225-1.1)
parser toolkit for IETF YANG data modeling - C++ development files
libyang-cpp1 (1.0.225-1.1)
parser toolkit for IETF YANG data modeling - C++ runtime
libyang-dev (1.0.225-1.1)
parser toolkit for IETF YANG data modeling - development files
libyang-tools (1.0.225-1.1)
parser toolkit for IETF YANG data modeling - executable tools
libyang1 (1.0.225-1.1)
parser toolkit for IETF YANG data modeling - runtime
libyangrpc-dev (2.11-1.1)
NETCONF/YANG simple client applications development files
libyangrpc-dev
本虛擬套件由這些套件填實: libyangrpc-dev
libyangrpc2 (2.11-1.1)
NETCONF/YANG library for simple client applications
libyara-dev (4.0.5-1)
YARA development libraries and headers
libyara4 (4.0.5-1)
YARA shared library
libyaramod-dev (3.6.0-1+b2)
YARA parser for C++ (development headers)
libyaramod0 (3.6.0-1+b2)
YARA parser for C++
libyascreen-dev (1.85-1)
Yet Another Screen Library (lib(n)curses alternative)
libyascreen0 (1.85-1)
Yet Another Screen Library - development files
libyaz-dev (5.30.3-1)
YAZ Z39.50 toolkit (development files)
libyaz4-dev
本虛擬套件由這些套件填實: libyaz-dev
libyaz5 (5.30.3-1)
YAZ Z39.50 toolkit (runtime files)
libycadgraywolf-dev (0.1.6-4)
Library for graywolf - development files
libycadgraywolf1 (0.1.6-4)
Library for common functions used by graywolf
libyder-dev (1.4.12-1)
logging library written in C - development
libyder2.0 (1.4.12-1)
logging library written in C
libydpdict2 (1.0.4-3+b11) [contrib]
library for reading Collins dictionaries
libydpdict2-dev (1.0.4-3+b11) [contrib]
library for reading Collins dictionaries - development files
libyelp-dev (3.38.3-1)
Library for the GNOME help browser (development)
libyelp0 (3.38.3-1)
Library for the GNOME help browser
libygl4 (4.2e-4+b2)
A library that emulates SGI's GL routines under X11
libygl4-dev (4.2e-4+b2)
A library that emulates SGI's GL routines under X11
libykclient-dev (2.15-2+b1)
Yubikey client library development files
libykclient3 (2.15-2+b1)
Yubikey client library runtime
libykpers-1-1 (1.20.0-3)
Library for personalization of YubiKey OTP tokens
libykpers-1-dev (1.20.0-3)
Development files for the YubiKey OTP personalization library
libykpiv-dev (2.2.0-1)
Development files for the YubiKey PIV Library
libykpiv2 (2.2.0-1)
Library for communication with the YubiKey PIV smartcard
libyojson-ocaml (1.7.0-1+b4)
JSON library for OCaml - runtime package
libyojson-ocaml-5i4q9
本虛擬套件由這些套件填實: libyojson-ocaml
libyojson-ocaml-dev (1.7.0-1+b4)
JSON library for OCaml - development package
libyojson-ocaml-dev-5i4q9
本虛擬套件由這些套件填實: libyojson-ocaml-dev
libyojson-ocaml-dev-mkm52
本虛擬套件由這些套件填實: libyojson-ocaml-dev
libyojson-ocaml-dev-nvpv7
本虛擬套件由這些套件填實: libyojson-ocaml-dev
libyojson-ocaml-dev-zd6i9
本虛擬套件由這些套件填實: libyojson-ocaml-dev
libyojson-ocaml-mkm52
本虛擬套件由這些套件填實: libyojson-ocaml
libyojson-ocaml-nvpv7
本虛擬套件由這些套件填實: libyojson-ocaml
libyojson-ocaml-zd6i9
本虛擬套件由這些套件填實: libyojson-ocaml
libytnef-dev
本虛擬套件由這些套件填實: libytnef0-dev
libytnef0 (1.9.3-3)
improved decoder for application/ms-tnef attachments
libytnef0-dev (1.9.3-3)
headers for application/ms-tnef attachments decoder
libyubikey-dev (1.13-6)
Yubikey OTP library development files
libyubikey-udev (1.20.0-3)
udev rules for unprivileged access to YubiKeys
libyubikey0 (1.13-6)
Yubikey OTP handling library runtime
libyuma-base (2.11-1.1)
Configuration script, YANG models and documentation
libyuma-dev (2.11-1.1)
NETCONF/YANG application development files
libyuma-dev
本虛擬套件由這些套件填實: libyuma-dev
libyuma2 (2.11-1.1)
NETCONF/YANG library
libz-dev
本虛擬套件由這些套件填實: zlib1g-dev
libz-mingw-w64 (1.2.11+dfsg-2)
compression library - Windows runtime
libz-mingw-w64-dev (1.2.11+dfsg-2)
compression library - Windows development files
libz1
本虛擬套件由這些套件填實: zlib1g
libz3-4 (4.8.10-1)
theorem prover from Microsoft Research - runtime libraries
libz3-dev (4.8.10-1)
theorem prover from Microsoft Research - development files
libz3-java (4.8.10-1)
theorem prover from Microsoft Research - java bindings
libz3-jni (4.8.10-1)
theorem prover from Microsoft Research - JNI library
libz80ex-dev (1.1.21-1+b1)
z80ex emulation library, development files
libz80ex1 (1.1.21-1+b1)
z80ex emulation library, shared files
libzadc-dev (4.0.18-3.1)
accelerated libz implementation (development headers)
libzadc4 (4.0.18-3.1)
accelerated libz implementation (Accelerated Data Compression/ADC)
libzapojit-0.0-0 (0.0.3-5)
Library for accessing SkyDrive and Hotmail - shared libraries
libzapojit-dev (0.0.3-5)
Library for accessing SkyDrive and Hotmail - development files
libzapojit-doc (0.0.3-5)
Library for accessing SkyDrive and Hotmail - documentation
libzarith-ocaml (1.11-1)
arithmetic and logical operations over arbitrary-precision integers (runtime)
libzarith-ocaml-0a3f1
本虛擬套件由這些套件填實: libzarith-ocaml
libzarith-ocaml-2mjt0
本虛擬套件由這些套件填實: libzarith-ocaml
libzarith-ocaml-6tqd3
本虛擬套件由這些套件填實: libzarith-ocaml
libzarith-ocaml-dev (1.11-1)
arithmetic and logical operations over arbitrary-precision integers (dev)
libzarith-ocaml-dev-0a3f1
本虛擬套件由這些套件填實: libzarith-ocaml-dev
libzarith-ocaml-dev-2mjt0
本虛擬套件由這些套件填實: libzarith-ocaml-dev
libzarith-ocaml-dev-6tqd3
本虛擬套件由這些套件填實: libzarith-ocaml-dev
libzarith-ocaml-dev-f9sl5
本虛擬套件由這些套件填實: libzarith-ocaml-dev
libzarith-ocaml-dev-qm402
本虛擬套件由這些套件填實: libzarith-ocaml-dev
libzarith-ocaml-dev-rccg7
本虛擬套件由這些套件填實: libzarith-ocaml-dev
libzarith-ocaml-doc (1.11-1)
arithmetic and logical operations over arbitrary-precision integers (doc)
libzarith-ocaml-f9sl5
本虛擬套件由這些套件填實: libzarith-ocaml
libzarith-ocaml-qm402
本虛擬套件由這些套件填實: libzarith-ocaml
libzarith-ocaml-rccg7
本虛擬套件由這些套件填實: libzarith-ocaml
libzbar-dev (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (development)
libzbar0 (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (library)
libzbargtk-dev (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (GTK+ bindings development)
libzbargtk0 (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (GTK+ bindings)
libzbarqt-dev (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (Qt binding development)
libzbarqt0 (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (Qt binding)
libzbd-dev (1.2.0-1)
Library to manipulate zoned block devices (development files)
libzbd1 (1.2.0-1)
Library to manipulate zoned block devices (shared library)
libzc-dev (0.4.3-1+b1)
fast password cracking library for zip archives (dev)
libzc6 (0.4.3-1+b1)
fast password cracking library for zip archives
libzck-dev (1.1.9+ds1-1)
compress a file into independent chunks - development files
libzck1 (1.1.9+ds1-1)
compress a file into independent chunks - shared library
libzdb-dev (3.1-0.2+b1)
Zild Database Library [devel]
libzdb11 (3.1-0.2+b1)
Zild Database Library [runtime]
libzed-ocaml (3.1.0-1+b1)
abstract engine for text edition in OCaml (runtime)
libzed-ocaml-12o08
本虛擬套件由這些套件填實: libzed-ocaml
libzed-ocaml-dev (3.1.0-1+b1)
abstract engine for text edition in OCaml (development tools)
libzed-ocaml-dev-12o08
本虛擬套件由這些套件填實: libzed-ocaml-dev
libzed-ocaml-dev-htsk7
本虛擬套件由這些套件填實: libzed-ocaml-dev
libzed-ocaml-dev-jcpw1
本虛擬套件由這些套件填實: libzed-ocaml-dev
libzed-ocaml-dev-ne344
本虛擬套件由這些套件填實: libzed-ocaml-dev
libzed-ocaml-htsk7
本虛擬套件由這些套件填實: libzed-ocaml
libzed-ocaml-jcpw1
本虛擬套件由這些套件填實: libzed-ocaml
libzed-ocaml-ne344
本虛擬套件由這些套件填實: libzed-ocaml
libzeep-dev (5.0.2-3)
Development files for libzeep
libzeep-doc (5.0.2-3)
Documentation for libzeep
libzeep5 (5.0.2-3)
Library file for libzeep
libzeitgeist-2.0-0 (1.0.3-4)
library to access Zeitgeist - shared library
libzeitgeist-2.0-dev (1.0.3-4)
library to access Zeitgeist - development files
libzeitgeist-2.0-doc (1.0.3-4)
library to access Zeitgeist - documentation
libzeitgeist-cil-dev (0.8.0.0-5.1)
CLI bindings for Zeitgeist Project - development files
libzeitgeist0.8-cil (0.8.0.0-5.1)
CLI bindings for Zeitgeist Project
libzemberek-java (2.1.1-8.3)
Spell checker library for Turkic languages
libzemberek-java-doc (2.1.1-8.3)
Zemberek documentation
libzemberek-tk-java (2.1.1-8.3)
Turkmen spellchecker
libzemberek-tr-java (2.1.1-8.3)
Turkish spellchecker
libzen-dev (0.4.38-1+deb11u1)
ZenLib C++ utility library -- development files
libzen-doc (0.4.38-1+deb11u1)
ZenLib C++ utility library -- documentation
libzen0v5 (0.4.38-1+deb11u1)
ZenLib C++ utility library -- runtime
libzephyr-dev (3.1.2-1+b3)
Project Athena's notification service - development files
libzephyr4 (3.1.2-1+b3)
Project Athena's notification service - non-Kerberos libraries
libzephyr4
本虛擬套件由這些套件填實: libzephyr4-krb5
libzephyr4-krb5 (3.1.2-1+b3)
The original "Instant Message" system libraries with Kerberos V
libzerg-dev
本虛擬套件由這些套件填實: libzerg0-dev
libzerg-perl (1.0.4-8)
fast perl module for parsing the output of NCBI BLAST programs
libzerg0 (1.0.7-11)
C library for lexically scanning the output of NCBI BLAST programs
libzerg0-dev (1.0.7-11)
development libraries and header files for libzerg
libzeroc-ice-dev (3.7.5-2)
libraries and headers for developing Ice applications in C++
libzeroc-ice-java (3.7.5-2)
transitional package libzeroc-ice-java
libzeroc-ice3.7 (3.7.5-2)
C++ run-time libraries for the Ice framework
libzeroc-ice3.7-java (3.7.5-2)
Ice for Java run-time libraries.
libzeroc-icestorm3.7 (3.7.5-2)
IceStorm publish-subscribe event distribution service
libzeus-jscl-java (1.72-2)
Zeus Java Swing Components Library
libzeus-jscl-java-doc (1.72-2)
API documentation for libzeus-jscl-java
libzfs4linux (2.0.3-9+deb11u1) [contrib]
OpenZFS filesystem library for Linux
libzfsbootenv1linux (2.0.3-9+deb11u1) [contrib]
OpenZFS filesystem library for Linux
libzfslinux-dev (2.0.3-9+deb11u1) [contrib]
OpenZFS filesystem development files for Linux
libzhuyin
本虛擬套件由這些套件填實: libzhuyin13
libzhuyin-dev (2.6.0-1)
Development files for zhuyin input method library
libzhuyin13 (2.6.0-1)
Zhuyin input method library
libzia (4.25-1)
sharable and platform-dependent library for Tucnak
libzia-dev (4.25-1)
sharable and platform-dependent dev library for Tucnak
libzim-dev (6.3.0-2)
library implementation of ZIM specifications (development)
libzim6 (6.3.0-2)
library implementation of ZIM specifications
libzinnia-dev (0.06-6)
development files for the zinnia library
libzinnia-doc (0.06-6)
documentation files for the zinnia library
libzinnia0 (0.06-6)
online handwriting recognition system with machine learning
libzint-dev (2.9.1-1.1)
Zint development files
libzint2.9 (2.9.1-1.1)
library for encoding data in barcode symbols
libzip-dev (1.7.3-1)
library for reading, creating, and modifying zip archives (development)
libzip-ocaml (1.10-1+b1)
OCaml compression libraries (runtime libraries)
libzip-ocaml-dev (1.10-1+b1)
OCaml compression libraries (development files)
libzip-ocaml-dev-di399
本虛擬套件由這些套件填實: libzip-ocaml-dev
libzip-ocaml-dev-kb663
本虛擬套件由這些套件填實: libzip-ocaml-dev
libzip-ocaml-dev-l8p50
本虛擬套件由這些套件填實: libzip-ocaml-dev
libzip-ocaml-dev-vlgu0
本虛擬套件由這些套件填實: libzip-ocaml-dev
libzip-ocaml-di399
本虛擬套件由這些套件填實: libzip-ocaml
libzip-ocaml-kb663
本虛擬套件由這些套件填實: libzip-ocaml
libzip-ocaml-l8p50
本虛擬套件由這些套件填實: libzip-ocaml
libzip-ocaml-vlgu0
本虛擬套件由這些套件填實: libzip-ocaml
libzip4 (1.7.3-1)
library for reading, creating, and modifying zip archives (runtime)
libzip4j-java (2.6.4-1)
java library for zip files
libzipios++-dev (0.1.5.9+cvs.2007.04.28-11)
small C++ library for reading zip files (development)
libzipios++-doc (0.1.5.9+cvs.2007.04.28-11)
small C++ library for reading zip files (documents)
libzipios++0v5 (0.1.5.9+cvs.2007.04.28-11)
small C++ library for reading zip files (library)
libzita-alsa-pcmi-dev (0.3.2-2)
Development files (headers) for libzita-alsa-pcmi library
libzita-alsa-pcmi0 (0.3.2-2)
C++ wrapper around the ALSA API
libzita-convolver-dev (4.0.3-2)
Development files (headers) for libzita-convolver library
libzita-convolver4 (4.0.3-2)
C++ library implementing a real-time convolution matrix
libzita-resampler-dev (1.8.0-2)
Development files (headers) for libzita-resampler library
libzita-resampler-doc (1.8.0-2)
Developers reference for libzita-resampler library
libzita-resampler1 (1.8.0-2)
C++ library for resampling audio signals
libzlcore-data (0.12.10dfsg2-4)
ZLibrary cross-platform development library (support files)
libzlcore-dev (0.12.10dfsg2-4)
ZLibrary cross-platform development library (development files)
libzlcore0.13 (0.12.10dfsg2-4)
ZLibrary cross-platform development library (shared library)
libzltext-data (0.12.10dfsg2-4)
ZLibrary text model/viewer part (support files)
libzltext-dev (0.12.10dfsg2-4)
ZLibrary text model/viewer part (development files)
libzltext0.13 (0.12.10dfsg2-4)
ZLibrary text model/viewer part (shared library)
libzlui-gtk (0.12.10dfsg2-4)
GTK+ interface module for ZLibrary
libzmat1 (0.9.8+ds-3)
compression library - runtime
libzmat1
本虛擬套件由這些套件填實: libzmat1
libzmat1-dev (0.9.8+ds-3)
compression library - development
libzmat1-dev
本虛擬套件由這些套件填實: libzmat1-dev
libzmf-0.0-0 (0.0.2-1+b3)
Zoner Draw/Zebra file reading/converting library
libzmf-dev (0.0.2-1+b3)
Zoner Draw/Zebra file reading/converting library -- development
libzmf-doc (0.0.2-1)
Zoner Draw/Zebra file reading/converting library -- documentation
libzmf-tools (0.0.2-1+b3)
Zoner Draw/Zebra file reading/converting library -- tools
libzmq-ffi-perl (1.17-2)
version agnostic Perl bindings for zeromq using ffi
libzmq-java (3.1.0-15.1)
ZeroMQ Java bindings (jzmq)
libzmq-java-doc (3.1.0-15.1)
Documentation for ZeroMQ Java bindings (jzmq)
libzmq-jni (3.1.0-15.1)
ZeroMQ Java bindings (jzmq)
libzmq3-dev (4.3.4-1+deb11u1)
lightweight messaging kernel (development files)
libzmq5 (4.3.4-1+deb11u1)
lightweight messaging kernel (shared library)
libzmq5-dev
本虛擬套件由這些套件填實: libzmq3-dev
libzn-poly-0.9 (0.9.2-1)
Library for polynomial arithmetic in Z/nZ[x]
libzn-poly-dev (0.9.2-1)
development files for libzn-poly
libznz-dev (3.0.1-8)
low-level IO library znzlib for the NIfTI-1 data format
libznz3 (3.0.1-8)
low-level IO library znzlib for the NIfTI-1 data format
libzonemaster-perl (1.0.16-2.1)
tool to check the quality of a DNS zone
libzookeeper-dev
本虛擬套件由這些套件填實: libzookeeper-mt-dev, libzookeeper-st-dev
libzookeeper-java (3.4.13-6+deb11u1)
Core Java libraries for zookeeper
libzookeeper-java-doc (3.4.13-6+deb11u1)
API Documentation for zookeeper
libzookeeper-mt-dev (3.4.13-6+deb11u1)
Development files for multi threaded zookeeper C bindings
libzookeeper-mt2 (3.4.13-6+deb11u1)
Multi threaded C bindings for zookeeper
libzookeeper-st-dev (3.4.13-6+deb11u1)
Development files for single threaded zookeeper C bindings
libzookeeper-st2 (3.4.13-6+deb11u1)
Single threaded C bindings for zookeeper
libzopfli-dev (1.0.3-1)
Header and static library files for libzopfli1
libzopfli1 (1.0.3-1)
zlib (gzip, deflate) compatible compressor - shared library
libzorpll-7.0-4 (7.0.4.0-2)
Zorp low-level functions
libzorpll-7.0-4-dev (7.0.4.0-2)
Zorp low-level functions - development files for version 6.0-10
libzorpll-dev (7.0.4.0-2)
Zorp low-level functions - development files
libzpool4linux (2.0.3-9+deb11u1) [contrib]
OpenZFS pool library for Linux
libzscanner3 (3.0.5-1+deb11u1)
DNS zone-parsing library from Knot
libzstd-dev (1.4.8+dfsg-2.1)
fast lossless compression algorithm -- development files
libzstd1 (1.4.8+dfsg-2.1)
fast lossless compression algorithm
libzstd1-udeb (1.4.8+dfsg-2.1)
fast lossless compression algorithm library for debian-installer
libzt-dev (0.3.1-4)
libzt is a simple and robust unit test library for C (development files)
libzt-doc (0.3.1-4)
libzt is a simple and robust unit test library for C (manual pages)
libzt-exec-java (1.12+dfsg-1)
ZT Process Executor
libzt-test (0.3.1-4)
libzt is a simple and robust unit test library for C (unit-tests)
libzt1 (0.3.1-4)
libzt is a simple and robust unit test library for C
libzthread-2.3-2 (2.3.2-10)
Object-oriented synchronization library for C++ (dev files)
libzthread-dev (2.3.2-10)
Object-oriented synchronization library for C++ (runtime lib)
libzulucrypt-dev (5.7.1-2)
development files for libzulucrypt-1.2.0
libzulucrypt-exe-dev (5.7.1-2)
development files for the libzulucrypt-exe
libzulucrypt-exe1.2.0 (5.7.1-2)
provide the main functions of zulucrypt
libzulucrypt-plugins (5.7.1-2)
collection of plugins for zulucrypt
libzulucrypt1.2.0 (5.7.1-2)
provide the functions of zulumount
libzulucryptpluginmanager-dev (5.7.1-2)
development files for libzulucryptpluginmanager
libzulucryptpluginmanager1.0.0 (5.7.1-2)
provides support for plugins
libzvbi-common (0.2.35-18)
Vertical Blanking Interval decoder (VBI) - common files
libzvbi-dev (0.2.35-18)
Vertical Blanking Interval decoder (VBI) - development files
libzvbi-doc (0.2.35-18)
Vertical Blanking Interval decoder (VBI) - documentation files
libzvbi0 (0.2.35-18)
Vertical Blanking Interval decoder (VBI) - runtime files
libzxcvbn-dev (2.4+dfsg-2)
password strength estimation library - development files
libzxcvbn0 (2.4+dfsg-2)
password strength estimation library
libzxing-core-java (3.4.1+ds-1)
ZXing Core
libzxing-java (3.4.1+ds-1)
ZXing ("Zebra Crossing") barcode scanning library for Java, Android
libzxing-javase-java (3.4.1+ds-1)
ZXing Java SE extensions
libzxingcore-dev (1.1.1-2)
C++ port of ZXing library (development files)
libzxingcore1 (1.1.1-2)
C++ port of ZXing library (library files)
libzypp-bin (17.25.7-1)
openSUSE/SLES package management system library (library tools)
libzypp-common (17.25.7-1)
openSUSE/SLES package management system library (common files)
libzypp-config (17.25.7-1)
openSUSE/SLES package management system library (configuration)
libzypp-dev (17.25.7-1)
openSUSE/SLES package management system library (development files)
libzypp-doc (17.25.7-1)
openSUSE/SLES package management system library (documentation)
libzypp1722 (17.25.7-1)
openSUSE/SLES package management system (library)
libzzip-0-13 (0.13.62-3.3+deb11u1)
library providing read access on ZIP-archives - library
libzzip-dev (0.13.62-3.3+deb11u1)
library providing read access on ZIP-archives - development
licensecheck (3.1.1-2)
simple license checker for source files
licenseutils (0.0.9-5)
Put copyright and license notices at the head of source code files
lie (2.2.2+dfsg-3+b1)
Computer algebra package for Lie group computations
liece (2.0+0.20030527cvs-12)
IRC (Internet Relay Chat) client for Emacs
liece-dcc (2.0+0.20030527cvs-12+b1)
DCC program for liece
lieer (1.3-1)
Fast fetch and two-way tag synchronization between notmuch and GMail
lierolibre (0.5-3+b3)
old-school earthworm action game
lierolibre-data (0.5-3)
data files for lierolibre
lifelines (3.0.61-2.1)
text-based genealogy software
lifelines-doc (3.0.61-2.1)
documentation for lifelines, a genealogy software system
lifelines-doc-sv (3.0.61-2.1)
swedish documentation for lifelines, a genealogy software system
lifelines-reports (3.0.61-2.1)
reports for lifelines, a genealogy software system
lifeograph (2.0.0-2)
Private digital diary
liferea (1.13.5-3)
feed/news/podcast client with plugin support
liferea-data (1.13.5-3)
architecture independent data for liferea
lift (2.5.0-1)
Integration and functional testing framework
liggghts (3.8.0+repack1-7)
Open Source DEM Particle Simulation Software.
liggghts-doc (3.8.0+repack1-7)
Open Source DEM Particle Simulation Software. Documentation and examples
light (1.2.2-2)
control display backlight controllers and LEDs
light-locker (1.8.0-3)
simple screen locker for lightDM display manager
lightdm (1.26.0-7)
simple display manager
lightdm-autologin-greeter (1.0-3)
Autologin greeter for LightDM
lightdm-greeter
本虛擬套件由這些套件填實: arctica-greeter, lightdm-gtk-greeter, ukui-greeter, lightdm-autologin-greeter, x2gothinclient-minidesktop, slick-greeter
lightdm-gtk-greeter (2.0.8-2)
simple display manager (GTK+ greeter)
lightdm-gtk-greeter-settings (1.2.2-4)
settings editor for the LightDM GTK+ Greeter
lightdm-remote-session-freerdp2 (2.0.0-1+b1 [amd64], 2.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LightDM Remote Logon Support for RDP sessions
lightdm-remote-session-x2go (0.0.2.0-2)
LightDM Remote Logon Support for X2Go sessions
lightdm-settings (1.5.1-1)
LightDM Settings Configuration Tool
lightdm-vala (1.26.0-7)
simple display manager (Vala files)
lighter (1.1.2-5)
fast and memory-efficient sequencing error corrector
lightsoff (1:3.38.0-1)
Light puzzle game
lightspeed (1.2a.debian.1-3)
Shows how objects moving at relativistic speeds look like
lightsquid (1.8-7)
Lite and fast log analizer for squid proxy
lighttpd (1.4.59-1+deb11u2)
fast webserver with minimal memory footprint
lighttpd-doc (1.4.59-1+deb11u2)
documentation for lighttpd
lighttpd-mod-access
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-accesslog
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-ajp13
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-alias
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-auth
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-authn-dbi
本虛擬套件由這些套件填實: lighttpd-modules-dbi
lighttpd-mod-authn-file
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-authn-gssapi (1.4.59-1+deb11u2)
GSSAPI authentication module for lighttpd
lighttpd-mod-authn-ldap
本虛擬套件由這些套件填實: lighttpd-modules-ldap
lighttpd-mod-authn-mysql
本虛擬套件由這些套件填實: lighttpd-modules-mysql
lighttpd-mod-authn-pam (1.4.59-1+deb11u2)
PAM authentication module for lighttpd
lighttpd-mod-authn-sasl (1.4.59-1+deb11u2)
SASL authentication module for lighttpd
lighttpd-mod-cgi
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-cml (1.4.59-1+deb11u2)
Transitional dummy package for: cache meta language module for lighttpd
lighttpd-mod-cml
本虛擬套件由這些套件填實: lighttpd-modules-lua
lighttpd-mod-deflate (1.4.59-1+deb11u2)
HTTP response compression module for lighttpd
lighttpd-mod-dirlisting
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-evasive
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-evhost
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-expire
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-extforward
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-fastcgi
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-flv-streaming
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-geoip (1.4.59-1+deb11u2)
GeoIP info module for lighttpd
lighttpd-mod-indexfile
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-magnet (1.4.59-1+deb11u2)
Transitional dummy package for: control the request handling module for lighttpd
lighttpd-mod-magnet
本虛擬套件由這些套件填實: lighttpd-modules-lua
lighttpd-mod-maxminddb (1.4.59-1+deb11u2)
GeoIP2 info module for lighttpd
lighttpd-mod-mbedtls (1.4.59-1+deb11u2)
TLS support using mbedTLS module for lighttpd
lighttpd-mod-mysql-vhost
本虛擬套件由這些套件填實: lighttpd-modules-mysql
lighttpd-mod-nss (1.4.59-1+deb11u2)
TLS support using NSS crypto module for lighttpd
lighttpd-mod-openssl (1.4.59-1+deb11u2)
TLS support using OpenSSL module for lighttpd
lighttpd-mod-proxy
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-redirect
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-rewrite
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-rrdtool
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-scgi
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-secdownload
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-setenv
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-simple-vhost
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-sockproxy
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-ssi
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-staticfile
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-status
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-trigger-b4-dl (1.4.59-1+deb11u2)
anti-deep-linking module for lighttpd
lighttpd-mod-uploadprogress
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-userdir
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-usertrack
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-vhostdb
本虛擬套件由這些套件填實: lighttpd
lighttpd-mod-vhostdb-dbi (1.4.59-1+deb11u2)
Transitional dummy package for: DBI-based virtual host configuration module for lighttpd
lighttpd-mod-vhostdb-dbi
本虛擬套件由這些套件填實: lighttpd-modules-dbi
lighttpd-mod-vhostdb-ldap
本虛擬套件由這些套件填實: lighttpd-modules-ldap
lighttpd-mod-vhostdb-mysql
本虛擬套件由這些套件填實: lighttpd-modules-mysql
lighttpd-mod-vhostdb-pgsql (1.4.59-1+deb11u2)
PostgreSQL-based virtual host configuration module for lighttpd
lighttpd-mod-webdav (1.4.59-1+deb11u2)
WebDAV module for lighttpd
lighttpd-mod-wolfssl (1.4.59-1+deb11u2)
TLS support using wolfSSL module for lighttpd
lighttpd-mod-wstunnel
本虛擬套件由這些套件填實: lighttpd
lighttpd-modules-dbi (1.4.59-1+deb11u2)
DBI-based modules for lighttpd
lighttpd-modules-ldap (1.4.59-1+deb11u2)
LDAP-based modules for lighttpd
lighttpd-modules-lua (1.4.59-1+deb11u2)
LUA-based modules for lighttpd
lighttpd-modules-mysql (1.4.59-1+deb11u2)
MySQL-based modules for lighttpd
likwid (5.1.0+dfsg1-1)
toolsuite for performance oriented programmers
lilv-utils (0.24.12-2)
library for simple use of LV2 plugins (runtime files)
lilypond (2.22.0-10)
program for typesetting sheet music
lilypond-data (2.22.0-10)
LilyPond music typesetter (data files)
lilypond-doc (2.22.0-10)
LilyPond Documentation in info format (and metapackage)
lilypond-doc-html (2.22.0-10)
LilyPond HTML Documentation
lilypond-doc-html-ca (2.22.0-10)
LilyPond HTML Documentation in Catalan
lilypond-doc-html-cs (2.22.0-10)
LilyPond HTML Documentation in Czech
lilypond-doc-html-de (2.22.0-10)
LilyPond HTML Documentation in German
lilypond-doc-html-es (2.22.0-10)
LilyPond HTML Documentation in Spanish
lilypond-doc-html-fr (2.22.0-10)
LilyPond HTML Documentation in French
lilypond-doc-html-hu (2.22.0-10)
LilyPond HTML Documentation in Hungarian
lilypond-doc-html-it (2.22.0-10)
LilyPond HTML Documentation in Italian
lilypond-doc-html-ja (2.22.0-10)
LilyPond HTML Documentation in Japanese
lilypond-doc-html-nl (2.22.0-10)
LilyPond HTML Documentation in Dutch
lilypond-doc-html-pt (2.22.0-10)
LilyPond HTML Documentation in Portuguese
lilypond-doc-html-zh (2.22.0-10)
LilyPond HTML Documentation in Chinese
lilypond-doc-pdf (2.22.0-10)
LilyPond PDF Documentation
lilypond-doc-pdf-ca (2.22.0-10)
LilyPond PDF Documentation in Catalan
lilypond-doc-pdf-de (2.22.0-10)
LilyPond PDF Documentation in German
lilypond-doc-pdf-es (2.22.0-10)
LilyPond PDF Documentation in Spanish
lilypond-doc-pdf-fr (2.22.0-10)
LilyPond PDF Documentation in French
lilypond-doc-pdf-hu (2.22.0-10)
LilyPond PDF Documentation in Hungarian
lilypond-doc-pdf-it (2.22.0-10)
LilyPond PDF Documentation in Italian
lilypond-doc-pdf-nl (2.22.0-10)
LilyPond PDF Documentation in Dutch
lilypond-doc-pdf-pt (2.22.0-10)
LilyPond PDF Documentation in Portuguese
lilypond-fonts (2.22.0-10)
LilyPond music typesetter (fonts)
lime-forensics
本虛擬套件由這些套件填實: lime-forensics-dkms
lime-forensics-dkms (1.9.1-2)
kernel module to memory dump (DKMS)
limesuite (20.10.0+dfsg-2)
tools to test, control and update LMS7 transceiver based hardware
limesuite-udev (20.10.0+dfsg-2)
Lime Suite - USB rules for udev
limnoria (2021.06.15-1)
robust and user-friendly Python IRC bot
lincity (1.13.1-15)
建造並維持一個城市或國家
lincity-ng (2.9~git20150314-4)
City simulator game with polished graphics
lincity-ng-data (2.9~git20150314-4)
Media files for the city simulator game LinCity-NG
lincredits (0.8+nmu1)
Generate nicely-formatted versions of the Linux CREDITS file
lingot (1.1.1-2)
accurate and easy to use musical instrument tuner
link-grammar (5.8.1-1)
Carnegie Mellon University's link grammar parser
link-grammar-dictionaries-all (5.8.1-1)
Carnegie Mellon University's link grammar parser (all dictionaries)
link-grammar-dictionaries-en (5.8.1-1)
Carnegie Mellon University's link grammar parser (English dictionary)
linkchecker (10.0.1-2)
check websites and HTML documents for broken links
linkchecker-web (10.0.1-2)
check websites and HTML documents for broken links (web client)
linklint (2.3.5-5.2)
A fast link checker and web site maintenance tool
links (2.21-1+b1)
Web browser running in text mode
links2 (2.21-1+b1)
Web browser running in both graphics and text mode
linpac (0.28-1)
terminal for packet radio with mail client
linphone (4.2.5-3)
SIP softphone - graphical client (transitional package)
linphone-cli (4.4.21-2)
SIP softphone - console-only client
linphone-common (4.4.21-2)
Shared components of the linphone SIP softphone
linphone-desktop (4.2.5-3)
SIP softphone - graphical client
linphone-nogtk (4.4.21-2)
SIP softphone - console-only client (transitional package)
linssid (3.6-6+b1)
graphical wireless scanner
lintex (1.14-2+b1 [amd64], 1.14-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
automatic cleanup of old TeX-related files
lintian (2.104.0)
Debian package checker
linux-base (4.6)
Linux image base package
linux-compiler-gcc-10-arm (5.10.209-2)
Compiler for Linux on ARM (meta-package)
linux-compiler-gcc-10-s390 (5.10.209-2)
Compiler for Linux on IBM zSeries (meta-package)
linux-compiler-gcc-10-x86 (5.10.209-2)
Compiler for Linux on x86 (meta-package)
linux-config-5.10 (5.10.209-2)
Debian kernel configurations for Linux 5.10
linux-cpupower (5.10.209-2)
CPU power management tools for Linux
linux-doc (5.10.209-2)
Linux kernel specific documentation (meta-package)
linux-doc-5.10 (5.10.209-2)
Linux kernel specific documentation for version 5.10
linux-headers-4kc-malta (5.10.209-2)
Header files for Linux 4kc-malta configuration (meta-package)
linux-headers-5.10.0-25-4kc-malta (5.10.191-1) [security]
Header files for Linux 5.10.0-25-4kc-malta
linux-headers-5.10.0-25-5kc-malta (5.10.191-1) [security]
Header files for Linux 5.10.0-25-5kc-malta
linux-headers-5.10.0-25-686 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-686
linux-headers-5.10.0-25-686-pae (5.10.191-1) [security]
Header files for Linux 5.10.0-25-686-pae
linux-headers-5.10.0-25-amd64 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-amd64
linux-headers-5.10.0-25-arm64 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-arm64
linux-headers-5.10.0-25-armmp (5.10.191-1) [security]
Header files for Linux 5.10.0-25-armmp
linux-headers-5.10.0-25-armmp-lpae (5.10.191-1) [security]
Header files for Linux 5.10.0-25-armmp-lpae
linux-headers-5.10.0-25-cloud-amd64 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-cloud-amd64
linux-headers-5.10.0-25-cloud-arm64 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-cloud-arm64
linux-headers-5.10.0-25-common (5.10.191-1) [security]
Common header files for Linux 5.10.0-25
linux-headers-5.10.0-25-common-rt (5.10.191-1) [security]
Common header files for Linux 5.10.0-25-rt
linux-headers-5.10.0-25-loongson-3 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-loongson-3
linux-headers-5.10.0-25-marvell (5.10.191-1) [security]
Header files for Linux 5.10.0-25-marvell
linux-headers-5.10.0-25-octeon (5.10.191-1) [security]
Header files for Linux 5.10.0-25-octeon
linux-headers-5.10.0-25-powerpc64le (5.10.191-1) [security]
Header files for Linux 5.10.0-25-powerpc64le
linux-headers-5.10.0-25-rpi (5.10.191-1) [security]
Header files for Linux 5.10.0-25-rpi
linux-headers-5.10.0-25-rt-686-pae (5.10.191-1) [security]
Header files for Linux 5.10.0-25-rt-686-pae
linux-headers-5.10.0-25-rt-amd64 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-rt-amd64
linux-headers-5.10.0-25-rt-arm64 (5.10.191-1) [security]
Header files for Linux 5.10.0-25-rt-arm64
linux-headers-5.10.0-25-rt-armmp (5.10.191-1) [security]
Header files for Linux 5.10.0-25-rt-armmp
linux-headers-5.10.0-25-s390x (5.10.191-1) [security]
Header files for Linux 5.10.0-25-s390x
linux-headers-5.10.0-26-4kc-malta (5.10.197-1)
Header files for Linux 5.10.0-26-4kc-malta
linux-headers-5.10.0-26-5kc-malta (5.10.197-1)
Header files for Linux 5.10.0-26-5kc-malta
linux-headers-5.10.0-26-686 (5.10.197-1)
Header files for Linux 5.10.0-26-686
linux-headers-5.10.0-26-686-pae (5.10.197-1)
Header files for Linux 5.10.0-26-686-pae
linux-headers-5.10.0-26-amd64 (5.10.197-1)
Header files for Linux 5.10.0-26-amd64
linux-headers-5.10.0-26-arm64 (5.10.197-1)
Header files for Linux 5.10.0-26-arm64
linux-headers-5.10.0-26-armmp (5.10.197-1)
Header files for Linux 5.10.0-26-armmp
linux-headers-5.10.0-26-armmp-lpae (5.10.197-1)
Header files for Linux 5.10.0-26-armmp-lpae
linux-headers-5.10.0-26-cloud-amd64 (5.10.197-1)
Header files for Linux 5.10.0-26-cloud-amd64
linux-headers-5.10.0-26-cloud-arm64 (5.10.197-1)
Header files for Linux 5.10.0-26-cloud-arm64
linux-headers-5.10.0-26-common (5.10.197-1)
Common header files for Linux 5.10.0-26
linux-headers-5.10.0-26-common-rt (5.10.197-1)
Common header files for Linux 5.10.0-26-rt
linux-headers-5.10.0-26-loongson-3 (5.10.197-1)
Header files for Linux 5.10.0-26-loongson-3
linux-headers-5.10.0-26-marvell (5.10.197-1)
Header files for Linux 5.10.0-26-marvell
linux-headers-5.10.0-26-octeon (5.10.197-1)
Header files for Linux 5.10.0-26-octeon
linux-headers-5.10.0-26-powerpc64le (5.10.197-1)
Header files for Linux 5.10.0-26-powerpc64le
linux-headers-5.10.0-26-rpi (5.10.197-1)
Header files for Linux 5.10.0-26-rpi
linux-headers-5.10.0-26-rt-686-pae (5.10.197-1)
Header files for Linux 5.10.0-26-rt-686-pae
linux-headers-5.10.0-26-rt-amd64 (5.10.197-1)
Header files for Linux 5.10.0-26-rt-amd64
linux-headers-5.10.0-26-rt-arm64 (5.10.197-1)
Header files for Linux 5.10.0-26-rt-arm64
linux-headers-5.10.0-26-rt-armmp (5.10.197-1)
Header files for Linux 5.10.0-26-rt-armmp
linux-headers-5.10.0-26-s390x (5.10.197-1)
Header files for Linux 5.10.0-26-s390x
linux-headers-5.10.0-27-4kc-malta (5.10.205-2) [security]
Header files for Linux 5.10.0-27-4kc-malta
linux-headers-5.10.0-27-5kc-malta (5.10.205-2) [security]
Header files for Linux 5.10.0-27-5kc-malta
linux-headers-5.10.0-27-686 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-686
linux-headers-5.10.0-27-686-pae (5.10.205-2) [security]
Header files for Linux 5.10.0-27-686-pae
linux-headers-5.10.0-27-amd64 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-amd64
linux-headers-5.10.0-27-arm64 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-arm64
linux-headers-5.10.0-27-armmp (5.10.205-2) [security]
Header files for Linux 5.10.0-27-armmp
linux-headers-5.10.0-27-armmp-lpae (5.10.205-2) [security]
Header files for Linux 5.10.0-27-armmp-lpae
linux-headers-5.10.0-27-cloud-amd64 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-cloud-amd64
linux-headers-5.10.0-27-cloud-arm64 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-cloud-arm64
linux-headers-5.10.0-27-common (5.10.205-2) [security]
Common header files for Linux 5.10.0-27
linux-headers-5.10.0-27-common-rt (5.10.205-2) [security]
Common header files for Linux 5.10.0-27-rt
linux-headers-5.10.0-27-loongson-3 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-loongson-3
linux-headers-5.10.0-27-marvell (5.10.205-2) [security]
Header files for Linux 5.10.0-27-marvell
linux-headers-5.10.0-27-octeon (5.10.205-2) [security]
Header files for Linux 5.10.0-27-octeon
linux-headers-5.10.0-27-powerpc64le (5.10.205-2) [security]
Header files for Linux 5.10.0-27-powerpc64le
linux-headers-5.10.0-27-rpi (5.10.205-2) [security]
Header files for Linux 5.10.0-27-rpi
linux-headers-5.10.0-27-rt-686-pae (5.10.205-2) [security]
Header files for Linux 5.10.0-27-rt-686-pae
linux-headers-5.10.0-27-rt-amd64 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-rt-amd64
linux-headers-5.10.0-27-rt-arm64 (5.10.205-2) [security]
Header files for Linux 5.10.0-27-rt-arm64
linux-headers-5.10.0-27-rt-armmp (5.10.205-2) [security]
Header files for Linux 5.10.0-27-rt-armmp
linux-headers-5.10.0-27-s390x (5.10.205-2) [security]
Header files for Linux 5.10.0-27-s390x
linux-headers-5.10.0-28-4kc-malta (5.10.209-2)
Header files for Linux 5.10.0-28-4kc-malta
linux-headers-5.10.0-28-5kc-malta (5.10.209-2)
Header files for Linux 5.10.0-28-5kc-malta
linux-headers-5.10.0-28-686 (5.10.209-2)
Header files for Linux 5.10.0-28-686
linux-headers-5.10.0-28-686-pae (5.10.209-2)
Header files for Linux 5.10.0-28-686-pae
linux-headers-5.10.0-28-amd64 (5.10.209-2)
Header files for Linux 5.10.0-28-amd64
linux-headers-5.10.0-28-arm64 (5.10.209-2)
Header files for Linux 5.10.0-28-arm64
linux-headers-5.10.0-28-armmp (5.10.209-2)
Header files for Linux 5.10.0-28-armmp
linux-headers-5.10.0-28-armmp-lpae (5.10.209-2)
Header files for Linux 5.10.0-28-armmp-lpae
linux-headers-5.10.0-28-cloud-amd64 (5.10.209-2)
Header files for Linux 5.10.0-28-cloud-amd64
linux-headers-5.10.0-28-cloud-arm64 (5.10.209-2)
Header files for Linux 5.10.0-28-cloud-arm64
linux-headers-5.10.0-28-common (5.10.209-2)
Common header files for Linux 5.10.0-28
linux-headers-5.10.0-28-common-rt (5.10.209-2)
Common header files for Linux 5.10.0-28-rt
linux-headers-5.10.0-28-loongson-3 (5.10.209-2)
Header files for Linux 5.10.0-28-loongson-3
linux-headers-5.10.0-28-marvell (5.10.209-2)
Header files for Linux 5.10.0-28-marvell
linux-headers-5.10.0-28-octeon (5.10.209-2)
Header files for Linux 5.10.0-28-octeon
linux-headers-5.10.0-28-powerpc64le (5.10.209-2)
Header files for Linux 5.10.0-28-powerpc64le
linux-headers-5.10.0-28-rpi (5.10.209-2)
Header files for Linux 5.10.0-28-rpi
linux-headers-5.10.0-28-rt-686-pae (5.10.209-2)
Header files for Linux 5.10.0-28-rt-686-pae
linux-headers-5.10.0-28-rt-amd64 (5.10.209-2)
Header files for Linux 5.10.0-28-rt-amd64
linux-headers-5.10.0-28-rt-arm64 (5.10.209-2)
Header files for Linux 5.10.0-28-rt-arm64
linux-headers-5.10.0-28-rt-armmp (5.10.209-2)
Header files for Linux 5.10.0-28-rt-armmp
linux-headers-5.10.0-28-s390x (5.10.209-2)
Header files for Linux 5.10.0-28-s390x
linux-headers-5kc-malta (5.10.209-2)
Header files for Linux 5kc-malta configuration (meta-package)
linux-headers-686 (5.10.209-2)
Header files for Linux 686 configuration (meta-package)
linux-headers-686-pae (5.10.209-2)
Header files for Linux 686-pae configuration (meta-package)
linux-headers-amd64 (5.10.209-2)
Header files for Linux amd64 configuration (meta-package)
linux-headers-arm64 (5.10.209-2)
Header files for Linux arm64 configuration (meta-package)
linux-headers-armmp (5.10.209-2)
Header files for Linux armmp configuration (meta-package)
linux-headers-armmp-lpae (5.10.209-2)
Header files for Linux armmp-lpae configuration (meta-package)
linux-headers-cloud-amd64 (5.10.209-2)
Header files for Linux cloud-amd64 configuration (meta-package)
linux-headers-cloud-arm64 (5.10.209-2)
Header files for Linux cloud-arm64 configuration (meta-package)
linux-headers-generic
本虛擬套件由這些套件填實: linux-headers-686-pae, linux-headers-arm64, linux-headers-powerpc64le, linux-headers-s390x, linux-headers-armmp, linux-headers-amd64
linux-headers-loongson-3 (5.10.209-2)
Header files for Linux loongson-3 configuration (meta-package)
linux-headers-marvell (5.10.209-2)
Header files for Linux marvell configuration (meta-package)
linux-headers-octeon (5.10.209-2)
Header files for Linux octeon configuration (meta-package)
linux-headers-powerpc64le (5.10.209-2)
Header files for Linux powerpc64le configuration (meta-package)
linux-headers-rpi (5.10.209-2)
Header files for Linux rpi configuration (meta-package)
linux-headers-rt-686-pae (5.10.209-2)
Header files for Linux rt-686-pae configuration (meta-package)
linux-headers-rt-amd64 (5.10.209-2)
Header files for Linux rt-amd64 configuration (meta-package)
linux-headers-rt-arm64 (5.10.209-2)
Header files for Linux rt-arm64 configuration (meta-package)
linux-headers-rt-armmp (5.10.209-2)
Header files for Linux rt-armmp configuration (meta-package)
linux-headers-s390x (5.10.209-2)
Header files for Linux s390x configuration (meta-package)
linux-image-4kc-malta (5.10.209-2)
Linux for MIPS Malta (meta-package)
linux-image-4kc-malta-dbg (5.10.209-2)
Debugging symbols for Linux 4kc-malta configuration (meta-package)
linux-image-5.10.0-25-4kc-malta (5.10.191-1) [security]
Linux 5.10 for MIPS Malta
linux-image-5.10.0-25-4kc-malta-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-4kc-malta
linux-image-5.10.0-25-5kc-malta (5.10.191-1) [security]
Linux 5.10 for MIPS Malta (64-bit)
linux-image-5.10.0-25-5kc-malta-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-5kc-malta
linux-image-5.10.0-25-686 (5.10.191-1) [security]
Linux 5.10 for older PCs (signed)
linux-image-5.10.0-25-686-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-686
linux-image-5.10.0-25-686-pae (5.10.191-1) [security]
Linux 5.10 for modern PCs (signed)
linux-image-5.10.0-25-686-pae-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-686-pae
linux-image-5.10.0-25-686-pae-unsigned (5.10.191-1) [security]
Linux 5.10 for modern PCs
linux-image-5.10.0-25-686-unsigned (5.10.191-1) [security]
Linux 5.10 for older PCs
linux-image-5.10.0-25-amd64 (5.10.191-1) [security]
Linux 5.10 for 64-bit PCs (signed)
linux-image-5.10.0-25-amd64-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-amd64
linux-image-5.10.0-25-amd64-unsigned (5.10.191-1) [security]
Linux 5.10 for 64-bit PCs
linux-image-5.10.0-25-arm64 (5.10.191-1) [security]
Linux 5.10 for 64-bit ARMv8 machines (signed)
linux-image-5.10.0-25-arm64-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-arm64
linux-image-5.10.0-25-arm64-unsigned (5.10.191-1) [security]
Linux 5.10 for 64-bit ARMv8 machines
linux-image-5.10.0-25-armmp (5.10.191-1) [security]
Linux 5.10 for ARMv7 multiplatform compatible SoCs
linux-image-5.10.0-25-armmp-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-armmp
linux-image-5.10.0-25-armmp-lpae (5.10.191-1) [security]
Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
linux-image-5.10.0-25-armmp-lpae-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-armmp-lpae
linux-image-5.10.0-25-cloud-amd64 (5.10.191-1) [security]
Linux 5.10 for x86-64 cloud (signed)
linux-image-5.10.0-25-cloud-amd64-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-cloud-amd64
linux-image-5.10.0-25-cloud-amd64-unsigned (5.10.191-1) [security]
Linux 5.10 for x86-64 cloud
linux-image-5.10.0-25-cloud-arm64 (5.10.191-1) [security]
Linux 5.10 for arm64 cloud (signed)
linux-image-5.10.0-25-cloud-arm64-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-cloud-arm64
linux-image-5.10.0-25-cloud-arm64-unsigned (5.10.191-1) [security]
Linux 5.10 for arm64 cloud
linux-image-5.10.0-25-loongson-3 (5.10.191-1) [security]
Linux 5.10 for Loongson 3A/3B
linux-image-5.10.0-25-loongson-3-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-loongson-3
linux-image-5.10.0-25-marvell (5.10.191-1) [security]
Linux 5.10 for Marvell Kirkwood/Orion
linux-image-5.10.0-25-marvell-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-marvell
linux-image-5.10.0-25-octeon (5.10.191-1) [security]
Linux 5.10 for Octeon
linux-image-5.10.0-25-octeon-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-octeon
linux-image-5.10.0-25-powerpc64le (5.10.191-1) [security]
Linux 5.10 for Little-endian 64-bit PowerPC
linux-image-5.10.0-25-powerpc64le-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-powerpc64le
linux-image-5.10.0-25-rpi (5.10.191-1) [security]
Linux 5.10 for Raspberry Pi and Pi Zero
linux-image-5.10.0-25-rpi-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-rpi
linux-image-5.10.0-25-rt-686-pae (5.10.191-1) [security]
Linux 5.10 for modern PCs, PREEMPT_RT (signed)
linux-image-5.10.0-25-rt-686-pae-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-rt-686-pae
linux-image-5.10.0-25-rt-686-pae-unsigned (5.10.191-1) [security]
Linux 5.10 for modern PCs, PREEMPT_RT
linux-image-5.10.0-25-rt-amd64 (5.10.191-1) [security]
Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
linux-image-5.10.0-25-rt-amd64-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-rt-amd64
linux-image-5.10.0-25-rt-amd64-unsigned (5.10.191-1) [security]
Linux 5.10 for 64-bit PCs, PREEMPT_RT
linux-image-5.10.0-25-rt-arm64 (5.10.191-1) [security]
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
linux-image-5.10.0-25-rt-arm64-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-rt-arm64
linux-image-5.10.0-25-rt-arm64-unsigned (5.10.191-1) [security]
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
linux-image-5.10.0-25-rt-armmp (5.10.191-1) [security]
Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
linux-image-5.10.0-25-rt-armmp-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-rt-armmp
linux-image-5.10.0-25-s390x (5.10.191-1) [security]
Linux 5.10 for IBM zSeries
linux-image-5.10.0-25-s390x-dbg (5.10.191-1) [security]
Debug symbols for linux-image-5.10.0-25-s390x
linux-image-5.10.0-26-4kc-malta (5.10.197-1)
Linux 5.10 for MIPS Malta
linux-image-5.10.0-26-4kc-malta-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-4kc-malta
linux-image-5.10.0-26-5kc-malta (5.10.197-1)
Linux 5.10 for MIPS Malta (64-bit)
linux-image-5.10.0-26-5kc-malta-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-5kc-malta
linux-image-5.10.0-26-686 (5.10.197-1)
Linux 5.10 for older PCs (signed)
linux-image-5.10.0-26-686-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-686
linux-image-5.10.0-26-686-pae (5.10.197-1)
Linux 5.10 for modern PCs (signed)
linux-image-5.10.0-26-686-pae-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-686-pae
linux-image-5.10.0-26-686-pae-unsigned (5.10.197-1)
Linux 5.10 for modern PCs
linux-image-5.10.0-26-686-unsigned (5.10.197-1)
Linux 5.10 for older PCs
linux-image-5.10.0-26-amd64 (5.10.197-1)
Linux 5.10 for 64-bit PCs (signed)
linux-image-5.10.0-26-amd64-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-amd64
linux-image-5.10.0-26-amd64-unsigned (5.10.197-1)
Linux 5.10 for 64-bit PCs
linux-image-5.10.0-26-arm64 (5.10.197-1)
Linux 5.10 for 64-bit ARMv8 machines (signed)
linux-image-5.10.0-26-arm64-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-arm64
linux-image-5.10.0-26-arm64-unsigned (5.10.197-1)
Linux 5.10 for 64-bit ARMv8 machines
linux-image-5.10.0-26-armmp (5.10.197-1)
Linux 5.10 for ARMv7 multiplatform compatible SoCs
linux-image-5.10.0-26-armmp-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-armmp
linux-image-5.10.0-26-armmp-lpae (5.10.197-1)
Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
linux-image-5.10.0-26-armmp-lpae-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-armmp-lpae
linux-image-5.10.0-26-cloud-amd64 (5.10.197-1)
Linux 5.10 for x86-64 cloud (signed)
linux-image-5.10.0-26-cloud-amd64-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-cloud-amd64
linux-image-5.10.0-26-cloud-amd64-unsigned (5.10.197-1)
Linux 5.10 for x86-64 cloud
linux-image-5.10.0-26-cloud-arm64 (5.10.197-1)
Linux 5.10 for arm64 cloud (signed)
linux-image-5.10.0-26-cloud-arm64-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-cloud-arm64
linux-image-5.10.0-26-cloud-arm64-unsigned (5.10.197-1)
Linux 5.10 for arm64 cloud
linux-image-5.10.0-26-loongson-3 (5.10.197-1)
Linux 5.10 for Loongson 3A/3B
linux-image-5.10.0-26-loongson-3-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-loongson-3
linux-image-5.10.0-26-marvell (5.10.197-1)
Linux 5.10 for Marvell Kirkwood/Orion
linux-image-5.10.0-26-marvell-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-marvell
linux-image-5.10.0-26-octeon (5.10.197-1)
Linux 5.10 for Octeon
linux-image-5.10.0-26-octeon-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-octeon
linux-image-5.10.0-26-powerpc64le (5.10.197-1)
Linux 5.10 for Little-endian 64-bit PowerPC
linux-image-5.10.0-26-powerpc64le-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-powerpc64le
linux-image-5.10.0-26-rpi (5.10.197-1)
Linux 5.10 for Raspberry Pi and Pi Zero
linux-image-5.10.0-26-rpi-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-rpi
linux-image-5.10.0-26-rt-686-pae (5.10.197-1)
Linux 5.10 for modern PCs, PREEMPT_RT (signed)
linux-image-5.10.0-26-rt-686-pae-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-rt-686-pae
linux-image-5.10.0-26-rt-686-pae-unsigned (5.10.197-1)
Linux 5.10 for modern PCs, PREEMPT_RT
linux-image-5.10.0-26-rt-amd64 (5.10.197-1)
Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
linux-image-5.10.0-26-rt-amd64-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-rt-amd64
linux-image-5.10.0-26-rt-amd64-unsigned (5.10.197-1)
Linux 5.10 for 64-bit PCs, PREEMPT_RT
linux-image-5.10.0-26-rt-arm64 (5.10.197-1)
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
linux-image-5.10.0-26-rt-arm64-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-rt-arm64
linux-image-5.10.0-26-rt-arm64-unsigned (5.10.197-1)
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
linux-image-5.10.0-26-rt-armmp (5.10.197-1)
Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
linux-image-5.10.0-26-rt-armmp-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-rt-armmp
linux-image-5.10.0-26-s390x (5.10.197-1)
Linux 5.10 for IBM zSeries
linux-image-5.10.0-26-s390x-dbg (5.10.197-1)
Debug symbols for linux-image-5.10.0-26-s390x
linux-image-5.10.0-27-4kc-malta (5.10.205-2) [security]
Linux 5.10 for MIPS Malta
linux-image-5.10.0-27-4kc-malta-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-4kc-malta
linux-image-5.10.0-27-5kc-malta (5.10.205-2) [security]
Linux 5.10 for MIPS Malta (64-bit)
linux-image-5.10.0-27-5kc-malta-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-5kc-malta
linux-image-5.10.0-27-686 (5.10.205-2) [security]
Linux 5.10 for older PCs (signed)
linux-image-5.10.0-27-686-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-686
linux-image-5.10.0-27-686-pae (5.10.205-2) [security]
Linux 5.10 for modern PCs (signed)
linux-image-5.10.0-27-686-pae-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-686-pae
linux-image-5.10.0-27-686-pae-unsigned (5.10.205-2) [security]
Linux 5.10 for modern PCs
linux-image-5.10.0-27-686-unsigned (5.10.205-2) [security]
Linux 5.10 for older PCs
linux-image-5.10.0-27-amd64 (5.10.205-2) [security]
Linux 5.10 for 64-bit PCs (signed)
linux-image-5.10.0-27-amd64-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-amd64
linux-image-5.10.0-27-amd64-unsigned (5.10.205-2) [security]
Linux 5.10 for 64-bit PCs
linux-image-5.10.0-27-arm64 (5.10.205-2) [security]
Linux 5.10 for 64-bit ARMv8 machines (signed)
linux-image-5.10.0-27-arm64-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-arm64
linux-image-5.10.0-27-arm64-unsigned (5.10.205-2) [security]
Linux 5.10 for 64-bit ARMv8 machines
linux-image-5.10.0-27-armmp (5.10.205-2) [security]
Linux 5.10 for ARMv7 multiplatform compatible SoCs
linux-image-5.10.0-27-armmp-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-armmp
linux-image-5.10.0-27-armmp-lpae (5.10.205-2) [security]
Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
linux-image-5.10.0-27-armmp-lpae-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-armmp-lpae
linux-image-5.10.0-27-cloud-amd64 (5.10.205-2) [security]
Linux 5.10 for x86-64 cloud (signed)
linux-image-5.10.0-27-cloud-amd64-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-cloud-amd64
linux-image-5.10.0-27-cloud-amd64-unsigned (5.10.205-2) [security]
Linux 5.10 for x86-64 cloud
linux-image-5.10.0-27-cloud-arm64 (5.10.205-2) [security]
Linux 5.10 for arm64 cloud (signed)
linux-image-5.10.0-27-cloud-arm64-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-cloud-arm64
linux-image-5.10.0-27-cloud-arm64-unsigned (5.10.205-2) [security]
Linux 5.10 for arm64 cloud
linux-image-5.10.0-27-loongson-3 (5.10.205-2) [security]
Linux 5.10 for Loongson 3A/3B
linux-image-5.10.0-27-loongson-3-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-loongson-3
linux-image-5.10.0-27-marvell (5.10.205-2) [security]
Linux 5.10 for Marvell Kirkwood/Orion
linux-image-5.10.0-27-marvell-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-marvell
linux-image-5.10.0-27-octeon (5.10.205-2) [security]
Linux 5.10 for Octeon
linux-image-5.10.0-27-octeon-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-octeon
linux-image-5.10.0-27-powerpc64le (5.10.205-2) [security]
Linux 5.10 for Little-endian 64-bit PowerPC
linux-image-5.10.0-27-powerpc64le-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-powerpc64le
linux-image-5.10.0-27-rpi (5.10.205-2) [security]
Linux 5.10 for Raspberry Pi and Pi Zero
linux-image-5.10.0-27-rpi-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-rpi
linux-image-5.10.0-27-rt-686-pae (5.10.205-2) [security]
Linux 5.10 for modern PCs, PREEMPT_RT (signed)
linux-image-5.10.0-27-rt-686-pae-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-rt-686-pae
linux-image-5.10.0-27-rt-686-pae-unsigned (5.10.205-2) [security]
Linux 5.10 for modern PCs, PREEMPT_RT
linux-image-5.10.0-27-rt-amd64 (5.10.205-2) [security]
Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
linux-image-5.10.0-27-rt-amd64-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-rt-amd64
linux-image-5.10.0-27-rt-amd64-unsigned (5.10.205-2) [security]
Linux 5.10 for 64-bit PCs, PREEMPT_RT
linux-image-5.10.0-27-rt-arm64 (5.10.205-2) [security]
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
linux-image-5.10.0-27-rt-arm64-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-rt-arm64
linux-image-5.10.0-27-rt-arm64-unsigned (5.10.205-2) [security]
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
linux-image-5.10.0-27-rt-armmp (5.10.205-2) [security]
Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
linux-image-5.10.0-27-rt-armmp-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-rt-armmp
linux-image-5.10.0-27-s390x (5.10.205-2) [security]
Linux 5.10 for IBM zSeries
linux-image-5.10.0-27-s390x-dbg (5.10.205-2) [security]
Debug symbols for linux-image-5.10.0-27-s390x
linux-image-5.10.0-28-4kc-malta (5.10.209-2)
Linux 5.10 for MIPS Malta
linux-image-5.10.0-28-4kc-malta-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-4kc-malta
linux-image-5.10.0-28-5kc-malta (5.10.209-2)
Linux 5.10 for MIPS Malta (64-bit)
linux-image-5.10.0-28-5kc-malta-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-5kc-malta
linux-image-5.10.0-28-686 (5.10.209-2)
Linux 5.10 for older PCs (signed)
linux-image-5.10.0-28-686-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-686
linux-image-5.10.0-28-686-pae (5.10.209-2)
Linux 5.10 for modern PCs (signed)
linux-image-5.10.0-28-686-pae-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-686-pae
linux-image-5.10.0-28-686-pae-unsigned (5.10.209-2)
Linux 5.10 for modern PCs
linux-image-5.10.0-28-686-unsigned (5.10.209-2)
Linux 5.10 for older PCs
linux-image-5.10.0-28-amd64 (5.10.209-2)
Linux 5.10 for 64-bit PCs (signed)
linux-image-5.10.0-28-amd64-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-amd64
linux-image-5.10.0-28-amd64-unsigned (5.10.209-2)
Linux 5.10 for 64-bit PCs
linux-image-5.10.0-28-arm64 (5.10.209-2)
Linux 5.10 for 64-bit ARMv8 machines (signed)
linux-image-5.10.0-28-arm64-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-arm64
linux-image-5.10.0-28-arm64-unsigned (5.10.209-2)
Linux 5.10 for 64-bit ARMv8 machines
linux-image-5.10.0-28-armmp (5.10.209-2)
Linux 5.10 for ARMv7 multiplatform compatible SoCs
linux-image-5.10.0-28-armmp-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-armmp
linux-image-5.10.0-28-armmp-lpae (5.10.209-2)
Linux 5.10 for ARMv7 multiplatform compatible SoCs supporting LPAE
linux-image-5.10.0-28-armmp-lpae-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-armmp-lpae
linux-image-5.10.0-28-cloud-amd64 (5.10.209-2)
Linux 5.10 for x86-64 cloud (signed)
linux-image-5.10.0-28-cloud-amd64-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-cloud-amd64
linux-image-5.10.0-28-cloud-amd64-unsigned (5.10.209-2)
Linux 5.10 for x86-64 cloud
linux-image-5.10.0-28-cloud-arm64 (5.10.209-2)
Linux 5.10 for arm64 cloud (signed)
linux-image-5.10.0-28-cloud-arm64-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-cloud-arm64
linux-image-5.10.0-28-cloud-arm64-unsigned (5.10.209-2)
Linux 5.10 for arm64 cloud
linux-image-5.10.0-28-loongson-3 (5.10.209-2)
Linux 5.10 for Loongson 3A/3B
linux-image-5.10.0-28-loongson-3-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-loongson-3
linux-image-5.10.0-28-marvell (5.10.209-2)
Linux 5.10 for Marvell Kirkwood/Orion
linux-image-5.10.0-28-marvell-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-marvell
linux-image-5.10.0-28-octeon (5.10.209-2)
Linux 5.10 for Octeon
linux-image-5.10.0-28-octeon-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-octeon
linux-image-5.10.0-28-powerpc64le (5.10.209-2)
Linux 5.10 for Little-endian 64-bit PowerPC
linux-image-5.10.0-28-powerpc64le-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-powerpc64le
linux-image-5.10.0-28-rpi (5.10.209-2)
Linux 5.10 for Raspberry Pi and Pi Zero
linux-image-5.10.0-28-rpi-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-rpi
linux-image-5.10.0-28-rt-686-pae (5.10.209-2)
Linux 5.10 for modern PCs, PREEMPT_RT (signed)
linux-image-5.10.0-28-rt-686-pae-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-rt-686-pae
linux-image-5.10.0-28-rt-686-pae-unsigned (5.10.209-2)
Linux 5.10 for modern PCs, PREEMPT_RT
linux-image-5.10.0-28-rt-amd64 (5.10.209-2)
Linux 5.10 for 64-bit PCs, PREEMPT_RT (signed)
linux-image-5.10.0-28-rt-amd64-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-rt-amd64
linux-image-5.10.0-28-rt-amd64-unsigned (5.10.209-2)
Linux 5.10 for 64-bit PCs, PREEMPT_RT
linux-image-5.10.0-28-rt-arm64 (5.10.209-2)
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT (signed)
linux-image-5.10.0-28-rt-arm64-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-rt-arm64
linux-image-5.10.0-28-rt-arm64-unsigned (5.10.209-2)
Linux 5.10 for 64-bit ARMv8 machines, PREEMPT_RT
linux-image-5.10.0-28-rt-armmp (5.10.209-2)
Linux 5.10 for ARMv7 multiplatform compatible SoCs, PREEMPT_RT
linux-image-5.10.0-28-rt-armmp-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-rt-armmp
linux-image-5.10.0-28-s390x (5.10.209-2)
Linux 5.10 for IBM zSeries
linux-image-5.10.0-28-s390x-dbg (5.10.209-2)
Debug symbols for linux-image-5.10.0-28-s390x
linux-image-5kc-malta (5.10.209-2)
Linux for MIPS Malta (64-bit) (meta-package)
linux-image-5kc-malta-dbg (5.10.209-2)
Debugging symbols for Linux 5kc-malta configuration (meta-package)
linux-image-686 (5.10.209-2)
Linux for older PCs (meta-package)
linux-image-686-dbg (5.10.209-2)
Debugging symbols for Linux 686 configuration (meta-package)
linux-image-686-pae (5.10.209-2)
Linux for modern PCs (meta-package)
linux-image-686-pae-dbg (5.10.209-2)
Debugging symbols for Linux 686-pae configuration (meta-package)
linux-image-amd64 (5.10.209-2)
Linux for 64-bit PCs (meta-package)
linux-image-amd64-dbg (5.10.209-2)
Debugging symbols for Linux amd64 configuration (meta-package)
linux-image-amd64-signed-template (5.10.209-2)
Template for signed linux-image packages for amd64
linux-image-arm64 (5.10.209-2)
Linux for 64-bit ARMv8 machines (meta-package)
linux-image-arm64-dbg (5.10.209-2)
Debugging symbols for Linux arm64 configuration (meta-package)
linux-image-arm64-signed-template (5.10.209-2)
Template for signed linux-image packages for arm64
linux-image-armmp (5.10.209-2)
Linux for ARMv7 multiplatform compatible SoCs (meta-package)
linux-image-armmp-dbg (5.10.209-2)
Debugging symbols for Linux armmp configuration (meta-package)
linux-image-armmp-lpae (5.10.209-2)
Linux for ARMv7 multiplatform compatible SoCs supporting LPAE (meta-package)
linux-image-armmp-lpae-dbg (5.10.209-2)
Debugging symbols for Linux armmp-lpae configuration (meta-package)
linux-image-cloud-amd64 (5.10.209-2)
Linux for x86-64 cloud (meta-package)
linux-image-cloud-amd64-dbg (5.10.209-2)
Debugging symbols for Linux cloud-amd64 configuration (meta-package)
linux-image-cloud-arm64 (5.10.209-2)
Linux for arm64 cloud (meta-package)
linux-image-cloud-arm64-dbg (5.10.209-2)
Debugging symbols for Linux cloud-arm64 configuration (meta-package)
linux-image-generic
本虛擬套件由這些套件填實: linux-image-686-pae, linux-image-arm64, linux-image-powerpc64le, linux-image-armmp, linux-image-s390x, linux-image-amd64
linux-image-i386-signed-template (5.10.209-2)
Template for signed linux-image packages for i386
linux-image-loongson-3 (5.10.209-2)
Linux for Loongson 3A/3B (meta-package)
linux-image-loongson-3-dbg (5.10.209-2)
Debugging symbols for Linux loongson-3 configuration (meta-package)
linux-image-marvell (5.10.209-2)
Linux for Marvell Kirkwood/Orion (meta-package)
linux-image-marvell-dbg (5.10.209-2)
Debugging symbols for Linux marvell configuration (meta-package)
linux-image-octeon (5.10.209-2)
Linux for Octeon (meta-package)
linux-image-octeon-dbg (5.10.209-2)
Debugging symbols for Linux octeon configuration (meta-package)
linux-image-powerpc64le (5.10.209-2)
Linux for Little-endian 64-bit PowerPC (meta-package)
linux-image-powerpc64le-dbg (5.10.209-2)
Debugging symbols for Linux powerpc64le configuration (meta-package)
linux-image-rpi (5.10.209-2)
Linux for Raspberry Pi and Pi Zero (meta-package)
linux-image-rpi-dbg (5.10.209-2)
Debugging symbols for Linux rpi configuration (meta-package)
linux-image-rt-686-pae (5.10.209-2)
Linux for modern PCs (meta-package)
linux-image-rt-686-pae-dbg (5.10.209-2)
Debugging symbols for Linux rt-686-pae configuration (meta-package)
linux-image-rt-amd64 (5.10.209-2)
Linux for 64-bit PCs (meta-package)
linux-image-rt-amd64-dbg (5.10.209-2)
Debugging symbols for Linux rt-amd64 configuration (meta-package)
linux-image-rt-arm64 (5.10.209-2)
Linux for 64-bit ARMv8 machines (meta-package)
linux-image-rt-arm64-dbg (5.10.209-2)
Debugging symbols for Linux rt-arm64 configuration (meta-package)
linux-image-rt-armmp (5.10.209-2)
Linux for ARMv7 multiplatform compatible SoCs (meta-package)
linux-image-rt-armmp-dbg (5.10.209-2)
Debugging symbols for Linux rt-armmp configuration (meta-package)
linux-image-s390x (5.10.209-2)
Linux for IBM zSeries (meta-package)
linux-image-s390x-dbg (5.10.209-2)
Debugging symbols for Linux s390x configuration (meta-package)
linux-initramfs-tool
本虛擬套件由這些套件填實: dracut, initramfs-tools, tiny-initramfs
linux-kbuild-5.10 (5.10.209-2)
Kbuild infrastructure for Linux 5.10
linux-kernel-headers-alpha-cross
本虛擬套件由這些套件填實: linux-libc-dev-alpha-cross
linux-kernel-headers-amd64-cross
本虛擬套件由這些套件填實: linux-libc-dev-amd64-cross
linux-kernel-headers-arm64-cross
本虛擬套件由這些套件填實: linux-libc-dev-arm64-cross
linux-kernel-headers-armel-cross
本虛擬套件由這些套件填實: linux-libc-dev-armel-cross
linux-kernel-headers-armhf-cross
本虛擬套件由這些套件填實: linux-libc-dev-armhf-cross
linux-kernel-headers-hppa-cross
本虛擬套件由這些套件填實: linux-libc-dev-hppa-cross
linux-kernel-headers-i386-cross
本虛擬套件由這些套件填實: linux-libc-dev-i386-cross
linux-kernel-headers-m68k-cross
本虛擬套件由這些套件填實: linux-libc-dev-m68k-cross
linux-kernel-headers-mips-cross
本虛擬套件由這些套件填實: linux-libc-dev-mips-cross
linux-kernel-headers-mips64-cross
本虛擬套件由這些套件填實: linux-libc-dev-mips64-cross
linux-kernel-headers-mips64el-cross
本虛擬套件由這些套件填實: linux-libc-dev-mips64el-cross
linux-kernel-headers-mips64r6-cross
本虛擬套件由這些套件填實: linux-libc-dev-mips64r6-cross
linux-kernel-headers-mips64r6el-cross
本虛擬套件由這些套件填實: linux-libc-dev-mips64r6el-cross
linux-kernel-headers-mipsel-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsel-cross
linux-kernel-headers-mipsn32-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32-cross
linux-kernel-headers-mipsn32el-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32el-cross
linux-kernel-headers-mipsn32r6-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32r6-cross
linux-kernel-headers-mipsn32r6el-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32r6el-cross
linux-kernel-headers-mipsr6-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsr6-cross
linux-kernel-headers-mipsr6el-cross
本虛擬套件由這些套件填實: linux-libc-dev-mipsr6el-cross
linux-kernel-headers-powerpc-cross
本虛擬套件由這些套件填實: linux-libc-dev-powerpc-cross
linux-kernel-headers-ppc64-cross
本虛擬套件由這些套件填實: linux-libc-dev-ppc64-cross
linux-kernel-headers-ppc64el-cross
本虛擬套件由這些套件填實: linux-libc-dev-ppc64el-cross
linux-kernel-headers-riscv64-cross
本虛擬套件由這些套件填實: linux-libc-dev-riscv64-cross
linux-kernel-headers-s390x-cross
本虛擬套件由這些套件填實: linux-libc-dev-s390x-cross
linux-kernel-headers-sh4-cross
本虛擬套件由這些套件填實: linux-libc-dev-sh4-cross
linux-kernel-headers-sparc64-cross
本虛擬套件由這些套件填實: linux-libc-dev-sparc64-cross
linux-kernel-headers-x32-cross
本虛擬套件由這些套件填實: linux-libc-dev-x32-cross
linux-kernel-log-daemon
本虛擬套件由這些套件填實: rsyslog, busybox-syslogd, syslog-ng-core, inetutils-syslogd, socklog-run
linux-latest-image-dbg
本虛擬套件由這些套件填實: linux-image-5kc-malta-dbg, linux-image-rt-armmp-dbg, linux-image-rt-686-pae-dbg, linux-image-4kc-malta-dbg, linux-image-rpi-dbg, linux-image-cloud-amd64-dbg, linux-image-686-dbg, linux-image-rt-arm64-dbg, linux-image-marvell-dbg, linux-image-arm64-dbg, linux-image-686-pae-dbg, linux-image-armmp-dbg, linux-image-octeon-dbg, linux-image-rt-amd64-dbg, linux-image-s390x-dbg, linux-image-armmp-lpae-dbg, linux-image-cloud-arm64-dbg, linux-image-amd64-dbg, linux-image-powerpc64le-dbg, linux-image-loongson-3-dbg
linux-latest-modules-5.10.0-27-4kc-malta
本虛擬套件由這些套件填實: linux-image-4kc-malta
linux-latest-modules-5.10.0-27-5kc-malta
本虛擬套件由這些套件填實: linux-image-5kc-malta
linux-latest-modules-5.10.0-27-686
本虛擬套件由這些套件填實: linux-image-686
linux-latest-modules-5.10.0-27-686-pae
本虛擬套件由這些套件填實: linux-image-686-pae
linux-latest-modules-5.10.0-27-amd64
本虛擬套件由這些套件填實: linux-image-amd64
linux-latest-modules-5.10.0-27-arm64
本虛擬套件由這些套件填實: linux-image-arm64
linux-latest-modules-5.10.0-27-armmp
本虛擬套件由這些套件填實: linux-image-armmp
linux-latest-modules-5.10.0-27-armmp-lpae
本虛擬套件由這些套件填實: linux-image-armmp-lpae
linux-latest-modules-5.10.0-27-cloud-amd64
本虛擬套件由這些套件填實: linux-image-cloud-amd64
linux-latest-modules-5.10.0-27-cloud-arm64
本虛擬套件由這些套件填實: linux-image-cloud-arm64
linux-latest-modules-5.10.0-27-loongson-3
本虛擬套件由這些套件填實: linux-image-loongson-3
linux-latest-modules-5.10.0-27-marvell
本虛擬套件由這些套件填實: linux-image-marvell
linux-latest-modules-5.10.0-27-octeon
本虛擬套件由這些套件填實: linux-image-octeon
linux-latest-modules-5.10.0-27-powerpc64le
本虛擬套件由這些套件填實: linux-image-powerpc64le
linux-latest-modules-5.10.0-27-rpi
本虛擬套件由這些套件填實: linux-image-rpi
linux-latest-modules-5.10.0-27-rt-686-pae
本虛擬套件由這些套件填實: linux-image-rt-686-pae
linux-latest-modules-5.10.0-27-rt-amd64
本虛擬套件由這些套件填實: linux-image-rt-amd64
linux-latest-modules-5.10.0-27-rt-arm64
本虛擬套件由這些套件填實: linux-image-rt-arm64
linux-latest-modules-5.10.0-27-rt-armmp
本虛擬套件由這些套件填實: linux-image-rt-armmp
linux-latest-modules-5.10.0-27-s390x
本虛擬套件由這些套件填實: linux-image-s390x
linux-latest-modules-5.10.0-28-4kc-malta
本虛擬套件由這些套件填實: linux-image-4kc-malta
linux-latest-modules-5.10.0-28-5kc-malta
本虛擬套件由這些套件填實: linux-image-5kc-malta
linux-latest-modules-5.10.0-28-686
本虛擬套件由這些套件填實: linux-image-686
linux-latest-modules-5.10.0-28-686-pae
本虛擬套件由這些套件填實: linux-image-686-pae
linux-latest-modules-5.10.0-28-amd64
本虛擬套件由這些套件填實: linux-image-amd64
linux-latest-modules-5.10.0-28-arm64
本虛擬套件由這些套件填實: linux-image-arm64
linux-latest-modules-5.10.0-28-armmp
本虛擬套件由這些套件填實: linux-image-armmp
linux-latest-modules-5.10.0-28-armmp-lpae
本虛擬套件由這些套件填實: linux-image-armmp-lpae
linux-latest-modules-5.10.0-28-cloud-amd64
本虛擬套件由這些套件填實: linux-image-cloud-amd64
linux-latest-modules-5.10.0-28-cloud-arm64
本虛擬套件由這些套件填實: linux-image-cloud-arm64
linux-latest-modules-5.10.0-28-loongson-3
本虛擬套件由這些套件填實: linux-image-loongson-3
linux-latest-modules-5.10.0-28-marvell
本虛擬套件由這些套件填實: linux-image-marvell
linux-latest-modules-5.10.0-28-octeon
本虛擬套件由這些套件填實: linux-image-octeon
linux-latest-modules-5.10.0-28-powerpc64le
本虛擬套件由這些套件填實: linux-image-powerpc64le
linux-latest-modules-5.10.0-28-rpi
本虛擬套件由這些套件填實: linux-image-rpi
linux-latest-modules-5.10.0-28-rt-686-pae
本虛擬套件由這些套件填實: linux-image-rt-686-pae
linux-latest-modules-5.10.0-28-rt-amd64
本虛擬套件由這些套件填實: linux-image-rt-amd64
linux-latest-modules-5.10.0-28-rt-arm64
本虛擬套件由這些套件填實: linux-image-rt-arm64
linux-latest-modules-5.10.0-28-rt-armmp
本虛擬套件由這些套件填實: linux-image-rt-armmp
linux-latest-modules-5.10.0-28-s390x
本虛擬套件由這些套件填實: linux-image-s390x
linux-libc-dev (5.10.209-2)
Linux support headers for userspace development
linux-libc-dev-alpha-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-alpha-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-alpha-cross
linux-libc-dev-amd64-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-amd64-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-amd64-cross
linux-libc-dev-arm64-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-arm64-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-arm64-cross
linux-libc-dev-armel-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-armel-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-armel-cross
linux-libc-dev-armhf-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-armhf-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-armhf-cross
linux-libc-dev-hppa-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-hppa-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-hppa-cross
linux-libc-dev-i386-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-i386-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-i386-cross
linux-libc-dev-m68k-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-m68k-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-m68k-cross
linux-libc-dev-mips-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mips-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mips-cross
linux-libc-dev-mips64-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mips64-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mips64-cross
linux-libc-dev-mips64el-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mips64el-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mips64el-cross
linux-libc-dev-mips64r6-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mips64r6-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mips64r6-cross
linux-libc-dev-mips64r6el-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mips64r6el-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mips64r6el-cross
linux-libc-dev-mipsel-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsel-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsel-cross
linux-libc-dev-mipsn32-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsn32-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32-cross
linux-libc-dev-mipsn32el-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsn32el-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32el-cross
linux-libc-dev-mipsn32r6-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsn32r6-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32r6-cross
linux-libc-dev-mipsn32r6el-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsn32r6el-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsn32r6el-cross
linux-libc-dev-mipsr6-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsr6-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsr6-cross
linux-libc-dev-mipsr6el-cross (5.10.28-1cross1)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-mipsr6el-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-mipsr6el-cross
linux-libc-dev-powerpc-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-powerpc-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-powerpc-cross
linux-libc-dev-ppc64-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-ppc64-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-ppc64-cross
linux-libc-dev-ppc64el-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-ppc64el-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-ppc64el-cross
linux-libc-dev-riscv64-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-riscv64-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-riscv64-cross
linux-libc-dev-s390x-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-s390x-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-s390x-cross
linux-libc-dev-sh4-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-sh4-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-sh4-cross
linux-libc-dev-sparc64-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-sparc64-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-sparc64-cross
linux-libc-dev-x32-cross (5.10.13-1cross4)
Linux Kernel Headers for development (for cross-compiling)
linux-libc-dev-x32-dcv1
本虛擬套件由這些套件填實: linux-libc-dev-x32-cross
linux-perf (5.10.209-2)
Performance analysis tools for Linux (meta-package)
linux-perf-5.10 (5.10.209-2)
Performance analysis tools for Linux 5.10
linux-show-player (0.5.2-1)
Free cue player designed for sound-playback in stage productions
linux-source (5.10.209-2)
Linux kernel source (meta-package)
linux-source-5.10 (5.10.209-2)
Linux kernel source for version 5.10 with Debian patches
linux-support-5.10.0-25 (5.10.191-1) [security]
Support files for Linux 5.10
linux-support-5.10.0-26 (5.10.197-1)
Support files for Linux 5.10
linux-support-5.10.0-27 (5.10.205-2) [security]
Support files for Linux 5.10
linux-support-5.10.0-28 (5.10.209-2)
Support files for Linux 5.10
linux-tools-5.10
本虛擬套件由這些套件填實: linux-perf-5.10
linuxdoc-sgml
本虛擬套件由這些套件填實: linuxdoc-tools
linuxdoc-tools (0.9.82-1)
convert LinuxDoc SGML source into other formats
linuxdoc-tools-info (0.9.82-1)
Info output facility of LinuxDoc-Tools
linuxdoc-tools-latex (0.9.82-1)
LaTeX/PS/PDF output facility of LinuxDoc-Tools
linuxdoc-tools-text (0.9.82-1)
Text output facility of LinuxDoc-Tools
linuxinfo (3.3.2-1)
Displays extended system information
(6.0-0.1)
Color ANSI System Logo
linuxptp (3.1-2.1)
Precision Time Protocol (PTP, IEEE1588) implementation for Linux
lios (2.7.2-2)
Linux intelligent OCR solution
liquidctl (1.5.1-1)
CLI and Python drivers for AIO liquid coolers and other devices
liquidprompt (1.12.0-1)
adaptative prompt for bash & zsh
liquidsoap (1.4.3-3)
audio streaming language
liquidsoap-mode (1.4.3-3)
Emacs mode for editing Liquidsoap code
liquidwar (5.6.5-2)
truly original multiplayer wargame
liquidwar-data (5.6.5-2)
data files for Liquid War
liquidwar-server (5.6.5-2)
Liquid War server
lirc (0.10.1-6.3)
Infra-red remote control support - daemons and utils
lirc-compat-remotes (0.9.0-1.1)
Compatibility remote definitions for lirc
lirc-doc (0.10.1-6.3)
Infra-red remote control support - website and manual docs
lirc-drv-irman (0.5.2-5)
LIRC plugin providing irman compatible devices support
lirc-x (0.10.1-6.3)
infra-red remote control support - X utilities
lisaac (1:0.39~rc1-3.1)
Object-oriented language based on prototype
lisaac-common (1:0.39~rc1-3.1)
Arch-independent part for lisaac
lisaac-doc (1:0.39~rc1-3.1)
Documentation for lisaac
lisaac-mode (1:0.39~rc1-3.1)
Emacs mode for editing Lisaac programs
lisp-compiler
本虛擬套件由這些套件填實: cmucl, ecl, sbcl, clisp
lisp-core
本虛擬套件由這些套件填實: cmucl
listadmin (2.42-1.3)
command line mailman moderator queue manipulation
listserialportsc (1.4.0-1+b1)
list serial ports
litecoin-qt (0.18.1-1.1)
peer-to-peer network based digital currency - Qt GUI
litecoind (0.18.1-1.1)
peer-to-peer network based digital currency - daemon
litl-doc (0.1.9-12)
Lightweight Trace Library - documentation
litl-tools (0.1.9-12)
Lightweight Trace Library - tools
litmus (0.13-3)
WebDAV server test suite
littler (0.3.12-1)
GNU R scripting and command-line front-end -- transition package
littler
本虛擬套件由這些套件填實: r-cran-littler
littlewizard (1.2.2-4.1)
development environment for children
littlewizard-data (1.2.2-4.1)
littlewizard data files
live-boot (1:20210208)
Live System Boot Components
live-boot-backend
本虛擬套件由這些套件填實: live-boot-initramfs-tools
live-boot-doc (1:20210208)
Live System Boot Components (documentation)
live-boot-initramfs-tools (1:20210208)
Live System Boot Components (initramfs-tools backend)
live-build (1:20210407)
Live System Build Components
live-clone (2.4-1)
GUI to clone and manage Live-Build USB sticks
live-config (11.0.3)
Live System Configuration Components
live-config-backend
本虛擬套件由這些套件填實: live-config-systemd, live-config-sysvinit
live-config-doc (11.0.3)
Live System Configuration Components (documentation)
live-config-systemd (11.0.3)
Live System Configuration Components (systemd backend)
live-config-sysvinit (11.0.3)
Live System Configuration Components (sysvinit backend)
live-installer (57+b1 [amd64], 57 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Install the system
live-manual (2:20151217.1)
Live Systems Documentation (metapackage)
live-manual
本虛擬套件由這些套件填實: live-manual-epub, live-manual-odf, live-manual-pdf, live-manual-html, live-manual-txt
live-manual-epub (2:20151217.1)
Live Systems Documentation (epub)
live-manual-html (2:20151217.1)
Live Systems Documentation (html)
live-manual-odf (2:20151217.1)
Live Systems Documentation (odf)
live-manual-pdf (2:20151217.1)
Live Systems Documentation (pdf)
live-manual-txt (2:20151217.1)
Live Systems Documentation (txt)
live-task-base (11.0.3)
Live base environment support
live-task-cinnamon (11.0.3)
Live environment support for Cinnamon
live-task-extra (11.0.3)
Live extra environment support
live-task-gnome (11.0.3)
Live environment support for GNOME
live-task-kde (11.0.3)
Live environment support for KDE
live-task-localisation (11.0.3)
Live environment localisation support
live-task-localisation-desktop (11.0.3)
Live graphical desktop environment localisation support
live-task-lxde (11.0.3)
Live environment support for Lxde
live-task-lxqt (11.0.3)
Live environment support for LXQt
live-task-mate (11.0.3)
Live environment support for Mate
live-task-recommended (11.0.3)
Live recommended environment support
live-task-standard (11.0.3)
Live environment for standard system utilities
live-task-xfce (11.0.3)
Live environment support for Xfce
live-tools (1:20190831)
Live System Extra Components
lives (3.0.2-1.1)
Video Editing system allowing users to edit and create video
lives-data (3.0.2-1.1)
Data files for LiVES
lives-plugins (3.0.2-1.1)
LiVES plugins pack
livescript (1.6.1+dfsg-1)
language which compiles to JavaScript
liwc (1.21-2)
Tools for manipulating C source code
lix (0.9.29-1.1+b1)
Puzzle game inspired by Lemmings
lix-data (0.9.29-1.1+b1)
Puzzle game inspired by Lemmings
lizzie (= 0.7.4+dfsg1-2)
本虛擬套件由這些套件填實: liblizzie-java
lksctp-tools (1.0.18+dfsg-1)
user-space access to Linux kernel SCTP - commandline tools
lld (1:11.0-51+nmu5)
LLVM-based linker
lld-11 (1:11.0.1-2)
LLVM-based linker
lld-13 (1:13.0.1-6~deb11u1)
LLVM-based linker
lld-16 (1:16.0.6-15~deb11u2)
LLVM-based linker
lld-9 (1:9.0.1-16.1)
LLVM-based linker
lldb (1:11.0-51+nmu5)
Next generation, high-performance debugger
lldb-11 (1:11.0.1-2)
Next generation, high-performance debugger
lldb-13 (1:13.0.1-6~deb11u1)
Next generation, high-performance debugger
lldb-16 (1:16.0.6-15~deb11u2)
Next generation, high-performance debugger
lldb-9 (1:9.0.1-16.1)
Next generation, high-performance debugger
lldpad (1.1-1)
Link Layer Discovery Protocol Implementation (Runtime)
lldpad-dev (1.1-1)
Link Layer Discovery Protocol Implementation (Development headers)
lldpd (1.0.11-1+deb11u2)
implementation of IEEE 802.1ab (LLDP)
llgal (0.13.19-1.1)
Command-line online gallery generator
llmnrd (0.5-1+b1 [amd64], 0.5-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Link-Local Multicast Resolution (LLMNR) Daemon for Linux
lloconv (6.1.0-3)
command line document converter using LibreOfficeKit
lltag (0.14.6-1.1)
Automatic command-line mp3/ogg/flac file tagger and renamer
lltdscan (0+20180223-1+b1 [arm64], 0+20180223-1 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Scan for LLTD-enabled hosts on your network
lltsv (0.7.0-1+b6)
List specified keys of LTSV (Labeled Tab Separated Values)
llvm (1:11.0-51+nmu5)
Low-Level Virtual Machine (LLVM)
llvm-11 (1:11.0.1-2)
Modular compiler and toolchain technologies
llvm-11-dev (1:11.0.1-2)
Modular compiler and toolchain technologies, libraries and headers
llvm-11-doc (1:11.0.1-2)
Modular compiler and toolchain technologies, documentation
llvm-11-examples (1:11.0.1-2)
Modular compiler and toolchain technologies, examples
llvm-11-runtime (1:11.0.1-2)
Modular compiler and toolchain technologies, IR interpreter
llvm-11-tools (1:11.0.1-2)
Modular compiler and toolchain technologies, tools
llvm-13 (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies
llvm-13-dev (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, libraries and headers
llvm-13-doc (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, documentation
llvm-13-examples (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, examples
llvm-13-linker-tools (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies - Plugins
llvm-13-runtime (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, IR interpreter
llvm-13-tools (1:13.0.1-6~deb11u1)
Modular compiler and toolchain technologies, tools
llvm-16 (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies
llvm-16-dev (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, libraries and headers
llvm-16-doc (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, documentation
llvm-16-examples (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, examples
llvm-16-linker-tools (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies - Plugins
llvm-16-runtime (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, IR interpreter
llvm-16-tools (1:16.0.6-15~deb11u2)
Modular compiler and toolchain technologies, tools
llvm-9 (1:9.0.1-16.1)
Modular compiler and toolchain technologies
llvm-9-dev (1:9.0.1-16.1)
Modular compiler and toolchain technologies, libraries and headers
llvm-9-doc (1:9.0.1-16.1)
Modular compiler and toolchain technologies, documentation
llvm-9-examples (1:9.0.1-16.1)
Modular compiler and toolchain technologies, examples
llvm-9-runtime (1:9.0.1-16.1)
Modular compiler and toolchain technologies, IR interpreter
llvm-9-tools (1:9.0.1-16.1)
Modular compiler and toolchain technologies, tools
llvm-dev (1:11.0-51+nmu5)
Low-Level Virtual Machine (LLVM), libraries and headers
llvm-runtime (1:11.0-51+nmu5)
Low-Level Virtual Machine (LLVM), bytecode interpreter
llvm-spirv (11.0.0-1)
bi-directional translator for LLVM/SPIRV
llvmlite-doc (0.35.0-3)
LLVM Python binding for writing JIT compilers (docs)
lm-sensors (1:3.6.0-7)
utilities to read temperature/voltage/fan sensors
lm4flash (3:0.1.3-1+b1 [amd64], 3:0.1.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Command-line firmware flashing tool to communicate with the Stellaris Launchpad
lmarbles (1.0.8-0.2)
game where you build figures out of colored marbles
lmbench (3.0-a9+debian.1-3) [non-free]
Utilities to benchmark UNIX systems
lmbench-doc (3.0-a9+debian.1-3) [non-free]
Documentation for the lmbench benchmark suite
lmdb-doc (0.9.24-1)
Lightning Memory-Mapped Database doxygen documentation
lmdb-go-tools (1.8.0+git20170215.a14b5a3-2)
utilities for command-line lmdb usage
lmdb-utils (0.9.24-1)
Lightning Memory-Mapped Database Utilities
lmemory (0.6c-10)
Children's game based on the "memory" card game
lmicdiusb (3:0.1.3-1+b1 [amd64], 3:0.1.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Remote GDB socket over USB for Stellaris Launchpad ICDI
lmms (1.2.2+dfsg1-2+b2 [i386], 1.2.2+dfsg1-2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Linux Multimedia Studio
lmms-common (1.2.2+dfsg1-2)
Linux Multimedia Studio - common files
lmms-vst-server (1.2.2+dfsg1-2+b2)
Linux Multimedia Studio - VST server & plugins
lmod (6.6-0.4)
Lua based environment modules
lmodern (2.004.5-6.1)
scalable PostScript and OpenType fonts based on Computer Modern
lnav (0.9.0-1)
ncurses-based log file viewer
lnpd (0.9.0-11+b2)
daemon for LNP communication with BrickOS
load-cdrom (1.58)
Load installer components from CD
load-iso (1.85)
Load installer components from an installer ISO
load-media (1.55)
Load installer components from removable media
loadlin (1.6f-10)
loader (running under DOS) for LINUX kernel images
loadwatch (1.0+1.1alpha1-6+b1)
Run a program using only idle cycles
local-apt-repository (0.6+nmu1)
Ready to use local apt repository
localechooser (2.93)
choose language/country/locale
localehelper (0.1.4-3)
locale helper tool
localepurge (0.7.3.10)
reclaim disk space by removing unneeded localizations
locales (2.31-13+deb11u8)
GNU C Library: National Language (locale) data [support]
locales-all (2.31-13+deb11u8)
GNU C Library: Precompiled locale data
localslackirc (1.11-1)
IRC gateway for slack, running on localhost for one user
locate (4.8.0-1)
maintain and query an index of a directory tree
lockfile-progs (0.1.18)
Programs for locking and unlocking files and mailboxes
lockout (0.2.3-7)
Self-imposed discipline and productivity enforcer
logapp (0.16-1)
supervise execution of applications producing heavy output
logcheck (1.3.23)
mails anomalies in the system logfiles to the administrator
logcheck-database (1.3.23)
database of system log rules for the use of log checkers
logdata-anomaly-miner (2.2.2-1)
tool for log analysis pipelines
loggedfs (0.9+ds-3)
User-controllable logging file system
loggerhead (1.19~bzr511-1)
Web viewer for Breezy
loggerhead-doc (1.19~bzr511-1)
Web viewer for Breezy (documentation)
logidee-tools (1.2.19)
Tools to write courses in XML and export them to various formats
login (1:4.8.1-1)
system login tools
login-duo (1.11.3-1)
login wrapper for Duo Security two-factor authentication
logind (= 246.9.1-1+debian1)
本虛擬套件由這些套件填實: libpam-elogind
logind (= 247.3-7+deb11u4)
本虛擬套件由這些套件填實: libpam-systemd
logisim (2.7.1~dfsg-4)
graphical tool for designing and simulating logic circuits
logol (1.7.9-3)
Pattern matching tool using Logol language
logol-bin (1.7.9-3)
Pattern matching tool using Logol language
logrotate (3.18.0-2+deb11u2)
紀錄循環工具
logsave (1.46.2-2)
save the output of a command in a log file
logstalgia (1.1.0-2+b1)
web server access log visualizer
logswan (2.1.8-1)
fast Web log analyzer using probabilistic data structures
logtail (1.3.23)
Print log file lines that have not been read
logtool (1.2.8-11)
Syslog-style logfile parser with lots of output options
logtools (0.13e+nmu1)
Russell's misc tools for managing log files.
logtop (0.4.3-1+b3)
real time log line rate analyzer
logwatch (7.5.5-1)
log analyser with nice output written in Perl
lojban-common (1.5+dfsg.1-3)
commonly-used wordlists for the Lojban language
lokalize (4:20.12.0-1)
computer-aided translation system
loki (2.4.7.4-10)
MCMC linkage analysis on general pedigrees
loki-doc (2.4.7.4-10)
MCMC linkage analysis on general pedigrees (PS manual)
lola (1.4-1)
LL parser generator
lolcat (100.0.1-3)
colorful `cat`
lollypop (1.4.14-1)
modern music player
lomiri-app-launch (0.0.90-7)
User space daemon for launching applications
lomiri-app-launch-tools (0.0.90-7)
Tools for working wtih launched applications
lomiri-app-test (0.0.90-7)
Small application to help test apps
lomiri-application-impl
本虛擬套件由這些套件填實: qml-module-qtmir
lomiri-application-impl-27
本虛擬套件由這些套件填實: qml-module-qtmir
lomiri-download-manager (0.1.0-8)
Lomiri Download Manager - daemon
lomiri-upload-manager (0.1.0-8)
Lomiri Upload Manager - daemon
lomiri-url-dispatcher (0.1.0-4)
Lomiri Operating Environment service for requesting URLs to be opened
lomiri-url-dispatcher-testability (0.1.0-4)
Fake Lomiri URL Dispatcher for use in testing
lomiri-url-dispatcher-tools (0.1.0-4)
Tools for working with the Lomiri URL Dispatcher
lomiri-url-dispatcher-tools-gui (0.1.0-4)
GUI tools for working with the Lomiri URL Dispatcher
lomoco (1.0.0-4)
Logitech Mouse Control for USB mice
londiste3
本虛擬套件由這些套件填實: python3-londiste
longrun (0.9-22.1)
Transmeta Crusoe LongRun control utility
lookatme (2.3.0-1)
interactive command-line presentation tool
looking-glass-client (0+b1-5)
Low latency KVM FrameRelay implementation for VGA Passthrough
lookup (1.08b-13)
interactive utility to search text files quickly
lookup-el (1.4.1-20)
emacsen interface to electronic dictionaries
loook (0.9.0-1)
Search strings in ODF and OOXML documents
loop-modules
本虛擬套件由這些套件填實: loop-modules-5.10.0-26-amd64-di, loop-modules-5.10.0-28-s390x-di, loop-modules-5.10.0-27-686-pae-di, loop-modules-5.10.0-27-4kc-malta-di, loop-modules-5.10.0-28-powerpc64le-di, loop-modules-5.10.0-28-armmp-di, loop-modules-5.10.0-26-4kc-malta-di, loop-modules-5.10.0-26-loongson-3-di, loop-modules-5.10.0-26-arm64-di, loop-modules-5.10.0-26-s390x-di, loop-modules-5.10.0-26-5kc-malta-di, loop-modules-5.10.0-25-amd64-di, loop-modules-5.10.0-26-armmp-di, loop-modules-5.10.0-28-5kc-malta-di, loop-modules-5.10.0-28-arm64-di, loop-modules-5.10.0-28-686-di, loop-modules-5.10.0-26-marvell-di, loop-modules-5.10.0-27-686-di, loop-modules-5.10.0-28-octeon-di, loop-modules-5.10.0-27-s390x-di, loop-modules-5.10.0-25-686-di, loop-modules-5.10.0-25-4kc-malta-di, loop-modules-5.10.0-28-amd64-di, loop-modules-5.10.0-26-686-di, loop-modules-5.10.0-27-marvell-di, loop-modules-5.10.0-27-armmp-di, loop-modules-5.10.0-25-loongson-3-di, loop-modules-5.10.0-27-powerpc64le-di, loop-modules-5.10.0-25-armmp-di, loop-modules-5.10.0-27-octeon-di, loop-modules-5.10.0-25-marvell-di, loop-modules-5.10.0-27-arm64-di, loop-modules-5.10.0-25-arm64-di, loop-modules-5.10.0-25-s390x-di, loop-modules-5.10.0-25-powerpc64le-di, loop-modules-5.10.0-27-5kc-malta-di, loop-modules-5.10.0-26-powerpc64le-di, loop-modules-5.10.0-28-marvell-di, loop-modules-5.10.0-26-686-pae-di, loop-modules-5.10.0-27-amd64-di, loop-modules-5.10.0-27-loongson-3-di, loop-modules-5.10.0-25-5kc-malta-di, loop-modules-5.10.0-28-loongson-3-di, loop-modules-5.10.0-28-686-pae-di, loop-modules-5.10.0-25-686-pae-di, loop-modules-5.10.0-25-octeon-di, loop-modules-5.10.0-28-4kc-malta-di, loop-modules-5.10.0-26-octeon-di
loop-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-686-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
Loopback filesystem support
loop-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-686-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-686-pae-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-amd64-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-arm64-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-armmp-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-marvell-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-octeon-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-26-s390x-di (5.10.197-1)
Loopback filesystem support
loop-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-686-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
Loopback filesystem support
loop-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-686-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-686-pae-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-amd64-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-arm64-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-armmp-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-marvell-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-octeon-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Loopback filesystem support
loop-modules-5.10.0-28-s390x-di (5.10.209-2)
Loopback filesystem support
loqui (0.6.4-4)
GTK+ based multipane IRC/Chat Client
lordsawar (0.3.2+frogknows-1)
Clone of the popular SSG game Warlords II
lordsawar-data (0.3.2+frogknows-1)
Clone of the popular SSG game Warlords II - data files
lorene (0.0.0~cvs20161116+dfsg-1)
framework for numerical relativity
lorene-codes-src (0.0.0~cvs20161116+dfsg-1)
source files of LORENE-based codes
lorene-doc (0.0.0~cvs20161116+dfsg-1)
documentation for LORENE
lostirc (0.4.6-4.2+b1)
simple gtk-based IRC client
loudgain (0.6.8+ds-1+b1 [amd64], 0.6.8+ds-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ReplayGain 2.0 loudness normalizer based on the EBU R128 standard
love (11.3-1)
2D game development framework based on Lua and OpenGL
lowdown (0.8.2-1)
Simple markdown translator
lowmem (1.50)
free memory for lowmem install
lowmemcheck (1.50)
detect low-memory systems and enter lowmem mode
lp-solve (5.5.2.5-2)
Solve (mixed integer) linear programming problems
lp-solve-doc (5.5.2.5-2)
Solve (mixed integer) linear programming problems - documentation
lpc21isp (1.97-4+b1 [amd64], 1.97-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
In-circuit programming (ISP) of ARM and Cortex microcontrollers
lpctools (1.07-1)
interface to NXP LPC Microcontrollers ISP serial interface
lpe (1.2.8-2.1)
Lightweight Programmer's Editor
lphdisk (0.9.1.ds1-3+b1)
prepares hibernation partition for Phoenix NoteBIOS
lpr (1:2008.05.17.3)
BSD lpr/lpd 行式印表機貯列系統
lpr
本虛擬套件由這些套件填實: cups-bsd, lprng
lprint (1.0-1)
Label Printer
lprng (3.8.B-5)
lpr/lpd printer spooling system
lprng-doc (3.8.A~rc2-3.1)
lpr/lpd printer spooling system (documentation)
lptools (0.2.0-7)
Tools for working with Launchpad
lqa (20191129~git41a4806-2)
lava qa tool
lr (1.5.1-3)
list files, recursively
lrcalc (1.2-2+b1)
programs for calculating Littlewood-Richardson coefficients
lrslib (0.71a-1)
package to enumerate vertices and extreme rays of a convex polyhedron
lrzip (0.641-1+deb11u1)
compression program with a very high compression ratio
lrzsz (0.12.21-10+b1 [amd64], 0.12.21-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tools for zmodem/xmodem/ymodem file transfer
lsb-base (11.1.0)
Linux Standard Base init script functionality
lsb-release (11.1.0)
Linux Standard Base version reporting utility
lscolors (0.7.1-1+b1)
Colorize paths using the LS_COLORS environment variable
lsdb (0.11-10.2)
The Lovely Sister Database (email rolodex) for Emacs
lsdvd (0.17-1+b3)
read the content info of a DVD
lsh-client (2.1-13)
Secure Shell v2 (SSH2) protocol client
lsh-doc (2.1-13)
Secure Shell v2 (SSH2) documentation
lsh-server (2.1-13)
Secure Shell v2 (SSH2) protocol server
lsh-utils (2.1-13)
Secure Shell v2 (SSH2) protocol utilities
lshw (02.18.85-0.7)
information about hardware configuration
lshw-gtk (02.18.85-0.7)
graphical information about hardware configuration
lskat (4:20.12.1-1)
Lieutnant Skat card game
lskat-data (4:20.12.1-1)
Lieutnant Skat card game - data files
lsm (1.0.4-2)
Link connectivity monitor tool
lsmbox (2.1.3-1+b4)
List number of total/unread messages for mailboxes
lsmount (0.2.4-1)
is a small program for formatting the /proc/mounts output
lsof (4.93.2+dfsg-1.1)
utility to list open files
lsp-plugins (1.1.29-1)
LSP (Linux Studio Plugins) audio-plugins (metapackage)
lsp-plugins-jack (1.1.29-1)
LSP (Linux Studio Plugins) audio-plugins (standalone Jack clients)
lsp-plugins-ladspa (1.1.29-1)
LSP (Linux Studio Plugins) audio-plugins (ladspa plugins)
lsp-plugins-lv2 (1.1.29-1)
LSP (Linux Studio Plugins) audio-plugins (LV2 plugins)
lsp-plugins-vst (1.1.29-1)
LSP (Linux Studio Plugins) audio-plugins (VST plugins)
lsscsi (0.31-1+b1 [amd64], 0.31-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
list all SCSI devices (or hosts) currently on system
lsvpd (1.7.11-1)
Utility to List Device Vital Product Data (VPD)
lsw
本虛擬套件由這些套件填實: suckless-tools
lswm (0.6.91-2+b1)
wiimote discover utility
lsyncd (2.2.3-1+b1 [amd64], 2.2.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
daemon to synchronize local directories using rsync
ltpanel (0.2-8)
lightweight tasklist panel for minimalist WMs
ltrace (0.7.3-6.1)
Tracks runtime library calls in dynamically linked programs
ltris (1.0.19-3+b1)
very polished Tetris clone with CPU opponents
ltrsift (1.0.2-9)
postprocessing and classification of LTR retrotransposons
ltsp (21.01-1+deb11u1)
Linux Terminal Server Project
lttng-modules-dkms (2.12.5-1+deb11u1)
Linux Trace Toolkit (LTTng) kernel modules (DKMS)
lttng-tools (2.12.3-1)
LTTng control and utility programs
lttoolbox (3.5.3-1)
Apertium lexical processing modules and tools
lttoolbox-dev (3.5.3-1)
Development tools and library for lttoolbox
ltunify (0.3-1+b1 [amd64], 0.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
manage Logitech unifying receivers
lua
本虛擬套件由這些套件填實: lua50, lua5.4, lua5.3, lua5.2, lua5.1
lua-ansicolors (1.0.2-3)
simple Lua function for printing to the console in color
lua-any (27)
helper script for shebang lines in Lua scripts
lua-argparse (0.6.0-1.1)
feature-rich command line parser for Lua language
lua-augeas (0.1.1-3+b1)
Lua binding to the Augeas C API
lua-basexx (0.3-2.1)
baseXX encoding/decoding library for Lua
lua-binaryheap (0.4-2)
Binary heap implementation in Lua
lua-bit32 (5.3.0-3)
Backport of the Lua 5.2 bit32 library to Lua 5.1
lua-bit32-dev (5.3.0-3)
Development files for the bit32 library for Lua 5.1
lua-bitop (1.0.2-5)
fast bit manipulation library for the Lua language
lua-bitop-dev (1.0.2-5)
fast bit manipulation library devel files for the Lua language
lua-busted (2.0.0-1)
Lua unit testing framework focused on ease of use
lua-cgi (5.2~alpha2-1.1)
CGI library for the Lua language
lua-check (0.24.0-2)
static analyzer and a linter for the Lua language
lua-cjson (2.1.0+dfsg-2.1)
JSON parser/encoder for Lua
lua-cjson-dev (2.1.0+dfsg-2.1)
JSON parser/encoder for Lua, development files
lua-cliargs (3.0-2-1)
command-line argument parsing module for Lua
lua-compat53 (0.7-2)
Lua-5.3-style APIs for Lua 5.2 and 5.1
lua-compat53-dev (0.7-2)
Lua-5.3-style APIs for Lua 5.2 and 5.1 (development files)
lua-copas (1.2.0-1.1)
Copas is a dispatcher of concurrent TCP/IP requests
lua-cosmo (13.01.30-2.1)
Template library for the Lua language
lua-coxpcall (1.17.0-1)
Protected function calls across coroutines for Lua
lua-cqueues (20200726-1)
event loop for Lua
lua-cqueues-dev (20200726-1)
event loop for Lua (development package)
lua-curl (0.3.0-9.2)
libcURL bindings for the Lua language
lua-curl-dev (0.3.0-9.2)
libcURL development files for the Lua language
lua-curses (1:9.0.0-2+b1)
curses library bindings for the Lua language
lua-curses-dev (1:9.0.0-2+b1)
Development files for the lua-curses library
lua-cyrussasl (1.1.0-2)
Cyrus SASL library for the Lua language
lua-cyrussasl-dev (1.1.0-2)
Cyrus SASL development files for the Lua language
lua-dbi-common (0.7.2-2)
DBI library for the Lua language, common files
lua-dbi-mysql (0.7.2-2)
DBI library for the Lua language, MySQL backend
lua-dbi-mysql-dev (0.7.2-2)
DBI library for the Lua language, MySQL development files
lua-dbi-postgresql (0.7.2-2)
DBI library for the Lua language, PostgreSQL backend
lua-dbi-postgresql-dev (0.7.2-2)
DBI library for the Lua language, PostgreSQL development files
lua-dbi-sqlite3 (0.7.2-2)
DBI library for the Lua language, sqlite3 backend
lua-dbi-sqlite3-dev (0.7.2-2)
DBI library for the Lua language, sqlite3 development files
lua-discount (2.1.8-3+b1)
Discount library for the Lua language
lua-discount-dev (2.1.8-3+b1)
discount development files for the Lua language
lua-dkjson (2.5-3)
JSON module written in Lua
lua-doc (3.0.1+gitdb9e868-1.1)
Documentation generator for lua
lua-event (0.4.6-1+b2)
asynchronous event notification library for Lua
lua-event-dev (0.4.6-1+b2)
libevent development files for the Lua language
lua-expat (1.3.0-4+b1)
libexpat bindings for the Lua language
lua-expat-dev (1.3.0-4+b1)
libexpat development files for the Lua language
lua-fifo (0.2-3.1)
FIFO implementation for Lua
lua-filesystem (1.8.0-1)
luafilesystem library for the Lua language
lua-filesystem-dev (1.8.0-1)
luafilesystem development files for the Lua language
lua-geoip (0.2-1)
GeoIP library bindings for the Lua language
lua-geoip-dev (0.2-1)
Development files for lua-geoip library
lua-guestfs (1:1.44.0-2)
guest disk image management system - Lua bindings
lua-hamlib (4.0-7)
Run-time Lua library to control radio transceivers and receivers
lua-hamlib2 (4.0-7)
Transitional package depending on lua-hamlib
lua-hamlib2
本虛擬套件由這些套件填實: lua-hamlib
lua-http (0.4-1)
HTTP library for Lua
lua-iconv (7-3+b1)
iconv bindings for the Lua programming language
lua-iconv-dev (7-3+b1)
iconv bindings for Lua - development files
lua-inifile (1.0-2.1)
simple, complete ini parser for Lua
lua-inotify (0.5-1)
inotify bindings for Lua
lua-inotify-dev (0.5-1)
inotify bindings for Lua (development files)
lua-inspect (3.1.1-2)
Lua table visualizer, ideal for debugging
lua-json (1.3.4-2)
JSON decoder/encoder for Lua
lua-ldap (1.2.5-1+b1)
LDAP library for the Lua language
lua-ldap-dev (1.2.5-1+b1)
LDAP development files for the Lua language
lua-ldoc (1.4.6-1)
LuaDoc-compatible documentation generation system
lua-leg (0.1.3-1.1)
Lua 5.1 grammar, with parsing and manipulation facilities
lua-leg-dev (0.1.3-1.1)
Leg development files for the Lua language
lua-lemock (0.6-3)
LeMock (Lua Easy Mock) for unit test
lua-lemock-dev (0.6-3)
LeMock (Lua Easy Mock) for unit test
lua-lgi (0.9.2-2+b1)
Lua bridge to GObject based libraries
lua-lgi-dev (0.9.2-2+b1)
lgi development files for the Lua language
lua-ljsyscall (0.12-1.1)
Unix system calls for LuaJIT
lua-logging (1.3.0-1.1)
Logging library for the Lua language
lua-lpeg (1.0.2-1)
LPeg library for the Lua language
lua-lpeg-dev (1.0.2-1)
LPeg development files for the Lua language
lua-lpeg-patterns (0.4-1)
collection of LPEG patterns
lua-lpty (1.0.1-1.1)
PTY library for the Lua language
lua-lpty-dev (1.0.1-1.1)
PTY library for the Lua language - development files
lua-luaossl (20161214-1+b1)
OpenSSL bindings for Lua
lua-luaossl-dev (20161214-1+b1)
OpenSSL bindings for Lua (development package)
lua-luassert (1.8.0-1)
Lua assertions extension
lua-luv (1.36.0-0-1)
libuv bindings for Lua
lua-luv-dev (1.36.0-0-1)
libuv bindings for Lua (development files)
lua-lxc (1:3.0.2-1+b1 [amd64], 1:3.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Linux Containers userspace tools (Lua bindings)
lua-markdown (0.32-5.1)
Pure Lua 5.1 implementation of the Markdown text-to-html markup
lua-md5 (1.2+git+1+8d87fee-1.1)
MD5 library for the Lua language
lua-md5-dev (1.2+git+1+8d87fee-1.1)
MD5 library for the Lua language
lua-mediator (1.1.2-0-3)
Mediator pattern implementation in Lua
lua-messagepack (0.5.2-1)
pure Lua implementation of MessagePack
lua-mmdb (0.1-1.1)
IP geolocation library for Lua
lua-moses (2.1.0-1)
Utility library for functional programming in Lua
lua-mpack (1.0.7-2)
libmpack binding for the Lua language
lua-nginx-cookie (0.1.0-1.1)
Pure Lua cookie parser for the nginx embedded Lua language
lua-nginx-dns (0.20-1)
DNS resolver for the nginx embedded Lua language
lua-nginx-kafka (0.07-1)
Pure Lua Kafka producer for the nginx embedded Lua language
lua-nginx-memcached (0.14-1.1)
Pure Lua memcached client driver for the nginx embedded Lua language
lua-nginx-redis (0.27-1.1)
Pure Lua redis client driver for the nginx embedded Lua language
lua-nginx-redis-connector (0.06-1)
Connection utilities for lua-nginx-redis
lua-nginx-string (0.11-1)
String utilities for the nginx embedded Lua language
lua-nginx-websocket (0.08-1)
Lua websocket client driver for the nginx embedded Lua language
lua-nvim (0.2.2-1-1)
Lua client for Neovim
lua-nvim-dev (0.2.2-1-1)
Lua client for Neovim
lua-orbit (2.2.1+dfsg-1.1)
MVC web framework for Lua
lua-penlight (1.3.2-2.1)
Collection of general purpose libraries for the Lua language
lua-penlight-dev (1.3.2-2.1)
Collection of general purpose libraries for the Lua language
lua-posix (33.4.0-3+b1)
posix library for the Lua language
lua-posix-dev (33.4.0-3+b1)
posix development files for the Lua language
lua-readline (2.9-1)
readline library for the Lua language
lua-readline-dev (2.9-1)
readline development files for the Lua language
lua-redis (2.0.5~git20141117.880dda9-4)
Redis client database library for the Lua language
lua-redis-dev (2.0.5~git20141117.880dda9-4)
Redis client library for the Lua language, examples and tests
lua-rex-doc (2.7.2-4.1)
documentation of the rex library for the Lua language
lua-rex-gnu (2.7.2-4.1)
GNU regular expressions library for the Lua language
lua-rex-gnu-dev (2.7.2-4.1)
GNU development files for the Lua language
lua-rex-onig (2.7.2-4.1)
Onig regular expressions library for the Lua language
lua-rex-onig-dev (2.7.2-4.1)
Onig regex development files for the Lua language
lua-rex-pcre (2.7.2-4.1)
Perl regular expressions library for the Lua language
lua-rex-pcre-dev (2.7.2-4.1)
PCRE development files for the Lua language
lua-rex-posix (2.7.2-4.1)
POSIX regular expressions library for the Lua language
lua-rex-posix-dev (2.7.2-4.1)
POSIX regex development files for the Lua language
lua-rex-tre (2.7.2-4.1)
TRE regular expressions library for the Lua language
lua-rex-tre-dev (2.7.2-4.1)
TRE development files for the Lua language
lua-rings (1.3.0-3.1)
Lua state creation and control library for the Lua language
lua-rings-dev (1.3.0-3.1)
Development files for the rings library for the Lua language
lua-rrd (1.7.2-3+b7)
time-series data storage and display system (Lua interfaces)
lua-rrd-dev (1.7.2-3+b7)
time-series data storage and display system (Lua development)
lua-say (1.3-1-5)
Lua string hashing/indexing library
lua-sec (1.0-1)
SSL socket library for the Lua language
lua-sec-dev (1.0-1)
SSL socket library devel files for the Lua language
lua-soap (3.0+git91419a7-1.1)
SOAP library for the Lua language
lua-socket (3.0~rc1+git+ac3201d-4)
TCP/UDP socket library for the Lua language
lua-socket-dev (3.0~rc1+git+ac3201d-4)
luasocket development files for the Lua language
lua-sql-doc (2.3.4-1.1)
luasql documentation
lua-sql-mysql (2.3.4-1.1)
luasql library for the Lua language
lua-sql-mysql-dev (2.3.4-1.1)
luasql development files for the Lua language
lua-sql-odbc (2.3.4-1.1)
luasql library for the Lua language
lua-sql-odbc-dev (2.3.4-1.1)
luasql development files for the Lua language
lua-sql-postgres (2.3.4-1.1)
luasql library for the Lua language
lua-sql-postgres-dev (2.3.4-1.1)
luasql development files for the Lua language
lua-sql-sqlite3 (2.3.4-1.1)
luasql library for the Lua language
lua-sql-sqlite3-dev (2.3.4-1.1)
luasql development files for the Lua language
lua-svn (0.4.0-9.1)
Subversion library for the Lua language
lua-svn-dev (0.4.0-9.1)
Development files for the Subversion library for the Lua language
lua-swupdate (2020.11-2+deb11u1)
swupdate bindings for the Lua language
lua-system (0.2.1-2)
platform independent system call library for Lua
lua-system-dev (0.2.1-2)
development files for lua-system
lua-systemd (0~git20160517-2)
Systemd bindings for Lua
lua-systemd-dev (0~git20160517-2)
Development files for lua-systemd library
lua-term (0.07-0.1+b1)
Lua module for manipulating a terminal
lua-term-dev (0.07-0.1+b1)
Lua module for manipulating a terminal
lua-unbound (0.5-2)
Unbound bindings for the Lua language
lua-unbound-dev (0.5-2)
Development files for unbound bindings for the Lua language
lua-unit (3.3-1.1)
Lua unit testing framework
lua-uri (0.1+20130926+git14fa255d-2)
pure Lua library to normalize and validate URIs/URLs
lua-uri-dev (0.1+20130926+git14fa255d-2)
examples and test files for lua-uri
lua-wsapi (1.6.1-1.1)
Web server API abstraction layer for the Lua language
lua-wsapi-doc (1.6.1-1.1)
wsapi documentation files for the Lua language
lua-wsapi-fcgi (1.6.1-1.1)
Web server API fastcgi backend
lua-wsapi-fcgi-dev (1.6.1-1.1)
wsapi fastcgi development files for the Lua language
lua-xmlrpc (1.2.1-7.1)
xmlrpc library for the Lua language
lua-yaml (6.2.7-1)
LibYAML binding for Lua
lua-yaml-dev (6.2.7-1)
docs and test files for lua-yaml
lua-zip (1.2.3-12.1)
zip library for the Lua language
lua-zip-dev (1.2.3-12.1)
zip development files for the Lua language
lua-zlib (1.2-2)
zlib library for the Lua language
lua-zlib-dev (1.2-2)
zlib development files for the Lua language
lua5.1 (5.1.5-8.1+b3)
Simple, extensible, embeddable programming language
lua5.1-ansicolors
本虛擬套件由這些套件填實: lua-ansicolors
lua5.1-argparse
本虛擬套件由這些套件填實: lua-argparse
lua5.1-augeas
本虛擬套件由這些套件填實: lua-augeas
lua5.1-basexx
本虛擬套件由這些套件填實: lua-basexx
lua5.1-binaryheap
本虛擬套件由這些套件填實: lua-binaryheap
lua5.1-bit32
本虛擬套件由這些套件填實: lua-bit32
lua5.1-bit32-dev
本虛擬套件由這些套件填實: lua-bit32-dev
lua5.1-bitop
本虛擬套件由這些套件填實: lua-bitop
lua5.1-bitop-dev
本虛擬套件由這些套件填實: lua-bitop-dev
lua5.1-busted
本虛擬套件由這些套件填實: lua-busted
lua5.1-cgi
本虛擬套件由這些套件填實: lua-cgi
lua5.1-check
本虛擬套件由這些套件填實: lua-check
lua5.1-cjson
本虛擬套件由這些套件填實: lua-cjson
lua5.1-cjson-dev
本虛擬套件由這些套件填實: lua-cjson-dev
lua5.1-cliargs
本虛擬套件由這些套件填實: lua-cliargs
lua5.1-compat53
本虛擬套件由這些套件填實: lua-compat53
lua5.1-compat53-dev
本虛擬套件由這些套件填實: lua-compat53-dev
lua5.1-copas
本虛擬套件由這些套件填實: lua-copas
lua5.1-cosmo
本虛擬套件由這些套件填實: lua-cosmo
lua5.1-coxpcall
本虛擬套件由這些套件填實: lua-coxpcall
lua5.1-cqueues
本虛擬套件由這些套件填實: lua-cqueues
lua5.1-cqueues-dev
本虛擬套件由這些套件填實: lua-cqueues-dev
lua5.1-curl
本虛擬套件由這些套件填實: lua-curl
lua5.1-curl-dev
本虛擬套件由這些套件填實: lua-curl-dev
lua5.1-curses
本虛擬套件由這些套件填實: lua-curses
lua5.1-curses-dev
本虛擬套件由這些套件填實: lua-curses-dev
lua5.1-cyrussasl
本虛擬套件由這些套件填實: lua-cyrussasl
lua5.1-cyrussasl-dev
本虛擬套件由這些套件填實: lua-cyrussasl-dev
lua5.1-dbi-common
本虛擬套件由這些套件填實: lua-dbi-common
lua5.1-dbi-mysql
本虛擬套件由這些套件填實: lua-dbi-mysql
lua5.1-dbi-mysql-dev
本虛擬套件由這些套件填實: lua-dbi-mysql-dev
lua5.1-dbi-postgresql
本虛擬套件由這些套件填實: lua-dbi-postgresql
lua5.1-dbi-postgresql-dev
本虛擬套件由這些套件填實: lua-dbi-postgresql-dev
lua5.1-dbi-sqlite3
本虛擬套件由這些套件填實: lua-dbi-sqlite3
lua5.1-dbi-sqlite3-dev
本虛擬套件由這些套件填實: lua-dbi-sqlite3-dev
lua5.1-discount
本虛擬套件由這些套件填實: lua-discount
lua5.1-discount-dev
本虛擬套件由這些套件填實: lua-discount-dev
lua5.1-dkjson
本虛擬套件由這些套件填實: lua-dkjson
lua5.1-doc (5.1.5-8.1)
Documentation for the Lua language version 5.1
lua5.1-doc
本虛擬套件由這些套件填實: lua-doc
lua5.1-event
本虛擬套件由這些套件填實: lua-event
lua5.1-event-dev
本虛擬套件由這些套件填實: lua-event-dev
lua5.1-expat
本虛擬套件由這些套件填實: lua-expat
lua5.1-expat-dev
本虛擬套件由這些套件填實: lua-expat-dev
lua5.1-fifo
本虛擬套件由這些套件填實: lua-fifo
lua5.1-filesystem
本虛擬套件由這些套件填實: lua-filesystem
lua5.1-filesystem-dev
本虛擬套件由這些套件填實: lua-filesystem-dev
lua5.1-geoip
本虛擬套件由這些套件填實: lua-geoip
lua5.1-geoip-dev
本虛擬套件由這些套件填實: lua-geoip-dev
lua5.1-http
本虛擬套件由這些套件填實: lua-http
lua5.1-iconv
本虛擬套件由這些套件填實: lua-iconv
lua5.1-iconv-dev
本虛擬套件由這些套件填實: lua-iconv-dev
lua5.1-inifile
本虛擬套件由這些套件填實: lua-inifile
lua5.1-inotify
本虛擬套件由這些套件填實: lua-inotify
lua5.1-inotify-dev
本虛擬套件由這些套件填實: lua-inotify-dev
lua5.1-inspect
本虛擬套件由這些套件填實: lua-inspect
lua5.1-json
本虛擬套件由這些套件填實: lua-json
lua5.1-ldap
本虛擬套件由這些套件填實: lua-ldap
lua5.1-ldap-dev
本虛擬套件由這些套件填實: lua-ldap-dev
lua5.1-leg
本虛擬套件由這些套件填實: lua-leg
lua5.1-leg-dev
本虛擬套件由這些套件填實: lua-leg-dev
lua5.1-lemock
本虛擬套件由這些套件填實: lua-lemock
lua5.1-lemock-dev
本虛擬套件由這些套件填實: lua-lemock-dev
lua5.1-lgi
本虛擬套件由這些套件填實: lua-lgi
lua5.1-lgi-dev
本虛擬套件由這些套件填實: lua-lgi-dev
lua5.1-ljsyscall
本虛擬套件由這些套件填實: lua-ljsyscall
lua5.1-logging
本虛擬套件由這些套件填實: lua-logging
lua5.1-lpeg
本虛擬套件由這些套件填實: lua-lpeg
lua5.1-lpeg-dev
本虛擬套件由這些套件填實: lua-lpeg-dev
lua5.1-lpeg-patterns
本虛擬套件由這些套件填實: lua-lpeg-patterns
lua5.1-lpty
本虛擬套件由這些套件填實: lua-lpty
lua5.1-lpty-dev
本虛擬套件由這些套件填實: lua-lpty-dev
lua5.1-luaossl
本虛擬套件由這些套件填實: lua-luaossl
lua5.1-luaossl-dev
本虛擬套件由這些套件填實: lua-luaossl-dev
lua5.1-luassert
本虛擬套件由這些套件填實: lua-luassert
lua5.1-luv
本虛擬套件由這些套件填實: lua-luv
lua5.1-luv-dev
本虛擬套件由這些套件填實: lua-luv-dev
lua5.1-markdown
本虛擬套件由這些套件填實: lua-markdown
lua5.1-md5
本虛擬套件由這些套件填實: lua-md5
lua5.1-md5-dev
本虛擬套件由這些套件填實: lua-md5-dev
lua5.1-mediator
本虛擬套件由這些套件填實: lua-mediator
lua5.1-messagepack
本虛擬套件由這些套件填實: lua-messagepack
lua5.1-mmdb
本虛擬套件由這些套件填實: lua-mmdb
lua5.1-mpack
本虛擬套件由這些套件填實: lua-mpack
lua5.1-nvim
本虛擬套件由這些套件填實: lua-nvim
lua5.1-nvim-dev
本虛擬套件由這些套件填實: lua-nvim-dev
lua5.1-orbit
本虛擬套件由這些套件填實: lua-orbit
lua5.1-penlight
本虛擬套件由這些套件填實: lua-penlight
lua5.1-penlight-dev
本虛擬套件由這些套件填實: lua-penlight-dev
lua5.1-policy (33+nmu1)
Lua 5.1 Debian policy
lua5.1-policy-dev (33+nmu1)
Lua 5.1 Debian policy - template and scripts
lua5.1-posix
本虛擬套件由這些套件填實: lua-posix
lua5.1-posix-dev
本虛擬套件由這些套件填實: lua-posix-dev
lua5.1-readline
本虛擬套件由這些套件填實: lua-readline
lua5.1-readline-dev
本虛擬套件由這些套件填實: lua-readline-dev
lua5.1-redis
本虛擬套件由這些套件填實: lua-redis
lua5.1-redis-dev
本虛擬套件由這些套件填實: lua-redis-dev
lua5.1-rex-gnu
本虛擬套件由這些套件填實: lua-rex-gnu
lua5.1-rex-gnu-dev
本虛擬套件由這些套件填實: lua-rex-gnu-dev
lua5.1-rex-onig
本虛擬套件由這些套件填實: lua-rex-onig
lua5.1-rex-onig-dev
本虛擬套件由這些套件填實: lua-rex-onig-dev
lua5.1-rex-pcre
本虛擬套件由這些套件填實: lua-rex-pcre
lua5.1-rex-pcre-dev
本虛擬套件由這些套件填實: lua-rex-pcre-dev
lua5.1-rex-posix
本虛擬套件由這些套件填實: lua-rex-posix
lua5.1-rex-posix-dev
本虛擬套件由這些套件填實: lua-rex-posix-dev
lua5.1-rex-tre
本虛擬套件由這些套件填實: lua-rex-tre
lua5.1-rex-tre-dev
本虛擬套件由這些套件填實: lua-rex-tre-dev
lua5.1-rings
本虛擬套件由這些套件填實: lua-rings
lua5.1-rings-dev
本虛擬套件由這些套件填實: lua-rings-dev
lua5.1-rrd
本虛擬套件由這些套件填實: lua-rrd
lua5.1-rrd-dev
本虛擬套件由這些套件填實: lua-rrd-dev
lua5.1-say
本虛擬套件由這些套件填實: lua-say
lua5.1-sec
本虛擬套件由這些套件填實: lua-sec
lua5.1-sec-dev
本虛擬套件由這些套件填實: lua-sec-dev
lua5.1-soap
本虛擬套件由這些套件填實: lua-soap
lua5.1-socket
本虛擬套件由這些套件填實: lua-socket
lua5.1-socket-dev
本虛擬套件由這些套件填實: lua-socket-dev
lua5.1-sql-mysql
本虛擬套件由這些套件填實: lua-sql-mysql
lua5.1-sql-mysql-dev
本虛擬套件由這些套件填實: lua-sql-mysql-dev
lua5.1-sql-odbc
本虛擬套件由這些套件填實: lua-sql-odbc
lua5.1-sql-odbc-dev
本虛擬套件由這些套件填實: lua-sql-odbc-dev
lua5.1-sql-postgres
本虛擬套件由這些套件填實: lua-sql-postgres
lua5.1-sql-postgres-dev
本虛擬套件由這些套件填實: lua-sql-postgres-dev
lua5.1-sql-sqlite3
本虛擬套件由這些套件填實: lua-sql-sqlite3
lua5.1-sql-sqlite3-dev
本虛擬套件由這些套件填實: lua-sql-sqlite3-dev
lua5.1-svn
本虛擬套件由這些套件填實: lua-svn
lua5.1-svn-dev
本虛擬套件由這些套件填實: lua-svn-dev
lua5.1-system
本虛擬套件由這些套件填實: lua-system
lua5.1-system-dev
本虛擬套件由這些套件填實: lua-system-dev
lua5.1-systemd
本虛擬套件由這些套件填實: lua-systemd
lua5.1-systemd-dev
本虛擬套件由這些套件填實: lua-systemd-dev
lua5.1-term
本虛擬套件由這些套件填實: lua-term
lua5.1-term-dev
本虛擬套件由這些套件填實: lua-term-dev
lua5.1-unbound
本虛擬套件由這些套件填實: lua-unbound
lua5.1-unbound-dev
本虛擬套件由這些套件填實: lua-unbound-dev
lua5.1-unit
本虛擬套件由這些套件填實: lua-unit
lua5.1-uri
本虛擬套件由這些套件填實: lua-uri
lua5.1-uri-dev
本虛擬套件由這些套件填實: lua-uri-dev
lua5.1-wsapi
本虛擬套件由這些套件填實: lua-wsapi
lua5.1-wsapi-fcgi
本虛擬套件由這些套件填實: lua-wsapi-fcgi
lua5.1-wsapi-fcgi-dev
本虛擬套件由這些套件填實: lua-wsapi-fcgi-dev
lua5.1-xmlrpc
本虛擬套件由這些套件填實: lua-xmlrpc
lua5.1-yaml
本虛擬套件由這些套件填實: lua-yaml
lua5.1-yaml-dev
本虛擬套件由這些套件填實: lua-yaml-dev
lua5.1-zip
本虛擬套件由這些套件填實: lua-zip
lua5.1-zip-dev
本虛擬套件由這些套件填實: lua-zip-dev
lua5.1-zlib
本虛擬套件由這些套件填實: lua-zlib
lua5.1-zlib-dev
本虛擬套件由這些套件填實: lua-zlib-dev
lua5.2 (5.2.4-1.1+b3)
Simple, extensible, embeddable programming language
lua5.2-ansicolors
本虛擬套件由這些套件填實: lua-ansicolors
lua5.2-argparse
本虛擬套件由這些套件填實: lua-argparse
lua5.2-basexx
本虛擬套件由這些套件填實: lua-basexx
lua5.2-binaryheap
本虛擬套件由這些套件填實: lua-binaryheap
lua5.2-bitop
本虛擬套件由這些套件填實: lua-bitop
lua5.2-bitop-dev
本虛擬套件由這些套件填實: lua-bitop-dev
lua5.2-busted
本虛擬套件由這些套件填實: lua-busted
lua5.2-cjson
本虛擬套件由這些套件填實: lua-cjson
lua5.2-cjson-dev
本虛擬套件由這些套件填實: lua-cjson-dev
lua5.2-cliargs
本虛擬套件由這些套件填實: lua-cliargs
lua5.2-compat53
本虛擬套件由這些套件填實: lua-compat53
lua5.2-compat53-dev
本虛擬套件由這些套件填實: lua-compat53-dev
lua5.2-copas
本虛擬套件由這些套件填實: lua-copas
lua5.2-cosmo
本虛擬套件由這些套件填實: lua-cosmo
lua5.2-coxpcall
本虛擬套件由這些套件填實: lua-coxpcall
lua5.2-cqueues
本虛擬套件由這些套件填實: lua-cqueues
lua5.2-cqueues-dev
本虛擬套件由這些套件填實: lua-cqueues-dev
lua5.2-curl
本虛擬套件由這些套件填實: lua-curl
lua5.2-curl-dev
本虛擬套件由這些套件填實: lua-curl-dev
lua5.2-curses
本虛擬套件由這些套件填實: lua-curses
lua5.2-curses-dev
本虛擬套件由這些套件填實: lua-curses-dev
lua5.2-cyrussasl
本虛擬套件由這些套件填實: lua-cyrussasl
lua5.2-cyrussasl-dev
本虛擬套件由這些套件填實: lua-cyrussasl-dev
lua5.2-dbi-common
本虛擬套件由這些套件填實: lua-dbi-common
lua5.2-dbi-mysql
本虛擬套件由這些套件填實: lua-dbi-mysql
lua5.2-dbi-mysql-dev
本虛擬套件由這些套件填實: lua-dbi-mysql-dev
lua5.2-dbi-postgresql
本虛擬套件由這些套件填實: lua-dbi-postgresql
lua5.2-dbi-postgresql-dev
本虛擬套件由這些套件填實: lua-dbi-postgresql-dev
lua5.2-dbi-sqlite3
本虛擬套件由這些套件填實: lua-dbi-sqlite3
lua5.2-dbi-sqlite3-dev
本虛擬套件由這些套件填實: lua-dbi-sqlite3-dev
lua5.2-discount
本虛擬套件由這些套件填實: lua-discount
lua5.2-discount-dev
本虛擬套件由這些套件填實: lua-discount-dev
lua5.2-dkjson
本虛擬套件由這些套件填實: lua-dkjson
lua5.2-doc (5.2.4-1.1)
Documentation for the Lua language version 5.2
lua5.2-event
本虛擬套件由這些套件填實: lua-event
lua5.2-event-dev
本虛擬套件由這些套件填實: lua-event-dev
lua5.2-expat
本虛擬套件由這些套件填實: lua-expat
lua5.2-expat-dev
本虛擬套件由這些套件填實: lua-expat-dev
lua5.2-fifo
本虛擬套件由這些套件填實: lua-fifo
lua5.2-filesystem
本虛擬套件由這些套件填實: lua-filesystem
lua5.2-filesystem-dev
本虛擬套件由這些套件填實: lua-filesystem-dev
lua5.2-hamlib
本虛擬套件由這些套件填實: lua-hamlib
lua5.2-http
本虛擬套件由這些套件填實: lua-http
lua5.2-iconv
本虛擬套件由這些套件填實: lua-iconv
lua5.2-iconv-dev
本虛擬套件由這些套件填實: lua-iconv-dev
lua5.2-inifile
本虛擬套件由這些套件填實: lua-inifile
lua5.2-inotify
本虛擬套件由這些套件填實: lua-inotify
lua5.2-inotify-dev
本虛擬套件由這些套件填實: lua-inotify-dev
lua5.2-inspect
本虛擬套件由這些套件填實: lua-inspect
lua5.2-json
本虛擬套件由這些套件填實: lua-json
lua5.2-ldap
本虛擬套件由這些套件填實: lua-ldap
lua5.2-ldap-dev
本虛擬套件由這些套件填實: lua-ldap-dev
lua5.2-lgi
本虛擬套件由這些套件填實: lua-lgi
lua5.2-lgi-dev
本虛擬套件由這些套件填實: lua-lgi-dev
lua5.2-logging
本虛擬套件由這些套件填實: lua-logging
lua5.2-lpeg
本虛擬套件由這些套件填實: lua-lpeg
lua5.2-lpeg-dev
本虛擬套件由這些套件填實: lua-lpeg-dev
lua5.2-lpeg-patterns
本虛擬套件由這些套件填實: lua-lpeg-patterns
lua5.2-lpty
本虛擬套件由這些套件填實: lua-lpty
lua5.2-lpty-dev
本虛擬套件由這些套件填實: lua-lpty-dev
lua5.2-luaossl
本虛擬套件由這些套件填實: lua-luaossl
lua5.2-luaossl-dev
本虛擬套件由這些套件填實: lua-luaossl-dev
lua5.2-luassert
本虛擬套件由這些套件填實: lua-luassert
lua5.2-luv
本虛擬套件由這些套件填實: lua-luv
lua5.2-luv-dev
本虛擬套件由這些套件填實: lua-luv-dev
lua5.2-md5
本虛擬套件由這些套件填實: lua-md5
lua5.2-md5-dev
本虛擬套件由這些套件填實: lua-md5-dev
lua5.2-mediator
本虛擬套件由這些套件填實: lua-mediator
lua5.2-messagepack
本虛擬套件由這些套件填實: lua-messagepack
lua5.2-mmdb
本虛擬套件由這些套件填實: lua-mmdb
lua5.2-mpack
本虛擬套件由這些套件填實: lua-mpack
lua5.2-nvim
本虛擬套件由這些套件填實: lua-nvim
lua5.2-nvim-dev
本虛擬套件由這些套件填實: lua-nvim-dev
lua5.2-penlight
本虛擬套件由這些套件填實: lua-penlight
lua5.2-penlight-dev
本虛擬套件由這些套件填實: lua-penlight-dev
lua5.2-posix
本虛擬套件由這些套件填實: lua-posix
lua5.2-posix-dev
本虛擬套件由這些套件填實: lua-posix-dev
lua5.2-readline
本虛擬套件由這些套件填實: lua-readline
lua5.2-readline-dev
本虛擬套件由這些套件填實: lua-readline-dev
lua5.2-redis
本虛擬套件由這些套件填實: lua-redis
lua5.2-redis-dev
本虛擬套件由這些套件填實: lua-redis-dev
lua5.2-rex-gnu
本虛擬套件由這些套件填實: lua-rex-gnu
lua5.2-rex-gnu-dev
本虛擬套件由這些套件填實: lua-rex-gnu-dev
lua5.2-rex-onig
本虛擬套件由這些套件填實: lua-rex-onig
lua5.2-rex-onig-dev
本虛擬套件由這些套件填實: lua-rex-onig-dev
lua5.2-rex-pcre
本虛擬套件由這些套件填實: lua-rex-pcre
lua5.2-rex-pcre-dev
本虛擬套件由這些套件填實: lua-rex-pcre-dev
lua5.2-rex-posix
本虛擬套件由這些套件填實: lua-rex-posix
lua5.2-rex-posix-dev
本虛擬套件由這些套件填實: lua-rex-posix-dev
lua5.2-rex-tre
本虛擬套件由這些套件填實: lua-rex-tre
lua5.2-rex-tre-dev
本虛擬套件由這些套件填實: lua-rex-tre-dev
lua5.2-rings
本虛擬套件由這些套件填實: lua-rings
lua5.2-rings-dev
本虛擬套件由這些套件填實: lua-rings-dev
lua5.2-rrd
本虛擬套件由這些套件填實: lua-rrd
lua5.2-rrd-dev
本虛擬套件由這些套件填實: lua-rrd-dev
lua5.2-say
本虛擬套件由這些套件填實: lua-say
lua5.2-sec
本虛擬套件由這些套件填實: lua-sec
lua5.2-sec-dev
本虛擬套件由這些套件填實: lua-sec-dev
lua5.2-socket
本虛擬套件由這些套件填實: lua-socket
lua5.2-socket-dev
本虛擬套件由這些套件填實: lua-socket-dev
lua5.2-sql-mysql
本虛擬套件由這些套件填實: lua-sql-mysql
lua5.2-sql-mysql-dev
本虛擬套件由這些套件填實: lua-sql-mysql-dev
lua5.2-sql-odbc
本虛擬套件由這些套件填實: lua-sql-odbc
lua5.2-sql-odbc-dev
本虛擬套件由這些套件填實: lua-sql-odbc-dev
lua5.2-sql-postgres
本虛擬套件由這些套件填實: lua-sql-postgres
lua5.2-sql-postgres-dev
本虛擬套件由這些套件填實: lua-sql-postgres-dev
lua5.2-sql-sqlite3
本虛擬套件由這些套件填實: lua-sql-sqlite3
lua5.2-sql-sqlite3-dev
本虛擬套件由這些套件填實: lua-sql-sqlite3-dev
lua5.2-system
本虛擬套件由這些套件填實: lua-system
lua5.2-system-dev
本虛擬套件由這些套件填實: lua-system-dev
lua5.2-systemd
本虛擬套件由這些套件填實: lua-systemd
lua5.2-systemd-dev
本虛擬套件由這些套件填實: lua-systemd-dev
lua5.2-term
本虛擬套件由這些套件填實: lua-term
lua5.2-term-dev
本虛擬套件由這些套件填實: lua-term-dev
lua5.2-unbound
本虛擬套件由這些套件填實: lua-unbound
lua5.2-unbound-dev
本虛擬套件由這些套件填實: lua-unbound-dev
lua5.2-unit
本虛擬套件由這些套件填實: lua-unit
lua5.2-uri
本虛擬套件由這些套件填實: lua-uri
lua5.2-uri-dev
本虛擬套件由這些套件填實: lua-uri-dev
lua5.2-wsapi
本虛擬套件由這些套件填實: lua-wsapi
lua5.2-wsapi-fcgi
本虛擬套件由這些套件填實: lua-wsapi-fcgi
lua5.2-wsapi-fcgi-dev
本虛擬套件由這些套件填實: lua-wsapi-fcgi-dev
lua5.2-yaml
本虛擬套件由這些套件填實: lua-yaml
lua5.2-yaml-dev
本虛擬套件由這些套件填實: lua-yaml-dev
lua5.2-zlib
本虛擬套件由這些套件填實: lua-zlib
lua5.2-zlib-dev
本虛擬套件由這些套件填實: lua-zlib-dev
lua5.3 (5.3.3-1.1+deb11u1)
Simple, extensible, embeddable programming language
lua5.3-ansicolors
本虛擬套件由這些套件填實: lua-ansicolors
lua5.3-argparse
本虛擬套件由這些套件填實: lua-argparse
lua5.3-binaryheap
本虛擬套件由這些套件填實: lua-binaryheap
lua5.3-busted
本虛擬套件由這些套件填實: lua-busted
lua5.3-cliargs
本虛擬套件由這些套件填實: lua-cliargs
lua5.3-coxpcall
本虛擬套件由這些套件填實: lua-coxpcall
lua5.3-cqueues
本虛擬套件由這些套件填實: lua-cqueues
lua5.3-cqueues-dev
本虛擬套件由這些套件填實: lua-cqueues-dev
lua5.3-curses
本虛擬套件由這些套件填實: lua-curses
lua5.3-curses-dev
本虛擬套件由這些套件填實: lua-curses-dev
lua5.3-cyrussasl
本虛擬套件由這些套件填實: lua-cyrussasl
lua5.3-cyrussasl-dev
本虛擬套件由這些套件填實: lua-cyrussasl-dev
lua5.3-dbi-common
本虛擬套件由這些套件填實: lua-dbi-common
lua5.3-dbi-mysql
本虛擬套件由這些套件填實: lua-dbi-mysql
lua5.3-dbi-mysql-dev
本虛擬套件由這些套件填實: lua-dbi-mysql-dev
lua5.3-dbi-postgresql
本虛擬套件由這些套件填實: lua-dbi-postgresql
lua5.3-dbi-postgresql-dev
本虛擬套件由這些套件填實: lua-dbi-postgresql-dev
lua5.3-dbi-sqlite3
本虛擬套件由這些套件填實: lua-dbi-sqlite3
lua5.3-dbi-sqlite3-dev
本虛擬套件由這些套件填實: lua-dbi-sqlite3-dev
lua5.3-dkjson
本虛擬套件由這些套件填實: lua-dkjson
lua5.3-event
本虛擬套件由這些套件填實: lua-event
lua5.3-event-dev
本虛擬套件由這些套件填實: lua-event-dev
lua5.3-expat
本虛擬套件由這些套件填實: lua-expat
lua5.3-expat-dev
本虛擬套件由這些套件填實: lua-expat-dev
lua5.3-filesystem
本虛擬套件由這些套件填實: lua-filesystem
lua5.3-filesystem-dev
本虛擬套件由這些套件填實: lua-filesystem-dev
lua5.3-hamlib
本虛擬套件由這些套件填實: lua-hamlib
lua5.3-http
本虛擬套件由這些套件填實: lua-http
lua5.3-iconv
本虛擬套件由這些套件填實: lua-iconv
lua5.3-iconv-dev
本虛擬套件由這些套件填實: lua-iconv-dev
lua5.3-inifile
本虛擬套件由這些套件填實: lua-inifile
lua5.3-inotify
本虛擬套件由這些套件填實: lua-inotify
lua5.3-inotify-dev
本虛擬套件由這些套件填實: lua-inotify-dev
lua5.3-inspect
本虛擬套件由這些套件填實: lua-inspect
lua5.3-json
本虛擬套件由這些套件填實: lua-json
lua5.3-ldap
本虛擬套件由這些套件填實: lua-ldap
lua5.3-ldap-dev
本虛擬套件由這些套件填實: lua-ldap-dev
lua5.3-lgi
本虛擬套件由這些套件填實: lua-lgi
lua5.3-lgi-dev
本虛擬套件由這些套件填實: lua-lgi-dev
lua5.3-lpeg
本虛擬套件由這些套件填實: lua-lpeg
lua5.3-lpeg-dev
本虛擬套件由這些套件填實: lua-lpeg-dev
lua5.3-luaossl
本虛擬套件由這些套件填實: lua-luaossl
lua5.3-luaossl-dev
本虛擬套件由這些套件填實: lua-luaossl-dev
lua5.3-luassert
本虛擬套件由這些套件填實: lua-luassert
lua5.3-luv
本虛擬套件由這些套件填實: lua-luv
lua5.3-luv-dev
本虛擬套件由這些套件填實: lua-luv-dev
lua5.3-mediator
本虛擬套件由這些套件填實: lua-mediator
lua5.3-messagepack
本虛擬套件由這些套件填實: lua-messagepack
lua5.3-mmdb
本虛擬套件由這些套件填實: lua-mmdb
lua5.3-mpack
本虛擬套件由這些套件填實: lua-mpack
lua5.3-nvim
本虛擬套件由這些套件填實: lua-nvim
lua5.3-nvim-dev
本虛擬套件由這些套件填實: lua-nvim-dev
lua5.3-penlight
本虛擬套件由這些套件填實: lua-penlight
lua5.3-penlight-dev
本虛擬套件由這些套件填實: lua-penlight-dev
lua5.3-posix
本虛擬套件由這些套件填實: lua-posix
lua5.3-posix-dev
本虛擬套件由這些套件填實: lua-posix-dev
lua5.3-readline
本虛擬套件由這些套件填實: lua-readline
lua5.3-readline-dev
本虛擬套件由這些套件填實: lua-readline-dev
lua5.3-redis
本虛擬套件由這些套件填實: lua-redis
lua5.3-redis-dev
本虛擬套件由這些套件填實: lua-redis-dev
lua5.3-rrd
本虛擬套件由這些套件填實: lua-rrd
lua5.3-rrd-dev
本虛擬套件由這些套件填實: lua-rrd-dev
lua5.3-say
本虛擬套件由這些套件填實: lua-say
lua5.3-sec
本虛擬套件由這些套件填實: lua-sec
lua5.3-sec-dev
本虛擬套件由這些套件填實: lua-sec-dev
lua5.3-socket
本虛擬套件由這些套件填實: lua-socket
lua5.3-socket-dev
本虛擬套件由這些套件填實: lua-socket-dev
lua5.3-sql-mysql
本虛擬套件由這些套件填實: lua-sql-mysql
lua5.3-sql-mysql-dev
本虛擬套件由這些套件填實: lua-sql-mysql-dev
lua5.3-sql-odbc
本虛擬套件由這些套件填實: lua-sql-odbc
lua5.3-sql-odbc-dev
本虛擬套件由這些套件填實: lua-sql-odbc-dev
lua5.3-sql-postgres
本虛擬套件由這些套件填實: lua-sql-postgres
lua5.3-sql-postgres-dev
本虛擬套件由這些套件填實: lua-sql-postgres-dev
lua5.3-sql-sqlite3
本虛擬套件由這些套件填實: lua-sql-sqlite3
lua5.3-sql-sqlite3-dev
本虛擬套件由這些套件填實: lua-sql-sqlite3-dev
lua5.3-system
本虛擬套件由這些套件填實: lua-system
lua5.3-system-dev
本虛擬套件由這些套件填實: lua-system-dev
lua5.3-systemd
本虛擬套件由這些套件填實: lua-systemd
lua5.3-systemd-dev
本虛擬套件由這些套件填實: lua-systemd-dev
lua5.3-term
本虛擬套件由這些套件填實: lua-term
lua5.3-term-dev
本虛擬套件由這些套件填實: lua-term-dev
lua5.3-unbound
本虛擬套件由這些套件填實: lua-unbound
lua5.3-unbound-dev
本虛擬套件由這些套件填實: lua-unbound-dev
lua5.3-unit
本虛擬套件由這些套件填實: lua-unit
lua5.3-yaml
本虛擬套件由這些套件填實: lua-yaml
lua5.3-yaml-dev
本虛擬套件由這些套件填實: lua-yaml-dev
lua5.3-zlib
本虛擬套件由這些套件填實: lua-zlib
lua5.3-zlib-dev
本虛擬套件由這些套件填實: lua-zlib-dev
lua5.4 (5.4.2-2)
Simple, extensible, embeddable programming language
lua5.4-cqueues
本虛擬套件由這些套件填實: lua-cqueues
lua5.4-cqueues-dev
本虛擬套件由這些套件填實: lua-cqueues-dev
lua5.4-cyrussasl
本虛擬套件由這些套件填實: lua-cyrussasl
lua5.4-cyrussasl-dev
本虛擬套件由這些套件填實: lua-cyrussasl-dev
lua5.4-filesystem
本虛擬套件由這些套件填實: lua-filesystem
lua5.4-filesystem-dev
本虛擬套件由這些套件填實: lua-filesystem-dev
lua5.4-readline
本虛擬套件由這些套件填實: lua-readline
lua5.4-readline-dev
本虛擬套件由這些套件填實: lua-readline-dev
lua5.4-unbound
本虛擬套件由這些套件填實: lua-unbound
lua5.4-unbound-dev
本虛擬套件由這些套件填實: lua-unbound-dev
lua5.4-zlib
本虛擬套件由這些套件填實: lua-zlib
lua5.4-zlib-dev
本虛擬套件由這些套件填實: lua-zlib-dev
lua50 (5.0.3-8.1)
Small embeddable language with simple procedural syntax
lua50-doc (5.0.3-8.1)
Documentation for the Lua 5.0 programming language
luadoc (3.0.1+gitdb9e868-1.1)
Documentation generation library for the Lua language
luajit (2.1.0~beta3+dfsg-5.3)
Just in time compiler for Lua programming language version 5.1
luakit (1:2.2.1-1)
fast and small web browser extensible by Lua
luarocks (2.4.2+dfsg-1.1)
deployment and management system for Lua modules
luatex
本虛擬套件由這些套件填實: texlive-binaries
luckybackup (0.5.0-5)
rsync-based GUI data backup utility
luckybackup-data (0.5.0-5)
rsync-based GUI data backup utility (data files)
lucy (1.20-3)
DNA sequence quality and vector trimming tool
ludevit (9.2-1)
converter from standard Slovak into the L. Štúr version
lugaru (1.2-5)
third person ninja rabbit fighting game
lugaru-data (1.2-5)
data for the third person ninja rabbit fighting game Lugaru HD
luksmeta (9-3)
Utility to access metadata in a LUKSv1 header
luminance-hdr (2.6.0+dfsg-2+b8 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.6.0+dfsg-2+b7 [armel, armhf])
graphical user interface providing a workflow for HDR imaging
lumpy-sv (0.3.1+dfsg-5)
general probabilistic framework for structural variant discovery
lumpy-sv-examples (0.3.1+dfsg-5)
general probabilistic framework for structural variant discovery (data)
lunar (2.2-9)
Chinese Lunar Calendar conversion utility
lunzip (1.12-1)
data compressor based on the LZMA algorithm (decompressor)
luola (1.3.2-13)
multiplayer cave-flying game
luola-data (1.3.2-13)
data files for luola
luola-levels (6.0-6.1)
level files for luola
luola-nostalgy (1.2-4.1)
nostalgy level files for luola
lur-command (0.15-1+b1)
Logitech Unifying Receiver control tool
lure-of-the-temptress (1.1+ds2-4)
classic 2D point and click fantasy adventure game
lurker (2.3-6+b1 [amd64], 2.3-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
archive tool for mailing lists with search engine
lusernet.app (0.4.3-1+b1)
News reader for GNUstep
lutefisk (1.0.7+dfsg-7)
de novo interpretation of peptide CID spectra
lutefisk-doc (1.0.7+dfsg-7)
De novo interpretation of peptide CID spectra - documentation
lutris (0.5.8.3-2) [contrib]
open source gaming platform
lv (4.51-8)
功能強大的多語系檔案瀏覽器
lv2-c++-tools (1.0.5-4+b2)
library and tools for LV2 plugins
lv2-c++-tools-doc (1.0.5-4)
lv2-c++-tools documentation
lv2-dev (1.18.2-1)
LV2 audio plugin specification
lv2-examples (1.18.2-1)
LV2 audio plugin specification (example plugins)
lv2-host
本虛擬套件由這些套件填實: lv2proc, qtractor, lv2file, lilv-utils
lv2-plugin
本虛擬套件由這些套件填實: guitarix-lv2, ir.lv2, abgate, zynaddsubfx-lv2, synthv1-lv2, calf-plugins, vocproc, so-synth-lv2, lv2vocoder, samplv1-lv2, lv2-examples, padthv1-lv2, drumkv1-lv2, mda-lv2, eq10q, drumgizmo, fomp, amsynth, setbfree, foo-yc20, invada-studio-plugins-lv2, lsp-plugins-lv2, avldrums.lv2, ardour-lv2-plugins, swh-lv2
lv2file (0.84-1)
Command-line program to apply LV2 effects to audio files
lv2proc (0.5.1-1)
command line effect processor
lv2vocoder (1-6)
LV2 vocoder plugin
lvm2 (2.03.11-2.1)
Linux Logical Volume Manager
lvm2-dbusd (2.03.11-2.1)
LVM2 D-Bus daemon
lvm2-lockd (2.03.11-2.1)
LVM locking daemon
lvm2-udeb (2.03.11-2.1)
Linux Logical Volume Manager
lvmcfg (1.57)
Configure the Logical Volume Manager
lvmcfg-utils (1.57)
lvmcfg without the main menu item
lwatch (0.6.2-1+b1)
Simple log colorizer
lwatch-dbg (0.6.2-1+b1)
debugging symbols for lwatch
lwm (1.2.2-6+b1)
lightweight window manager
lx-gdb (1.03-16+b2)
Dump and load databases from HP 100LX/200LX palmtops
lxappearance (0.6.3-1+b1 [amd64], 0.6.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LXDE GTK+ theme switcher
lxappearance-dbg (0.6.3-1+b1 [amd64], 0.6.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LXDE GTK+ theme switcher (debug)
lxappearance-obconf (0.2.3-1+b1)
LXDE GTK+ theme switcher (plugin)
lxappearance-obconf-dbg (0.2.3-1+b1)
LXDE GTK+ theme switcher (plugin - debug)
lxc (1:4.0.6-2+deb11u2)
Linux Containers userspace tools
lxc
本虛擬套件由這些套件填實: lava-lxc-mocker
lxc-dev (1:4.0.6-2+deb11u2)
Linux Containers userspace tools (development)
lxc-templates (3.0.4-5)
Linux Containers userspace tools (templates)
lxc-tests (1:4.0.6-2+deb11u2)
Linux Containers userspace tools (test binaries)
lxcfs (4.0.7-1)
FUSE based filesystem for LXC
lxctl (0.3.1+debian-4)
Utility to manage LXC
lxde (11)
metapackage for LXDE
lxde-common (0.99.2-4)
LXDE common configuration files
lxde-core (11)
metapackage for the LXDE core
lxde-icon-theme (0.5.1-2.1)
LXDE standard icon theme
lxde-session
本虛擬套件由這些套件填實: openbox-lxde-session
lxde-settings-daemon (0.5.5-2)
xsettings compliant configuration manager for LXDE
lxde-settings-daemon
本虛擬套件由這些套件填實: lxsession
lxdm (0.5.3-4)
LXDE display manager
lxhotkey-core (0.1.1-1)
Lightweight global keyboard shortcuts configurator
lxhotkey-data (0.1.1-1)
LXHotkey keyboard shortcuts configurator (data files)
lxhotkey-dev (0.1.1-1)
LXHotkey keyboard shortcuts configurator (development files)
lxhotkey-gtk (0.1.1-1)
LXHotkey keyboard shortcuts configurator (GTK+ GUI plugin)
lxhotkey-gui
本虛擬套件由這些套件填實: lxhotkey-gtk
lxhotkey-plugin-openbox (0.1.1-1)
LXHotkey keyboard shortcuts configurator (Openbox support plugin)
lxi-tools (1.21-1+b1)
LAN eXtensions for Instrumentation (LXI) software interface
lximage-qt (0.16.0-1)
Image viewer for LXQt
lximage-qt-l10n (0.16.0-1)
Language-package for lximage-qt
lxinput (0.3.5-1+b1)
LXDE keyboard and mouse configuration
lxinput-dbg (0.3.5-1+b1)
LXDE keyboard and mouse configuration (debug)
lxlauncher (0.2.5-1+b1)
LXDE launcher for netbooks
lxlauncher-dbg (0.2.5-1+b1)
LXDE launcher for netbooks (debug)
lxlock (0.5.5-2)
simple locking utility for LXDE
lxmenu-data (0.1.5-2.1)
LXDE freedesktop.org menu specification
lxmms2 (0.1.3-5)
control XMMS2 with a LIRC compatible remote control
lxmusic (0.4.7-1+b1)
LXDE music player
lxmusic-dbg (0.4.7-1+b1)
LXDE music player (debug)
lxpanel (0.10.1-2)
LXDE panel
lxpanel-data (0.10.1-2)
LXDE panel (data files)
lxpanel-dev (0.10.1-2)
LXDE panel (plugins development files)
lxpolkit (0.5.5-2)
LXDE PolicyKit authentication agent
lxqt (30)
Metapackage for LXQt
lxqt-about (0.16.0-1)
About screen for LXQt
lxqt-about-l10n (0.16.0-1)
Language package for lxqt-about
lxqt-admin (0.16.0-1)
Admin tools for LXQt
lxqt-admin-l10n (0.16.0-1)
Language package for lxqt-admin
lxqt-archiver (0.3.0-2)
Archiv Manager for LXQt
lxqt-archiver-l10n (0.3.0-2)
Language package for lxqt-archiver
lxqt-branding
本虛擬套件由這些套件填實: lxqt-branding-debian
lxqt-branding-debian (0.14.0.3)
Debian branding for LXQt
lxqt-build-tools (0.8.0-1)
Build tools for the LXQt desktop environment
lxqt-config (0.16.1-1)
LXQt system settings center
lxqt-config-l10n (0.16.1-1)
Language package for lxqt-config
lxqt-core (30)
Metapackage for the LXQt core
lxqt-globalkeys (0.16.0-1)
daemon used to register global keyboard shortcuts (appl.)
lxqt-globalkeys-l10n (0.16.0-1)
Language package for lxqt-globalkeys
lxqt-notificationd (0.16.0-1)
LXQt notification daemon
lxqt-notificationd-l10n (0.16.0-1)
Language package for lxqt-notificationd
lxqt-openssh-askpass (0.16.0-1)
OpenSSH user/password GUI dialog for LXQt
lxqt-openssh-askpass-l10n (0.16.0-1)
Language package for lxqt-openssh-askpass
lxqt-panel (0.16.1-1)
LXQt desktop panel
lxqt-panel-l10n (0.16.1-1)
Language package for lxqt-panel
lxqt-policykit (0.16.0-1)
LXQt authentication agent for PolicyKit
lxqt-policykit-l10n (0.16.0-1)
Language package for lxqt-policykit
lxqt-powermanagement (0.16.0-1)
power management module for LXQt
lxqt-powermanagement-l10n (0.16.0-1)
Language package for lxqt-powermanagement
lxqt-qtplugin (0.16.0-1)
LXQt system integration plugin for Qt
lxqt-runner (0.16.0-1)
LXQt program launcher
lxqt-runner-l10n (0.16.0-1)
Language package for lxqt-runner
lxqt-session (0.16.0-1)
session manager component for LXQt
lxqt-session-l10n (0.16.0-1)
Language package for lxqt-session
lxqt-sudo (0.16.0-1)
Graphical QT frontend for plain sudo
lxqt-sudo-l10n (0.16.0-1)
Language package for lxqt-sudo
lxqt-system-theme (0.16.0-1)
System theme for LXQt
lxqt-theme
本虛擬套件由這些套件填實: lxqt-theme-debian, lxqt-themes
lxqt-theme-debian (0.14.0.3)
Debian theme for LXQt
lxqt-themes (0.16.0-1)
Themes for LXQt
lxrandr (0.3.2-1+b1 [amd64], 0.3.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LXDE monitor configuration tool
lxrandr-dbg (0.3.2-1+b1 [amd64], 0.3.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LXDE monitor configuration tool (debug)
lxsession (0.5.5-2)
LXDE default session manager
lxsession-data (0.5.5-2)
Common files for lxsession
lxsession-default-apps (0.5.5-2)
utility to configure lxsession and its default applications
lxsession-edit (0.5.5-2)
configure what application start up automatically in LXDE
lxsession-logout (0.5.5-2)
utility to logout from a LXDE or an Openbox session
lxshortcut
本虛擬套件由這些套件填實: libfm-tools
lxtask (0.1.10-1)
LXDE task manager
lxterminal (0.4.0-1)
LXDE terminal emulator
lybniz (3.0.4-5)
mathematical function graph plotter
lynis (3.0.2-1)
security auditing tool for Unix based systems
lynkeos.app (3.4+dfsg1-2)
GNUstep app for processing planetary astronomical images
lynkeos.app-common (3.4+dfsg1-2)
GNUstep app for processing astronomical images (common files)
lynx (2.9.0dev.6-3~deb11u1)
classic non-graphical (text-mode) web browser
lynx-common (2.9.0dev.6-3~deb11u1)
shared files for lynx package
lyskom-elisp-client (0.48+git.20200923.ec349ff4-3)
emacs client for LysKOM
lyskom-server (2.1.2-16+b2 [amd64], 2.1.2-16+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Server for the LysKOM conference system
lyx (2.3.6-1)
document processor
lyx-common (2.3.6-1)
architecture-independent files for LyX
lz4 (1.9.3-2)
Fast LZ compression algorithm library - tool
lz4json (2-2)
unpack lz4json files, usually generated by Mozilla programs
lzd (1.2-1)
Educational, lossless data compressor based on the LZMA algorithm
lzh-archiver
本虛擬套件由這些套件填實: jlha-utils, lhasa
lzip (1.22-3)
lossless data compressor based on the LZMA algorithm
lzip-alternative
本虛擬套件由這些套件填實: minilzip, clzip, lziprecover, pdlzip, lunzip, lzip, lzd, xlunzip, plzip
lzip-compressor
本虛擬套件由這些套件填實: lzd, pdlzip, minilzip, lziprecover, clzip, plzip, lzip
lzip-decompressor
本虛擬套件由這些套件填實: pdlzip, minilzip, lziprecover, clzip, lzip, lunzip, lzd, plzip, xlunzip
lziprecover (1.22-1)
lossless data compressor based on the LZMA algorithm (recovery)
lzma (9.22-2.2)
Compression and decompression in the LZMA format - command line utility
lzma
本虛擬套件由這些套件填實: xz-utils
lzma-alone (9.22-2.2)
Compression and decompression in the LZMA format - legacy utility
lzma-dev (9.22-2.2)
Compression and decompression in the LZMA format - development files
lzop (1.04-2)
fast compression program
m-tx
本虛擬套件由這些套件填實: texlive-music
m16c-flash (0.1-1.1+b2)
Flash programmer for Renesas M16C and R8C microcontrollers
m17n-db (1.8.0-3)
multilingual text processing library - database
m17n-docs (1.6.2-2.1)
multilingual text processing library - documents
m17n-im-config (0.9.0-5)
input method configuration library for m17n-lib - utility
m17n-lib-bin (1.8.0-2)
multilingual text processing library - utilities
m17n-lib-mimx (1.8.0-2)
multilingual text processing library - binary modules
m2-compiler
本虛擬套件由這些套件填實: gm2-9, gm2-10
m2crypto
本虛擬套件由這些套件填實: python3-m2crypto
m2r (0.2.1-5)
Markdown and reStructuredText in a single file - CLI tool
m2vrequantiser (1.1-5)
MPEG-2 streams requantization
m4 (1.4.18-5)
macro processing language
m4-doc (1.4.18-5)
Documentation for GNU m4
m4api (0.3~0.9646fd-2)
access Mini-Box M4-ATX power supplies (utility)
mac-fdisk-cross (0.1-18+b1)
Apple disk partition manipulation tool, cross version
mac-robber (1.02-12)
collects data about allocated files in mounted filesystems
macaulay2 (1.17.1+ds-2)
Software system for algebraic geometry research
macaulay2-common (1.17.1+ds-2)
Software system for algebraic geometry research (common files)
macchanger (1.7.0-5.4)
utility for manipulating the MAC address of network interfaces
macfanctld (0.6+repack1-2+b1 [amd64], 0.6+repack1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
fan control daemon for Apple MacBook / MacBook Pro computers
macopix (3.4.0+dfsg.1-3)
Mascot Constructive Pilot for X
macs (2.2.7.1-3+b2)
Model-based Analysis of ChIP-Seq on short reads sequencers
macsyfinder (2.0~rc1-3+b1)
detection of macromolecular systems in protein datasets
mactelnet-client (0.4.4-4+b1 [amd64], 0.4.4-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Console tools for telneting and pinging via MAC addresses
mactelnet-server (0.4.4-4+b1 [amd64], 0.4.4-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Telnet daemon for accepting connections via MAC addresses
macutils (2.0b3-16+b2)
Set of tools to deal with specially encoded Macintosh files
madbomber (0.2.5-8.1)
Kaboom! clone
madbomber-data (0.2.5-8.1)
Datafiles for madbomber
made-filesystems
本虛擬套件由這些套件填實: partman-base
madfuload (1.2-4.2) [non-free]
Firmware loader for M-Audio DFU audio devices
madison-lite (0.26)
display versions of Debian packages in an archive
madlib-doc (1.3.0-2.2)
mesh adaptation library
madplay (0.15.2b-9)
MPEG audio player in fixed point
madwimax (0.1.1-1+b2)
user-space driver for mWiMAX equipment based on Samsung CMC-730
maelstrom (1.4.3-L3.0.6+main-9)
Arcade-style game resembling Asteroids
maffilter (1.3.1+dfsg-2+b1)
process genome alignment in the Multiple Alignment Format
maffilter-examples (1.3.1+dfsg-2)
process genome alignment in the Multiple Alignment Format (example data)
mafft (7.475-1)
Multiple alignment program for amino acid or nucleotide sequences
magic (8.3.105+ds.1-1)
VLSI layout tool
magic-haskell-doc
本虛擬套件由這些套件填實: libghc-magic-doc
magic-wormhole (0.12.0-1)
Securely and simply transfer data between computers
magic-wormhole-transit-relay (0.2.1-1)
Transit Relay server for Magic-Wormhole
magicfilter (1.2-66)
automatic printer filter
magicmaze (1.4.3.6+dfsg-3)
rescue the maiden while avoiding the monsters
magicrescue (1.1.10-4)
recover files by looking for magic bytes
magics++ (4.5.3-1)
Executables for the magics++ library
magit
本虛擬套件由這些套件填實: elpa-magit
magnum-api (11.0.0-1)
OpenStack containers as a service
magnum-common (11.0.0-1)
OpenStack containers as a service - API server
magnum-conductor (11.0.0-1)
OpenStack containers as a service - conductor
magnum-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Magnum plugin
magnus (1:1.0.3-3)
Very simple screen magnifier
mah-jong (1.11-2+b2)
Original Mah-Jong game
mahimahi (0.98-1.1+b2)
tools for network emulation and analysis
mahimahi-traces (0.98-1.1)
network traces for the mahimahi toolkit
mahonia (0.0~git20180208.3358181-2+b6)
Character-set conversion library implemented in Go. (program)
mail-expire (0.9.1)
Utility to extract outdated messages from mail folders
mail-reader
本虛擬套件由這些套件填實: evolution, wl, geary, gnumail.app, xemacs21-mule, emacs-gtk, xemacs21-mule-canna-wnn, mailutils, jed, emacs-nox, mew, kmail, xemacs21-nomule, im, wl-beta, mew-beta-bin, sup-mail, neomutt, s-nail, thunderbird, mew-beta, mmh, emacs-lucid, xjed, sylpheed, mew-bin, mutt, vm, bsd-mailx, nmh, edbrowse, balsa, mailutils-mh, claws-mail
mail-transport-agent
本虛擬套件由這些套件填實: msmtp-mta, postfix, sendmail-bin, ssmtp, esmtp-run, exim4-daemon-light, exim4-daemon-heavy, courier-mta, dma, nullmailer, opensmtpd
mailagent (1:3.1-106-1)
automatic mail-processing tool and filter
mailcap (3.69)
Debian's mailcap system, and support programs
mailcheck (1.91.2-4)
check multiple mailboxes/maildirs for mail
maildir-filter (1.20-6)
Simple program to filter messages into Maildir folders from dot-qmail
maildir-utils (1.4.15-1)
Set of utilities to deal with Maildirs (upstream name mu)
maildirsync (1.2-3)
simple and efficient Maildir synchronisation utility
maildrop (2.9.3-2+b1)
mail delivery agent with filtering abilities (set-GID=mail)
mailfilter (0.8.7-1)
Program that filters your incoming e-mail to help remove spam
mailfront (2.12-1)
mail server network protocol front-ends
mailgraph (1.14-17)
RRDtool frontend for Mail statistics
mailman3 (3.3.3-1)
Mailing list management system
mailman3-core (= 3.1.1-5)
本虛擬套件由這些套件填實: mailman3
mailman3-core-doc (= 3.1.1-5)
本虛擬套件由這些套件填實: mailman3-doc
mailman3-doc (3.3.3-1)
Mailing list management system documentation
mailman3-full (3.3.3-1)
Full Mailman3 mailing list management suite (metapackage)
mailman3-web (0+20200530-2)
Django project integrating Mailman3 Postorius and HyperKitty
mailnag (2.0.0-0.2)
extensible mail notification daemon
mailscripts (0.23-1)
collection of scripts for manipulating e-mail on Debian
mailsync (5.2.7-3+b1 [amd64], 5.2.7-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Synchronize IMAP mailboxes
mailtextbody (0.1.4-1)
tool to return the body of an email message
mailto (1.3.2-3+b2)
WWW Forms to Mail Gateway
mailutils (1:3.10-3+b1)
GNU mailutils utilities for handling mail
mailutils-common (1:3.10-3)
common files for GNU mailutils
mailutils-comsatd (1:3.10-3+b1)
GNU mailutils-based comsatd daemon
mailutils-doc (1:3.10-3)
documentation files for GNU mailutils
mailutils-guile (1:3.10-3+b1)
GNU mailutils Guile interpreter and modules
mailutils-imap4d (1:3.10-3+b1)
GNU mailutils-based IMAP4 Daemon
mailutils-mda (1:3.10-3+b1)
GNU mailutils utilities for handling mail -- MDA
mailutils-mh (1:3.10-3+b1)
GNU mailutils-based MH utilities
mailutils-pop3d (1:3.10-3+b1)
GNU mailutils-based POP3 Daemon
mailx
本虛擬套件由這些套件填實: bsd-mailx, mailutils
maim (5.6.3-1)
takes screenshots of your desktop
main-menu (1.62)
Debian installer main menu
maint-guide (1.2.46)
Debian New Maintainers' Guide
maint-guide-ca (1.2.46)
Debian New Maintainers' Guide (Catalan)
maint-guide-de (1.2.46)
Debian New Maintainers' Guide (German)
maint-guide-es (1.2.46)
Debian New Maintainers' Guide (Spanish)
maint-guide-fr (1.2.46)
Debian New Maintainers' Guide (French)
maint-guide-it (1.2.46)
Debian New Maintainers' Guide (Italian)
maint-guide-ja (1.2.46)
Debian New Maintainers' Guide (Japanese)
maint-guide-ru (1.2.46)
Debian New Maintainers' Guide (Russian)
maint-guide-vi (1.2.46)
Debian New Maintainers' Guide (Vietnamese)
maint-guide-zh-cn (1.2.46)
Debian New Maintainers' Guide (Chinese(cn))
maint-guide-zh-tw (1.2.46)
Debian New Maintainers' Guide (Chinese(tw))
mairix (0.24-2)
indexes and searches locally-stored email
make (4.3-4.1)
utility for directing compilation
make (= 4.3-4.1)
本虛擬套件由這些套件填實: make-guile
make-doc (4.3-2) [non-free]
Documentation for the GNU version of the "make" utility
make-guile (4.3-4.1)
utility for directing compilation with guile support
makebootfat (1.4-8)
Utility to create a bootable FAT filesystem
makedepf90 (3.0.0-1+b1 [amd64], 3.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fortran-90 dependency processor for Makefiles
makedev (2.3.1-94.1)
creates device files in /dev
makedic (6.5deb2-12)
dictionary compiler for KDrill
makedumpfile (1:1.6.8-4)
VMcore extraction tool
makefile2graph (1.5.0-2)
creates a graph of dependencies from GNU-Make
makefs (20190105-3)
create a cd9660 or ffs filesystem image from a directory tree
makehrtf (1:1.19.1-2)
HRTF Processing and Composition Utility
makejvf
本虛擬套件由這些套件填實: texlive-binaries
makeparallel (1:10.0.0+r36-1)
Command line tool for communication with the GNU make jobserver
makepasswd (1.10-12)
Generate and encrypt passwords
makepatch (2.03-1.1)
generate/apply patch files with more functionality than plain diff
makepp (2.0.98.5-2.1)
GNU make compatible but reliable and simpler build tool
makeself (2.4.2-2)
utility to generate self-extractable archives
makexvpics (1.0.1-3+b1)
updates .xvpics thumbnails from the command line
mako-notifier (1.4.1-1)
lightweight notification daemon for Wayland compositors
malaga-bin (7.12-7.1)
System for automatic language analysis
malaga-doc (7.12-7.1)
Documentation for an automatic language analysis system
malaga-mode (7.12-7.1)
System for automatic language analysis - emacs mode
malcontent (0.10.0-2)
framework for parental control of applications
malcontent-gui (0.10.0-2)
GUI to configure malcontent
mame (0.228+dfsg.1-1)
Multiple Arcade Machine Emulator (MAME)
mame-data (0.228+dfsg.1-1)
Multiple Arcade Machine Emulator (MAME) -- data files
mame-doc (0.228+dfsg.1-1)
Documentation for MAME
mame-extra (0.206-1) [non-free]
Additional files for the Multiple Arcade Machine Emulator (MAME)
mame-tools (0.228+dfsg.1-1)
Tools for MAME
man
本虛擬套件由這些套件填實: man-db
man-browser
本虛擬套件由這些套件填實: jed-extra, man-db, konqueror, gman
man-db (2.9.4-2)
tools for reading manual pages
man2html (1.6g-14)
browse man pages in your web browser
man2html-base (1.6g-14)
convert man pages into HTML format
manaplus (1.9.3.23-6)
Extended client for Evol Online and The Mana World
manaplus-data (1.9.3.23-6)
Extended client for Evol Online and The Mana World (data files)
mancala (1.0.3-1+b1)
Implementation of the simple board game called Mancala
mandelbrot-solver (3.2.1-2+b1)
Solver for Mandelbrot polynomials based on MPSolve
mandelbulber2 (2.20-2)
3D fractal renderer and animator
mandelbulber2-data (2.20-2)
3D fractal renderer and animator - data files
manderlbot (0.9.3-1.1)
IRC bot, written in Erlang
mandoc (1.14.5-1)
BSD manpage compiler toolset
mandos (1.8.14-1)
server giving encrypted passwords to Mandos clients
mandos-client (1.8.14-1)
do unattended reboots with an encrypted root file system
mangler (1.2.5-4.1)
Ventrilo compatible client for Linux
mangohud (0.6.1-1+b2)
Vulkan/OpenGL overlay for monitoring FPS, temperatures, CPU/GPU load and more
manila-api (1:11.0.0-2)
OpenStack shared file system as a service - API server
manila-common (1:11.0.0-2)
OpenStack shared file system as a service - common files
manila-data (1:11.0.0-2)
Manila storage service - Data service
manila-doc (1:11.0.0-2)
OpenStack shared file system as a service - Doc
manila-scheduler (1:11.0.0-2)
OpenStack shared file system as a service - Scheduler server
manila-share (1:11.0.0-2)
OpenStack shared file system as a service - Share server
manila-tempest-plugin (1.2.0-2)
OpenStack Integration Test Suite - Manila plugin
manpages (5.10-1)
GNU/Linux 系統使用手冊
manpages-de (4.10.0-1)
German manpages
manpages-de-dev (4.10.0-1)
German development manpages
manpages-dev (5.10-1)
Manual pages about using GNU/Linux for development
manpages-es (4.10.0-1)
Spanish manpages
manpages-es-dev (4.10.0-1)
Spanish development manpages
manpages-fr (4.10.0-1)
French manpages
manpages-fr-dev (4.10.0-1)
French development manpages
manpages-fr-extra (20151231+nmu1)
French version of the manual pages (transitional dummy package)
manpages-hu (20010119-7)
Hungarian manpages
manpages-it (4.10.0-1)
Italian manpages
manpages-it-dev (4.10.0-1)
Italian development manpages
manpages-ja (0.5.0.0.20210215+dfsg-1)
Japanese version of the manual pages (for users)
manpages-ja-dev (0.5.0.0.20210215+dfsg-1)
Japanese version of the manual pages (for developers)
manpages-mk (4.10.0-1)
Macedonian manpages
manpages-nl (4.10.0-1)
Dutch manpages
manpages-nl-dev (4.10.0-1)
Dutch development manpages
manpages-opencl
本虛擬套件由這些套件填實: opencl-1.2-man-doc
manpages-opengl
本虛擬套件由這些套件填實: opengl-4-man-doc
manpages-pl (1:4.10.0-1)
Polish manpages
manpages-pl-dev (1:4.10.0-1)
Polish development manpages
manpages-posix (2017a-2) [non-free]
Manual pages about using POSIX system
manpages-posix-dev (2017a-2) [non-free]
Manual pages about using a POSIX system for development
manpages-pt (20040726-4.1)
葡萄牙語版的 Manual Pages
manpages-pt-br (4.10.0-1)
Brazilian Portuguese manpages
manpages-pt-dev (20040726-4.1)
Portuguese Versions of the Manual Pages (dev sections)
manpages-ro (4.10.0-1)
Romanian manpages
manpages-tr (1.0.5.1-3)
土耳其語版的 manual page
manpages-zh (1.6.3.4-1)
中文 manual page
manuskript (0.11.0-2)
open-source tool for writers
mapcache-cgi (1.10.0-2+b1)
tile caching server - CGI binary
mapcache-tools (1.10.0-2+b1)
tile caching server - tools
mapcode (2.5.5-4)
Convert geo coordinates to/from mapcodes
mapdamage (2.2.1+dfsg-1)
tracking and quantifying damage patterns in ancient DNA sequences
mapivi (0.9.7-1.2)
Photo viewer and organizer with emphasis on IPTC fields
maple-latex (0.0.1-8) [non-free]
LaTeX packages, environments and macros distributed by Maple
mapnik-doc (3.1.0+ds-1)
C++ toolkit for developing GIS applications (doc)
mapnik-reference (8.10.0-2)
Parseable specifications of mapnik - Node.js module
mapnik-utils (3.1.0+ds-1)
C++ toolkit for developing GIS applications (utilities)
mapproxy (1.13.0-1)
open source proxy for geospatial data
mapproxy-doc (1.13.0-1)
open source proxy for geospatial data - documentation
mapsembler2 (2.2.4+dfsg1-3)
bioinformatics targeted assembly software
mapserver-bin (7.6.2-1)
MapServer utilities
mapserver-doc (7.6.2-1)
documentation for MapServer
maptool (0.5.5+dfsg.1-2+b1)
Converts OpenStreetMap maps to Navit
maq (0.7.1-9)
maps short fixed-length polymorphic DNA sequence reads to reference sequences
maqview (0.2.5-10)
graphical read alignment viewer for short gene sequences
maradns (2.0.13-1.4+deb11u1)
simple security-focused authoritative Domain Name Service server
maradns-deadwood (2.0.13-1.4+deb11u1)
simple security-focused recursive Domain Name Service server
maradns-docs (2.0.13-1.4+deb11u1)
upstream documentation for the MaraDNS Domain Name Service server
maradns-zoneserver (2.0.13-1.4+deb11u1)
complementary server process to TCP functions for MaraDNS
marble (4:20.12.3-1)
globe and map widget
marble-data (4:20.12.3-1)
data files for Marble
marble-maps (4:20.12.3-1)
globe and map widget for mobile form factors
marble-plugins (4:20.12.3-1)
plugins for Marble
marble-qt (4:20.12.3-1)
globe and map widget (no KDE dependencies)
marble-qt-data (4:20.12.3-1)
Qt-only data files for Marble
marco (1.24.1-3)
lightweight GTK+ window manager for MATE
marco-common (1.24.1-3)
lightweight GTK+ window manager for MATE (common files)
maria (1.3.5-4.1+b2)
reachability analyzer for Algebraic System Nets
maria-doc (1.3.5-4.1)
documentation of Maria
mariadb-backup (1:10.5.23-0+deb11u1)
Backup tool for MariaDB server
mariadb-client (1:10.5.23-0+deb11u1)
MariaDB database client (metapackage depending on the latest version)
mariadb-client-10.5 (1:10.5.23-0+deb11u1)
MariaDB database client binaries
mariadb-client-core-10.5 (1:10.5.23-0+deb11u1)
MariaDB database core client binaries
mariadb-common (1:10.5.23-0+deb11u1)
MariaDB common configuration files
mariadb-plugin-connect (1:10.5.23-0+deb11u1)
Connect storage engine for MariaDB
mariadb-plugin-cracklib-password-check (1:10.5.23-0+deb11u1)
CrackLib Password Validation Plugin for MariaDB
mariadb-plugin-gssapi-client (1:10.5.23-0+deb11u1)
GSSAPI authentication plugin for MariaDB client
mariadb-plugin-gssapi-server (1:10.5.23-0+deb11u1)
GSSAPI authentication plugin for MariaDB server
mariadb-plugin-mroonga (1:10.5.23-0+deb11u1)
Mroonga storage engine for MariaDB
mariadb-plugin-oqgraph (1:10.5.23-0+deb11u1)
OQGraph storage engine for MariaDB
mariadb-plugin-rocksdb (1:10.5.23-0+deb11u1)
RocksDB storage engine for MariaDB
mariadb-plugin-s3 (1:10.5.23-0+deb11u1)
Amazon S3 archival storage engine for MariaDB
mariadb-plugin-spider (1:10.5.23-0+deb11u1)
Spider storage engine for MariaDB
mariadb-server (1:10.5.23-0+deb11u1)
MariaDB database server (metapackage depending on the latest version)
mariadb-server-10.5 (1:10.5.23-0+deb11u1)
MariaDB database server binaries
mariadb-server-core-10.5 (1:10.5.23-0+deb11u1)
MariaDB database core server files
mariadb-test (1:10.5.23-0+deb11u1)
MariaDB database regression test suite
mariadb-test-data (1:10.5.23-0+deb11u1)
MariaDB database regression test suite - data files
marisa (0.2.6-2)
command line tools for libmarisa
markdent (0.39-1)
event-based Markdown parser toolkit - command-line tool
markdown (1.0.1-10.1)
Text-to-HTML conversion tool
markdown-unlit (0.5.0-3+b2 [s390x], 0.5.0-3+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Literate Haskell support for Markdown
markdownpart (20.12.0-1)
KDE Markdown KPart
marsshooter (0.7.6-5)
ridiculous space shooter governed by the laws of gravity
marsshooter-data (0.7.6-5)
ridiculous space shooter governed by the laws of gravity -- data
mash (2.2.2+dfsg-2)
fast genome and metagenome distance estimation using MinHash
mash-doc (2.2.2+dfsg-2)
documentation for Mash
maskprocessor (0.73+git20170609.1708898-2+b1 [amd64], 0.73+git20170609.1708898-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
high-performance word generator with a per-position configurable charset
mason (1.0.0-13)
Interactively creates a Linux packet filtering firewall
masscan (2:1.3.2+ds1-1)
TCP port scanner
massif-visualizer (0.7.0-2)
Tool for visualizing memory usage recorded by Valgrind Massif
massxpert (6.0.2-1)
polymer chemistry modelling and mass spectrometry data simulation (runtime)
massxpert-data (6.0.2-1)
polymer chemistry modelling and mass spectrometry data simulation (data)
massxpert-doc (6.0.2-1)
polymer chemistry modelling and mass spectrometry data simulation (doc)
mat (0.12.1-2+deb11u1)
Transitional package to migrate to mat2
mat2 (0.12.1-2+deb11u1)
Metadata anonymisation toolkit v2
matanza (0.13+ds2-1)
Space ascii war game
matchbox (1:7)
base X environment for resource-limited systems
matchbox-common (0.9.1+git20070606-1)
common files for Matchbox Project applications
matchbox-desktop (2.2+git20200512-1)
desktop application launcher for resource-limited systems
matchbox-keyboard (0.2+git20160713-1)
on-screen keyboard
matchbox-keyboard-im (0.2+git20160713-1)
GTK+ input module for on-screen keyboard
matchbox-keyboard-udeb (0.2+git20160713-1)
on-screen keyboard
matchbox-panel (0.9.3+git20100816-1)
desktop panel for resource-limited systems
matchbox-panel-manager (0.1+git20041202-1)
panel manager for matchbox-panel
matchbox-themes-extra (0.4+git20051003-1)
extra themes for matchbox-window-manager
matchbox-window-manager (1.2.2+git20200512-1)
window manager for resource-limited systems
matchbox-window-manager-udeb (1.2.2+git20200512-1)
window manager for resource-limited systems
mate
本虛擬套件由這些套件填實: mate-desktop-environment
mate-applet-appmenu (0.7.6+dfsg1-3)
Application Menu plugin for mate-panel
mate-applet-brisk-menu (0.6.2-1)
Solus Project's Brisk Menu MATE Panel Applet
mate-applets (1.24.1-1)
Various applets for the MATE panel
mate-applets-common (1.24.1-1)
Various applets for the MATE panel (common files)
mate-backgrounds (1.24.2-1)
Set of backgrounds packaged with the MATE Desktop Environment
mate-calc (1.24.1-1)
MATE desktop calculator
mate-calc-common (1.24.1-1)
MATE desktop calculator (common files)
mate-common (1.24.2-1)
common scripts and macros to develop with MATE
mate-control-center (1.24.1-1)
utilities to configure the MATE desktop
mate-control-center-common (1.24.1-1)
utilities to configure the MATE desktop (common files)
mate-core (1.24.0+4)
MATE Desktop Environment (essential components, dummy package)
mate-desktop (1.24.1-2)
Library with common API for various MATE modules
mate-desktop-common (1.24.1-2)
Library with common API for various MATE modules (common files)
mate-desktop-environment (1.24.0+4)
MATE Desktop Environment (metapackage)
mate-desktop-environment-core (1.24.0+4)
MATE Desktop Environment (essential components, metapackage)
mate-desktop-environment-extra (1.24.0+4)
MATE Desktop Environment (extra components, dummy package)
mate-desktop-environment-extras (1.24.0+4)
MATE Desktop Environment (extra components, metapackage)
mate-dock-applet (20.04.0-1)
MATE Panel dock applet
mate-equake-applet (1.3.8.2-1+b1 [amd64], 1.3.8.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Mate panel applet which monitors earthquakes
mate-extras
本虛擬套件由這些套件填實: mate-desktop-environment-extras
mate-hud (19.10.1-3)
Run menubar commands, much like the Unity 7 HUD
mate-icon-theme (1.24.0-1)
MATE Desktop icon theme
mate-indicator-applet (1.24.0-2)
MATE panel indicator applet
mate-indicator-applet-common (1.24.0-2)
MATE panel indicator applet (common files)
mate-media (1.24.1-1)
MATE media utilities
mate-media-common (1.24.1-1)
MATE media utilities (common files)
mate-menu (20.04.3-1)
Advanced MATE menu
mate-menus (1.24.1-1)
implementation of the freedesktop menu specification for MATE
mate-netbook (1.24.0-1)
MATE utilities for netbooks
mate-netbook-common (1.24.0-1)
MATE utilities for netbooks (common files)
mate-notification-daemon (1.24.1-1)
daemon to display passive popup notifications
mate-notification-daemon-common (1.24.1-1)
daemon to display passive popup notifications (common files)
mate-panel (1.24.1-1)
launcher and docking facility for MATE
mate-panel-common (1.24.1-1)
launcher and docking facility for MATE (common files)
mate-polkit (1.24.0-2)
MATE authentication agent for PolicyKit-1
mate-polkit-bin (1.24.0-2)
MATE authentication agent for PolicyKit-1 (executable wrapper script)
mate-polkit-common (1.24.0-2)
MATE authentication agent for PolicyKit-1 (common files)
mate-power-manager (1.24.2-1)
power management tool for the MATE desktop
mate-power-manager-common (1.24.2-1)
power management tool for the MATE desktop (common files)
mate-screensaver (1.24.1-1)
MATE screen saver and locker
mate-screensaver-common (1.24.1-1)
MATE screen saver and locker (common files)
mate-sensors-applet (1.24.1-1)
Display readings from hardware sensors in your MATE panel
mate-sensors-applet-common (1.24.1-1)
Display readings from hardware sensors in your MATE panel (common files)
mate-sensors-applet-nvidia (1.24.1-1)
Display readings from hardware sensors in your MATE panel (NVIDIA sensors)
mate-session-manager (1.24.1-2)
Session manager of the MATE desktop environment
mate-settings-daemon (1.24.1-1)
daemon handling the MATE session settings
mate-settings-daemon-common (1.24.1-1)
daemon handling the MATE session settings (common files)
mate-settings-daemon-dev (1.24.1-1)
daemon handling the MATE session settings (development files)
mate-sntray-plugin (0.4.13.1-1)
plugin for xfce4-panel to show StatusNotifierItems - MATE
mate-system-monitor (1.24.1-1)
Process viewer and system resource monitor for MATE
mate-system-monitor-common (1.24.1-1)
Process viewer and system resource monitor for MATE (common files)
mate-terminal (1.24.1-1)
MATE terminal emulator application
mate-terminal-common (1.24.1-1)
MATE terminal emulator application (common files)
mate-text-editor
本虛擬套件由這些套件填實: pluma
mate-themes (3.22.21-1)
Official themes for the MATE desktop
mate-tweak (21.04.2-1)
MATE desktop tweak tool
mate-user-guide (1.24.0-1)
User documentation for MATE Desktop Environment
mate-user-share (1.24.0-2)
User level public file sharing via WebDAV
mate-user-share-common (1.24.0-2)
User level public file sharing via WebDAV (common files)
mate-utils (1.24.0-1)
MATE desktop utilities
mate-utils-common (1.24.0-1)
MATE desktop utilities (common files)
mate-window-applets-common (20.04.0-1)
MATE Window Applets (common files)
mate-window-buttons-applet (20.04.0-1)
MATE Window Applets (WindowButtons Applet)
mate-window-menu-applet (20.04.0-1)
MATE Window Applets (WindowMenu Applet)
mate-window-title-applet (20.04.0-1)
MATE Window Applets (WindowTitle Applet)
materia-gtk-theme (20200916-0.2)
Material Design theme for GNOME/GTK+ based desktop environments
materia-kde (20210129-1)
Port of the popular GTK theme Materia for Plasma 5
mathgl (2.4.4-7)
library for scientific graphs (utilities and examples)
mathicgb (1.0~git20200526-1)
Compute Groebner bases (command line tool)
mathomatic (16.0.5-4)
portable Computer Algebra System (CAS)
mathomatic-primes (16.0.5-4)
prime number tools for mathomatic
mathpiper (0.81f+svn4469+dfsg3-3.1)
Java Computer Algebra System
mathtex (1.03-1+b2)
Generate image from LaTeX command
matio-tools (1.5.19-2)
MATLAB MAT File I/O Library - command-line tools
matlab-gdf (0.1.3-8) [contrib]
IO library for the GDF -- Matlab interface
matlab-jnifti (0.6-2) [contrib]
fast NIfTI-1/2 reader and NIfTI-to-JNIfTI converter for MATLAB
matlab-jsonlab (2.0-1.1) [contrib]
native JSON/UBJSON/MassagePack encoder/decoder for MATLAB
matlab-support (0.0.22) [contrib]
distro integration for local MATLAB installations
matlab-support-dev (0.0.22)
helpers for packages building MATLAB toolboxes
matlab-zmat (0.9.8+ds-3) [contrib]
in-memory data compression for MATLAB
matlab2tikz (1.1.0-7)
converter of Octave plots to TikZ figures (for integration into LaTeX)
matrix-synapse-ldap3 (0.1.4+git20201015+a3c7a9f-1)
LDAP auth provider for the Matrix homeserver
matroxset (0.4-13)
switch output modes, including TV out, of Matrox video cards
maude (3.1-2)
high-performance logical framework
mauve-aligner (2.4.0+4736-3)
multiple genome alignment
maven (3.6.3-5)
Java software project management and comprehension tool
maven-ant-helper (8.6)
helper scripts for building Maven components with ant
maven-cache-cleanup (1.0.4-1.2)
Utility to purge timestamped snapshots from Maven repositories
maven-debian-helper (2.6)
Helper tools for building Debian packages with Maven
maven-repo-helper (1.10)
Helper tools for including Maven metadata in Debian packages
mawk (1.3.4.20200120-2)
Pattern scanning and text processing language
maxima (5.44.0-3)
Computer algebra system -- base system
maxima-doc (5.44.0-3)
Computer algebra system -- documentation
maxima-emacs (5.44.0-3)
Computer algebra system -- emacs interface
maxima-sage (5.44.0-1+b1)
Computer algebra system -- base system
maxima-sage-doc (5.44.0-1)
Computer algebra system -- documentation
maxima-sage-share (5.44.0-1)
Computer algebra system -- extra code
maxima-share (5.44.0-3)
Computer algebra system -- extra code
maxima-src (5.44.0-3)
Computer algebra system -- source code
maxima-test (5.44.0-3)
Computer algebra system -- test suite
mayavi2 (4.7.1-2+b2)
scientific visualization package for 2-D and 3-D data
maybe (0.4.0-6)
control filesystem modifications before they occur
mazeofgalious (0.62.dfsg2-4+b1)
The Maze of Galious
mazeofgalious-data (0.62.dfsg2-4)
The Maze of Galious
mb2md (3.20-9)
Convert Mbox mailboxes to Maildir format
mbed-test-wrapper (1.0.0-4)
utility to wrap the mbed test loader for use by yotta targets
mblaze (1.1-1)
UNIX utilities to deal with Maildir
mbmon (2.05-8+b1)
Hardware monitoring without kernel dependencies (text client)
mbox-importer (4:20.08.3-1)
MBox email archive importer
mboxgrep (0.7.9-5)
Grep through mailboxes
mbpfan (2.2.1-1)
fan control daemon for Apple Macbooks
mbpoll (1.4.11+dfsg-2)
command line utility to communicate with ModBus slave (RTU or TCP)
mbr (1.2.1+b1 [amd64], 1.2.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Master Boot Record for IBM-PC compatible computers.
mbr-udeb (1.2.1+b1 [amd64], 1.2.1 [i386])
Master Boot Record for IBM-PC compatible computers
mbrola (3.3+dfsg-4+deb11u1) [contrib]
Multilingual software speech synthesizer
mbrola-af1 (0.0.20040426+repack2-5) [non-free]
Afrikaans male voice for Mbrola
mbrola-ar1 (1.0+repack2-2) [non-free]
Arabic male voice for Mbrola
mbrola-ar2 (0.0.20001015+repack2-2) [non-free]
Arabic male voice for Mbrola
mbrola-br1 (2.021+repack2-4) [non-free]
Brazilian Portuguese male voice for Mbrola
mbrola-br2 (2.021+repack2-4) [non-free]
Brazilian Portuguese female voice for Mbrola
mbrola-br3 (2.021+repack2-5) [non-free]
Brazilian Portuguese male voice for Mbrola
mbrola-br4 (1.0+repack2-4) [non-free]
Brazilian Portuguese female voice for Mbrola
mbrola-bz1 (0.99+repack2-2) [non-free]
Breton female voice for Mbrola
mbrola-ca1 (1.00+repack2-2) [non-free]
Canadian French male voice for Mbrola
mbrola-ca2 (0.0.20031022+repack2-2) [non-free]
Canadian French male voice for Mbrola
mbrola-cn1 (0.0.201111+repack2-2) [non-free]
Chinese female voice for Mbrola
mbrola-cr1 (0.0.19981028+repack2-5) [non-free]
Croatian male voice for Mbrola
mbrola-cz1 (0.1+repack2-2) [non-free]
Czech female voice for Mbrola
mbrola-cz2 (0.2+repack2-5) [non-free]
Czech male voice for Mbrola
mbrola-de1 (2.050+repack2-4) [non-free]
German female voice for Mbrola
mbrola-de2 (0.0.19990106+repack2-4) [non-free]
German male voice for Mbrola
mbrola-de3 (1.0+repack2-4) [non-free]
German female voice for Mbrola
mbrola-de4 (0.0.20020812+repack2-4) [non-free]
German male voice for Mbrola
mbrola-de5 (1.0+repack2-4) [non-free]
German female voice for Mbrola
mbrola-de6 (0.0.20021125+repack2-5) [non-free]
German male voice for Mbrola
mbrola-de7 (0.0.20030404+repack2-5) [non-free]
German female voice for Mbrola
mbrola-de8 (0.0.20040811+repack2-2) [non-free]
German-Bavarian male voice for Mbrola
mbrola-ee1 (0.0.20020407+repack2-4) [non-free]
Estonian male voice for Mbrola
mbrola-en1 (19980910+repack2-5) [non-free]
British English male voice for Mbrola
mbrola-es1 (0.0.19980610+repack2-5) [non-free]
Spanish male voice for Mbrola
mbrola-es2 (2.069+repack2-4) [non-free]
Spanish male voice for Mbrola
mbrola-es3 (0.0.20141124+repack2-2) [non-free]
Spanish female voice for Mbrola
mbrola-es4 (0.0.20020903+repack2-2) [non-free]
Spanish male voice for Mbrola
mbrola-fr1 (2.050+repack2-4) [non-free]
French male voice for Mbrola
mbrola-fr2 (2.060+repack2-2) [non-free]
French female voice for Mbrola
mbrola-fr3 (2.060+repack2-2) [non-free]
French male voice for Mbrola
mbrola-fr4 (0.0.19990521+repack2-5) [non-free]
French female voice for Mbrola
mbrola-fr5 (2.060+repack2-2) [non-free]
French Belgian male voice for Mbrola
mbrola-fr6 (0.0.20010330+repack2-2) [non-free]
French male voice for Mbrola
mbrola-fr7 (2.00b+repack2-2) [non-free]
French Belgian male voice for Mbrola
mbrola-gr1 (19990610+repack2-4) [non-free]
Greek male voice for Mbrola
mbrola-gr2 (0.0.20010521+repack2-5) [non-free]
Greek male voice for Mbrola
mbrola-hb1 (0.0.20000308+repack2-2) [non-free]
Hebrew male voice for Mbrola
mbrola-hb2 (0.0.20040902+repack2-2) [non-free]
Hebrew female voice for Mbrola
mbrola-hn1 (4+repack2-2) [non-free]
Korean male voice for Mbrola
mbrola-hu1 (1.002+repack2-5) [non-free]
Hungarian male voice for Mbrola
mbrola-ic1 (0.0.20061202+repack2-4) [non-free]
Icelandic male voice for Mbrola
mbrola-id1 (1+repack2-5) [non-free]
Indonesian male voice for Mbrola
mbrola-in1 (0.0.20010206+repack2-2) [non-free]
Hindi male voice for Mbrola
mbrola-in2 (0.0.20010202+repack2-2) [non-free]
Hindi female voice for Mbrola
mbrola-ir1 (0.0.20040310+repack2-4) [non-free]
Farsi male voice for Mbrola
mbrola-it1 (0.1+repack2-2) [non-free]
Italian male voice for Mbrola
mbrola-it2 (0.1+repack2-2) [non-free]
Italian female voice for Mbrola
mbrola-it3 (0.1+repack2-5) [non-free]
Italian male voice for Mbrola
mbrola-it4 (0.1+repack2-5) [non-free]
Italian female voice for Mbrola
mbrola-jp1 (0.0.20000314+repack2-2) [non-free]
Japanese male voice for Mbrola
mbrola-jp2 (0.1+repack2-2) [non-free]
Japanese female voice for Mbrola
mbrola-jp3 (0.0.20021022+repack2-2) [non-free]
Japanese female voice for Mbrola
mbrola-la1 (0.0.20050615+repack2-5) [non-free]
Latin male voice for Mbrola
mbrola-lt1 (1.0+repack2-4) [non-free]
Lithuanian male voice for Mbrola
mbrola-lt2 (1.0+repack2-4) [non-free]
Lithuanian male voice for Mbrola
mbrola-ma1 (0.0.20040816+repack2-2) [non-free]
Malay female voice for Mbrola
mbrola-mx1 (0.1+repack2-4) [non-free]
Mexican Spanish male voice for Mbrola
mbrola-mx2 (0.1+repack2-4) [non-free]
Mexican Spanish male voice for Mbrola
mbrola-nl1 (0.2+repack2-2) [non-free]
Dutch male voice for Mbrola
mbrola-nl2 (0.5+repack2-5) [non-free]
Dutch male voice for Mbrola
mbrola-nl3 (0.1+repack2-2) [non-free]
Dutch female voice for Mbrola
mbrola-nz1 (0.2+repack2-2) [non-free]
Maori male voice for Mbrola
mbrola-pl1 (0.1+repack2-5) [non-free]
Polish female voice for Mbrola
mbrola-pt1 (1.0+repack2-5) [non-free]
European Portuguese female voice for Mbrola
mbrola-ro1 (1.00+repack2-5) [non-free]
Romanian male voice for Mbrola
mbrola-sw1 (1.00+repack2-5) [non-free]
Swedish male voice for Mbrola
mbrola-sw2 (1.0+repack2-5) [non-free]
Swedish female voice for Mbrola
mbrola-tl1 (0.0.20010627+repack2-2) [non-free]
Telugu female voice for Mbrola
mbrola-tr1 (0.1+repack2-4) [non-free]
Turkish male voice for Mbrola
mbrola-tr2 (0.1+repack2-4) [non-free]
Turkish female voice for Mbrola
mbrola-us1 (0.3+repack2-5) [non-free]
American English female voice for Mbrola
mbrola-us2 (0.1+repack2-5) [non-free]
American English male voice for Mbrola
mbrola-us3 (0.1+repack2-4) [non-free]
American English male voice for Mbrola
mbrola-voice
本虛擬套件由這些套件填實: mbrola-sw1, mbrola-hu1, mbrola-jp1, mbrola-ca2, mbrola-hn1, mbrola-in2, mbrola-fr3, mbrola-br2, mbrola-de8, mbrola-es3, mbrola-nl1, mbrola-tr2, mbrola-it4, mbrola-nz1, mbrola-us1, mbrola-de3, mbrola-gr1, mbrola-mx2, mbrola-vz1, mbrola-ee1, mbrola-tl1, mbrola-fr7, mbrola-la1, mbrola-de2, mbrola-ic1, mbrola-ro1, mbrola-fr2, mbrola-de7, mbrola-br3, mbrola-ar2, mbrola-bz1, mbrola-es2, mbrola-hb2, mbrola-lt2, mbrola-it1, mbrola-cz1, mbrola-jp2, mbrola-sw2, mbrola-cr1, mbrola-de4, mbrola-it3, mbrola-ca1, mbrola-in1, mbrola-es4, mbrola-pl1, mbrola-fr4, mbrola-br1, mbrola-de6, mbrola-nl2, mbrola-tr1, mbrola-gr2, mbrola-fr6, mbrola-us2, mbrola-en1, mbrola-mx1, mbrola-de1, mbrola-ir1, mbrola-us3, mbrola-pt1, mbrola-af1, mbrola-ar1, mbrola-fr1, mbrola-nl3, mbrola-cn1, mbrola-hb1, mbrola-es1, mbrola-br4, mbrola-de5, mbrola-jp3, mbrola-ma1, mbrola-id1, mbrola-fr5, mbrola-cz2, mbrola-it2, mbrola-lt1
mbrola-voice-af
本虛擬套件由這些套件填實: mbrola-af1
mbrola-voice-ar
本虛擬套件由這些套件填實: mbrola-ar1, mbrola-ar2
mbrola-voice-br
本虛擬套件由這些套件填實: mbrola-bz1
mbrola-voice-cs
本虛擬套件由這些套件填實: mbrola-cz1, mbrola-cz2
mbrola-voice-de
本虛擬套件由這些套件填實: mbrola-de3, mbrola-de7, mbrola-de5, mbrola-de4, mbrola-de8, mbrola-de2, mbrola-de1, mbrola-de6
mbrola-voice-de-de
本虛擬套件由這些套件填實: mbrola-de2, mbrola-de3, mbrola-de1
mbrola-voice-el
本虛擬套件由這些套件填實: mbrola-gr2, mbrola-gr1
mbrola-voice-en
本虛擬套件由這些套件填實: mbrola-us3, mbrola-us2, mbrola-us1, mbrola-en1
mbrola-voice-en-uk
本虛擬套件由這些套件填實: mbrola-en1
mbrola-voice-en-us
本虛擬套件由這些套件填實: mbrola-us1, mbrola-us2, mbrola-us3
mbrola-voice-es
本虛擬套件由這些套件填實: mbrola-es4, mbrola-mx2, mbrola-es2, mbrola-mx1, mbrola-vz1, mbrola-es1, mbrola-es3
mbrola-voice-es-mx
本虛擬套件由這些套件填實: mbrola-mx2, mbrola-mx1
mbrola-voice-es-ve
本虛擬套件由這些套件填實: mbrola-vz1
mbrola-voice-et
本虛擬套件由這些套件填實: mbrola-ee1
mbrola-voice-et-ee
本虛擬套件由這些套件填實: mbrola-ee1
mbrola-voice-fa
本虛擬套件由這些套件填實: mbrola-ir1
mbrola-voice-fa-ir
本虛擬套件由這些套件填實: mbrola-ir1
mbrola-voice-fr
本虛擬套件由這些套件填實: mbrola-fr4, mbrola-fr5, mbrola-fr1, mbrola-fr6, mbrola-fr2, mbrola-ca1, mbrola-ca2, mbrola-fr7, mbrola-fr3
mbrola-voice-fr-be
本虛擬套件由這些套件填實: mbrola-fr7, mbrola-fr5
mbrola-voice-fr-ca
本虛擬套件由這些套件填實: mbrola-ca2, mbrola-ca1
mbrola-voice-he
本虛擬套件由這些套件填實: mbrola-hb1, mbrola-hb2
mbrola-voice-hi
本虛擬套件由這些套件填實: mbrola-in1, mbrola-in2
mbrola-voice-hr
本虛擬套件由這些套件填實: mbrola-cr1
mbrola-voice-hu
本虛擬套件由這些套件填實: mbrola-hu1
mbrola-voice-id
本虛擬套件由這些套件填實: mbrola-id1
mbrola-voice-is
本虛擬套件由這些套件填實: mbrola-ic1
mbrola-voice-it
本虛擬套件由這些套件填實: mbrola-it1, mbrola-it2, mbrola-it3, mbrola-it4
mbrola-voice-ja
本虛擬套件由這些套件填實: mbrola-jp3, mbrola-jp2, mbrola-jp1
mbrola-voice-ko
本虛擬套件由這些套件填實: mbrola-hn1
mbrola-voice-la
本虛擬套件由這些套件填實: mbrola-la1
mbrola-voice-lt
本虛擬套件由這些套件填實: mbrola-lt1, mbrola-lt2
mbrola-voice-lt-lt
本虛擬套件由這些套件填實: mbrola-lt2, mbrola-lt1
mbrola-voice-mi
本虛擬套件由這些套件填實: mbrola-nz1
mbrola-voice-ms
本虛擬套件由這些套件填實: mbrola-ma1
mbrola-voice-nl
本虛擬套件由這些套件填實: mbrola-nl1, mbrola-nl2, mbrola-nl3
mbrola-voice-pl
本虛擬套件由這些套件填實: mbrola-pl1
mbrola-voice-pt
本虛擬套件由這些套件填實: mbrola-br1, mbrola-br3, mbrola-br2, mbrola-br4, mbrola-pt1
mbrola-voice-pt-br
本虛擬套件由這些套件填實: mbrola-br4, mbrola-br2, mbrola-br3, mbrola-br1
mbrola-voice-pt-pt
本虛擬套件由這些套件填實: mbrola-pt1
mbrola-voice-ro
本虛擬套件由這些套件填實: mbrola-ro1
mbrola-voice-sv
本虛擬套件由這些套件填實: mbrola-sw1, mbrola-sw2
mbrola-voice-te
本虛擬套件由這些套件填實: mbrola-tl1
mbrola-voice-tr
本虛擬套件由這些套件填實: mbrola-tr1, mbrola-tr2
mbrola-voice-zh
本虛擬套件由這些套件填實: mbrola-cn1
mbrola-vz1 (2+repack3-1) [non-free]
Venezuelan Spanish male voice for Mbrola
mbt (3.6-3)
memory-based tagger-generator and tagger
mbtserver (0.14-2)
Server extensions for the MBT tagger
mbuffer (20200929+ds2-5)
tool for buffering data streams
mbw (1.2.2-1+b1)
memory bandwidth benchmark program
mc (3:4.8.26-1.1)
Midnight Commander - a powerful file manager
mc-data (3:4.8.26-1.1)
Midnight Commander - a powerful file manager -- data files
mcabber (1.1.2-1)
small Jabber (XMPP) console client
mccs (1:1.1-9)
multi-criteria CUDF solver
mcedit
本虛擬套件由這些套件填實: mc
mcl (1:14-137+ds-9+b1)
Markov Cluster algorithm
mcl-doc (1:14-137+ds-9)
documentation for mcl
mcollective (2.12.5+dfsg-1)
Marionette Collective clustering framework - server
mcollective-client (2.12.5+dfsg-1)
Marionette Collective clustering framework - clients
mcollective-common (2.12.5+dfsg-1)
Marionette Collective clustering framework - common files
mcollective-doc (2.12.5+dfsg-1)
Marionette Collective clustering framework - documentation
mcomix (1.2.1mcomix3+git20200206-1)
GTK+ image viewer for comic books
mcp-plugins (0.4.0-6)
LADSPA plugins designed for Alsa Modular Synth
mcpp (2.7.2-5)
Alternative C/C++ preprocessor
mcpp-doc (2.7.2-5)
Alternative C/C++ preprocessor (manual)
mcron (1.0.8-1.1)
Guile-based program for running jobs at regular times
mcrypt (2.6.8-4)
Replacement for old unix crypt(1)
mcstrans (3.1-1)
SELinux core policy utilities (mcstrans utilities)
mcu8051ide (1.4.9-2)
Graphical Integrated Development Environment for 8051
md-modules
本虛擬套件由這些套件填實: md-modules-5.10.0-28-amd64-di, md-modules-5.10.0-26-amd64-di, md-modules-5.10.0-26-s390x-di, md-modules-5.10.0-26-arm64-di, md-modules-5.10.0-28-loongson-3-di, md-modules-5.10.0-28-5kc-malta-di, md-modules-5.10.0-26-armmp-di, md-modules-5.10.0-25-686-di, md-modules-5.10.0-27-armmp-di, md-modules-5.10.0-27-686-pae-di, md-modules-5.10.0-25-loongson-3-di, md-modules-5.10.0-26-marvell-di, md-modules-5.10.0-26-686-pae-di, md-modules-5.10.0-26-octeon-di, md-modules-5.10.0-26-686-di, md-modules-5.10.0-25-armmp-di, md-modules-5.10.0-25-octeon-di, md-modules-5.10.0-26-powerpc64le-di, md-modules-5.10.0-26-4kc-malta-di, md-modules-5.10.0-27-powerpc64le-di, md-modules-5.10.0-27-4kc-malta-di, md-modules-5.10.0-25-arm64-di, md-modules-5.10.0-26-5kc-malta-di, md-modules-5.10.0-25-s390x-di, md-modules-5.10.0-25-4kc-malta-di, md-modules-5.10.0-25-5kc-malta-di, md-modules-5.10.0-25-powerpc64le-di, md-modules-5.10.0-28-powerpc64le-di, md-modules-5.10.0-28-4kc-malta-di, md-modules-5.10.0-27-marvell-di, md-modules-5.10.0-27-loongson-3-di, md-modules-5.10.0-27-arm64-di, md-modules-5.10.0-26-loongson-3-di, md-modules-5.10.0-27-amd64-di, md-modules-5.10.0-25-marvell-di, md-modules-5.10.0-27-octeon-di, md-modules-5.10.0-28-arm64-di, md-modules-5.10.0-27-5kc-malta-di, md-modules-5.10.0-28-armmp-di, md-modules-5.10.0-27-686-di, md-modules-5.10.0-28-octeon-di, md-modules-5.10.0-28-marvell-di, md-modules-5.10.0-28-s390x-di, md-modules-5.10.0-25-686-pae-di, md-modules-5.10.0-28-686-di, md-modules-5.10.0-27-s390x-di, md-modules-5.10.0-25-amd64-di, md-modules-5.10.0-28-686-pae-di
md-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-686-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
RAID and LVM support
md-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-686-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-686-pae-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-amd64-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-arm64-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-armmp-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-loongson-3-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-marvell-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-octeon-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-26-s390x-di (5.10.197-1)
RAID and LVM support
md-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-686-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
RAID and LVM support
md-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-686-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-686-pae-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-amd64-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-arm64-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-armmp-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-loongson-3-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-marvell-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-octeon-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
RAID and LVM support
md-modules-5.10.0-28-s390x-di (5.10.209-2)
RAID and LVM support
md5deep
本虛擬套件由這些套件填實: hashdeep
mda-lv2 (1.2.6-1)
Paul Kellett's MDA plugins ported to LV2
mdadm (4.1-11)
Tool to administer Linux MD arrays (software RAID)
mdadm-udeb (4.1-11)
Tool to administer Linux MD arrays (software RAID)
mdbtools (0.9.1-1)
JET / MS Access database (MDB) tools
mdbtools-dev (0.9.1-1)
mdbtools development files
mdbtools-doc (0.9.1-1)
Dummy package
mdcfg (1.73)
Configure MD devices
mdcfg-utils (1.73)
Configure MD devices
mdetect (0.5.2.4+b1)
mouse device autodetection tool
mdevctl (0.81-1)
Mediated device management utility for Linux
mdf2iso (0.3.1-2)
simple utility to convert mdf to iso / cue / toc images
mdfinder.app (0.9.4-3)
GNUstep Finder and system-wide search system
mdk (1.2.11+dfsg-2)
MIX Development Kit
mdk-doc (1.2.11-1) [non-free]
Documentation for the MIX Development Kit
mdk3 (6.0-7)
Wireless attack tool for IEEE 802.11 networks
mdk4 (4.1+git20200420-2)
Wireless attack tool for IEEE 802.11 networks
mdm (0.1.3-2.1+b3)
Utilities for single-host parallel shell scripting
mdns-scan (0.5-5+b1 [amd64], 0.5-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Scan for mDNS/DNS-SD services published on the local network
mdp (1.0.15-1+b1 [amd64], 1.0.15-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
command-line based Markdown presentation tool
mecab (0.996-14+b4)
Japanese morphological analysis system
mecab-ipadic (2.7.0-20070801+main-3)
IPA dictionary compiled for Mecab
mecab-ipadic-utf8 (2.7.0-20070801+main-3)
IPA dictionary encoded in UTF-8 compiled for Mecab
mecab-jumandic (7.0-20130310-7)
Juman dictionary compiled for Mecab (deprecated)
mecab-jumandic
本虛擬套件由這些套件填實: mecab-jumandic-utf8
mecab-jumandic-utf8 (7.0-20130310-7)
Juman dictionary encoded in UTF-8 compiled for Mecab
mecab-naist-jdic (0.6.3.b-20111013-11)
free Japanese Dictionaries for mecab (replacement of mecab-ipadic)
mecab-naist-jdic-eucjp (0.6.3.b-20111013-11)
free Japanese Dictionaries for mecab (replacement of mecab-ipadic) in EUC-JP
mecab-utils (0.996-14+b4)
Support programs of Mecab
mecat2 (0.0+git20200428.f54c542+ds-3)
ultra-fast and accurate de novo assembly tools for SMRT reads
med-all (3.7)
Default selection of tasks for Debian Med
med-bio (3.7)
Debian Med bioinformatics packages
med-bio-dev (3.7)
Debian Med packages for development of bioinformatics applications
med-cloud (3.7)
Debian Med bioinformatics applications usable in cloud computing
med-common
本虛擬套件由這些套件填實: med-config
med-config (3.7)
Debian Med general config package
med-data (3.7)
Debian Med drug databases
med-dental (3.7)
Debian Med packages related to dental practice
med-epi (3.7)
Debian Med epidemiology related packages
med-his (3.7)
Debian Med suggestions for Hospital Information Systems
med-imaging (3.7)
Debian Med image processing and visualization packages
med-imaging-dev (3.7)
Debian Med image processing and visualization packages development
med-laboratory (3.7)
Debian Med suggestions for medical laboratories
med-oncology (3.7)
Debian Med packages for oncology
med-pharmacy (3.7)
Debian Med packages for pharmaceutical research
med-physics (3.7)
Debian Med packages for medical physicists
med-practice (3.7)
Debian Med packages for practice management
med-psychology (3.7)
Debian Med packages for psychology
med-research (3.7)
Debian Med packages for medical research
med-statistics (3.7)
Debian Med statistics
med-tasks (3.7)
Debian Med tasks for tasksel
med-tools (3.7)
Debian Med several tools
med-typesetting (3.7)
Debian Med support for typesetting and publishing
medcon (0.16.3+dfsg-1)
Medical Image (DICOM, ECAT, ...) conversion tool
media-player-info (24-2)
Media player identification files
media-retriever (1.55)
Fetches modules from removable media
media-types (4.0.0)
List of standard media types and their usual file extension
mediainfo (20.09-1)
command-line utility for reading information from audio/video files
mediainfo-gui (20.09-1)
graphical utility for reading information from audio/video files
mediathekview (13.2.1-4)
view streams from German public television stations
mediawiki (1:1.35.13-1+deb11u2) [security]
website engine for collaborative work
mediawiki-classes (1:1.35.13-1+deb11u2) [security]
website engine for collaborative work - standalone classes
mediawiki-extension-youtube (1.9.3~git20200711.0f87a53-3)
Embed YouTube and other videos into MediaWiki pages
mediawiki-extensions-confirmedit
本虛擬套件由這些套件填實: mediawiki
mediawiki-extensions-geshi
本虛擬套件由這些套件填實: mediawiki
mediawiki-skin-greystuff (1.0.8~git20200711.479faf1-3)
fixed-width grey skin for MediaWiki
mediawiki2latex (7.43-1)
Loads pages from MediaWiki and converts to LaTeX and PDF
mediawiki2latexguipyqt (1.8-1)
lightweight GUI for mediawiki2latex
mednafen (1.26.1+dfsg-1)
multi-platform emulator, including NES, GB/A, Lynx, PC Engine
mednaffe (0.9.0-1)
front-end for the Mednafen multi-system emulator
medusa (2.2-7)
fast, parallel, modular, login brute-forcer for network services
meep (1.17.1-1)
software package for FDTD simulation
meep-mpi-default (1.17.1-2)
software package for FDTD simulation, parallel (OpenMPI) version
meep-openmpi (1.17.1-2)
software package for FDTD simulation, parallel (OpenMPI) version
megaglest (3.13.0-6)
3D multi-player real time strategy game
megaglest-data (3.13.0-3)
data files for MegaGlest
megahit (1.2.9-2)
ultra-fast and memory-efficient meta-genome assembler
megapixels (0.13.1-2)
GTK3 camera app for mobile using the V4L2 media request API
megatools (1.10.3-1)
Command-line client for the Mega cloud storage service
meld (3.20.2-2)
graphical tool to diff and merge files
melt (6.24.0-1)
command line media player and video editor
melting (5.2.0-2)
compute the melting temperature of nucleic acid duplex
membernator (1.1.0-2)
Tool to scan membership cards to establish if they are valid
members (20080128.1+nmu1)
Shows the members of a group; by default, all members
memcached (1.6.9+dfsg-1)
High-performance in-memory object caching system
memdump (1.01-9)
utility to dump memory contents to standard output
memlockd (1.2.1)
daemon to lock files into RAM
memo (1.7.1-3)
unix-style note-taking software
memstat (1.1+b1 [amd64], 1.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Identify what's using up virtual memory
memtest86 (4.3.7-3+b1)
thorough real-mode memory tester
memtest86+ (5.01-3.1)
thorough real-mode memory tester
memtester (4.5.0-1)
Utility for testing the memory subsystem
memtool (2018.03.0-1)
Development tool to modify memory mapped registers
mencal (3.0-6)
menstruation calendar
mencoder (2:1.4+ds1-1+deb11u1)
MPlayer's Movie Encoder
mender-artifact (3.4.0-1+b6)
utility to generate .mender artifacts
mender-cli (1.4.0-1+b6)
general-purpose CLI for the Mender backend
mender-client (2.4.1-2+b3)
Mender over-the-air software updater client.
mendexk
本虛擬套件由這些套件填實: texlive-binaries
menhir (20201216-1)
Parser generator for OCaml
menhir-doc (20201216-1)
Documentation of the Menhir parser generator for OCaml
menu (2.1.48)
產生程式選單給所有選單相關應用程式
menu-l10n (0.20200724)
localized menu entries for Debian menu.
menu-xdg (0.6+nmu1)
freedesktop.org menu compliant window manager scripts
menulibre (2.2.1-1)
advanced FreeDesktop.org compliant menu editor
mercantile (1.1.5-1)
Command line utility of mercantile Python package
mercurial (5.6.1-4)
easy-to-use, scalable distributed version control system
mercurial-buildpackage (0.10.1+nmu1+b2)
Suite to maintain Debian packages in Mercurial repository
mercurial-common (5.6.1-4)
easy-to-use, scalable distributed version control system (common files)
mercurial-crecord (0.20151121-2)
Mercurial crecord extension (transitional package)
mercurial-extension-utils
本虛擬套件由這些套件填實: python3-mercurial-extension-utils
mercurial-keyring (1.3.1-3)
Mercurial Keyring Extension
mergelog (4.5.1-10)
utility that merges httpd log files by date
mergerfs (2.31.0-1)
another FUSE union filesystem
meritous (1.5-1.1)
action-adventure dungeon crawl game
meritous-data (1.5-1.1)
action-adventure dungeon crawl game (datafiles)
merkaartor (0.18.4+ds-5+b2)
map editor for OpenStreetMap.org
meryl (0~20150903+r2013-8+b1)
in- and out-of-core kmer counting and utilities
mes (0.22-5)
Maxwell Equations of Software
mesa-common-dev (20.3.5-1)
Developer documentation for Mesa
mesa-opencl-icd (20.3.5-1)
free implementation of the OpenCL API -- ICD runtime
mesa-utils (8.4.0-1+b1)
Miscellaneous Mesa GL utilities
mesa-utils-extra (8.4.0-1+b1)
Miscellaneous Mesa utilies (opengles, egl)
mesa-va-drivers (20.3.5-1)
Mesa VA-API video acceleration drivers
mesa-vdpau-drivers (20.3.5-1)
Mesa VDPAU video acceleration drivers
mesa-vulkan-drivers (20.3.5-1)
Mesa Vulkan graphics drivers
mesag-widgets-dev
本虛擬套件由這些套件填實: libglw1-mesa-dev
mesag3-widgets
本虛擬套件由這些套件填實: libglw1-mesa-dev
mescc-tools (1.1.0-3)
tools for binary bootstrapping
meshio-tools (4.3.11-1)
command-line tools for meshio
meshlab (2020.09+dfsg1-1)
System for processing and editing triangular meshes
meshs3d (0.2.2.1-3+b1)
OLSR/B.A.T.M.A.N. topology visualizer for s3d
meson (0.56.2-1)
high-productivity build system
mess-desktop-entries (0.2-4.1)
Desktop entries for MESS ROMs
metabat (2.15-3)
robust statistical framework for reconstructing genomes from metagenomic data
metacam (1.2-13)
extract EXIF information from digital camera files
metacity (1:3.38.0-2)
lightweight GTK+ window manager
metacity-common (1:3.38.0-2)
shared files for the Metacity window manager
metacity-themes (1.0.13)
Themes for the Gtk2 metacity window manager
metadata-cleaner (1.0.2-2)
GTK app for viewing and cleaning metadata in files
metadata-json-lint (3.0.0-2)
Utility to verify Puppet metadata.json files
metamath (0.195-1)
Language for mathematical proofs
metamath-databases (0.0.0~20210101.git55fe226-2)
Sample databases for Metamath
metamonger (0.20210406-7)
Save, diff, and restore filesystem metadata
metaphlan2 (2.9.22-1)
Metagenomic Phylogenetic Analysis
metaphlan2-data (2.6.0+ds-4)
data package for Metagenomic Phylogenetic Analysis
metapixel (1.0.2-8)
generator for photomosaics
metar (20190227.1-1+b1 [amd64], 20190227.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
utility to download/decode METAR reports
metastore (1.1.2-3)
Store and restore metadata from a filesystem
metastudent (2.0.1-8)
predictor of Gene Ontology terms from protein sequence
metastudent-data (2.0.1-7)
predictor of Gene Ontology terms from protein sequence - data files
metastudent-data-2 (1.0.0-5)
predictor of Gene Ontology terms from protein sequence - data #2
meteo-qt (2.1-1)
Application to display weather information
meteo-qt-l10n (2.1-1)
Application to display weather information (translations)
meterbridge (0.9.2-13+b1 [amd64], 0.9.2-13 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Collection of Audio meters for the JACK audio server
meterec (0.9.3-1)
minimalistic multi track recorder
metis (5.1.0.dfsg-7)
Serial Graph Partitioning and Fill-reducing Matrix Ordering. Binaries
metis-edf (4.1-2-4+b1) [non-free]
Family of Multilevel Partitioning Algorithms
metview (5.10.2-1)
Interactive data visualization and analysis environment,
metview-data (5.10.2-1)
Data needed for the Metview data analysis environment
mew (1:6.8-13)
mail reader supporting PGP/MIME for Emacs
mew
本虛擬套件由這些套件填實: mew-beta
mew-beta (7.0.50~6.8+0.20210131-2)
mail reader supporting PGP/MIME for Emacs (development version)
mew-beta-bin (7.0.50~6.8+0.20210131-2)
external commands for Mew (development version)
mew-bin (1:6.8-13)
external commands for Mew
mew-bin
本虛擬套件由這些套件填實: mew-beta-bin
mfcuk (0.3.8+git20180720-2)
MiFare Classic Universal toolKit
mffm-fftw-dev (1.7-4)
C++ wrapper for the fftw.org C library (version 3)
mffm-fftw1 (1.7-4)
C++ wrapper for the fftw.org C library (version 3)
mfoc (0.10.7+git20180724-2)
MIFARE Classic offline cracker
mftrace (1.2.20+git20191022.3b4bc2e-2)
Converts Metafont fonts into Type1 fonts
mg (20200723-1)
microscopic GNU Emacs-style editor
mgba-common (0.8.4+dfsg-2)
Game Boy Advance emulator (common files for mGBA)
mgba-qt (0.8.4+dfsg-2)
Game Boy Advance emulator (Qt frontend for mGBA)
mgba-sdl (0.8.4+dfsg-2)
Game Boy Advance emulator (SDL frontend for mGBA)
mgdiff (1.0-30+b1)
xdiff clone
mgen (5.02.b+dfsg1-2.2)
packet generator for IP network performance tests
mgen-doc (5.02.b+dfsg1-2.2)
mgen user and reference guide
mgetty (1.2.1-1.1)
Smart Modem getty replacement
mgetty-docs (1.2.1-1.1)
Documentation Package for mgetty
mgetty-fax (1.2.1-1.1)
Faxing tools for mgetty
mgetty-pvftools (1.2.1-1.1)
Programs for listening and manipulating pvf and rmd files
mgetty-viewfax (1.2.1-1.1)
Program for displaying Group-3 Fax files under X
mgetty-voice (1.2.1-1.1)
Voicemail handler for mgetty
mgitstatus (2.0+dfsg-2)
Show status of multiple Git repositories
mgp (1.13a+upstream20090219-12)
MagicPoint — an X11-based presentation tool
mgt (2.31-7+b2)
game record display/editor for the oriental game of go
mh
本虛擬套件由這些套件填實: nmh, mmh
mh-book (200605-2.1)
MH & nmh: Email for Users & Programmers online book
mha4mysql-manager (0.58-1.1)
Master High Availability Manager and Tools for MySQL, Manager Package
mha4mysql-node (0.58-1.1)
Master High Availability Manager and Tools for MySQL, Node Package
mhap (2.1.3+dfsg-3)
locality-sensitive hashing to detect long-read overlaps
mhc (1.2.4-2)
schedule management tool for Emacs
mhc-utils (1.2.4-2)
utilities for the MHC schedule management system
mhddfs (0.1.39+nmu1+b1)
file system for unifying several mount points into one
mhonarc (2.6.19-2.1)
Mail to HTML converter
mhwaveedit (1.4.24-1)
Simple and fast GTK2 sound editor
mia-doctools (2.4.7-7)
Helper scripts for run-time document creation
mia-tools (2.4.7-7)
Command line tools for gray scale image processing
mia-tools-doc (2.4.7-7)
Cross-referenced documentation of the MIA command line tools
mia-viewit (1.0.5-3)
Viewer program for 3D data sets created by using MIA
miceamaze (4.2.1-3+b1)
video game with mice in a maze
micro (2.0.8-1+b6)
modern and intuitive terminal-based text editor
micro-evtd (3.4-7)
Linkstation Pro/Kurobox Pro special features support
micro-evtd-udeb (3.4-7)
Linkstation Pro/Kurobox Pro special features support - udeb
micro-httpd (20140814-2)
really small HTTP server
microbegps (1.0.0-5)
explorative taxonomic profiling tool for metagenomic data
microbiomeutil (20101212+dfsg1-4)
Microbiome Analysis Utilities
microbiomeutil-data (20101212+dfsg1-4)
Reference 16S sequences and NAST-alignments used by microbiomeutil tools
microcode.ctl (1.18~0+nmu2+b1) [contrib]
Intel IA32/IA64 CPU Microcode Utility (transitional package)
microcom (2019.01.0-2)
minimalistic terminal program
microdc2 (0.15.6-4+b2)
command-line based Direct Connect client
microhope (4.8.8+repack-2)
hardware & software framework to learn microcontrollers
micropolis (0.0.20071228-10)
real-time city management simulator
micropolis-data (0.0.20071228-10)
real-time city management simulator - data
microsocks (1.0.1-2)
multithreaded, small, efficient SOCKS5 server.
midge (0.2.41-2.1)
A text to MIDI program
midicsv (1.1+dfsg.1-1+b1)
translate MIDI file to CSV
midish (1.0.4-1.1+b4)
shell-like MIDI sequencer/filter
midisnoop (0.1.2+git20141108.bc30f600187e-1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.1.2+git20141108.bc30f600187e-1+b1 [armel, armhf])
MIDI monitor and prober
midisport-firmware (1.2-4) [non-free]
Firmware loader for M-Audio's MidiSport devices
midori (7.0-2.1)
fast, lightweight graphical web browser
mig (1.8+git20200618-5)
GNU Mach Interface Generator
mig-for-host (1.8+git20200618-5)
GNU Mach Interface Generator - metapackage for host
mig-i686-linux-gnu (1.8+git20200618-5)
GNU Mach Interface Generator for i386
migemo-el (1:1.2+gh0.20150404-7.1)
transitional dummy package: elpa-migemo
migemo-el
本虛擬套件由這些套件填實: elpa-migemo
mighttpd2 (3.4.6-1)
classical web server on WAI/warp
migrationtools (47-9)
Migration scripts for LDAP
miio-tools (0.5.0.1-1)
Tools for interfacing with Xiaomi appliances
mikmod (3.2.8-3)
Portable tracked music player
mikutter (4.1.3+dfsg1-1)
plugin-extensible Twitter client
milkytracker (1.03.00+dfsg-1)
music creation tool inspired by Fast Tracker 2
miller (5.10.0-1)
name-indexed data processing tool
milou (4:5.20.5-1)
Dedicated search plasmoid
milter-greylist (4.6.2-3)
Greylist milter for sendmail
miltertest (2.11.0~beta2-4+deb11u1)
utility for testing milter applications
mime-construct (1.11+nmu3)
construct/send MIME messages from the command line
mime-support (3.66)
transitional package
mimedefang (2.84-4+b1)
e-mail filter program for sendmail
mimefilter (1.8)
Strips some unwanted MIME parts out of a MIME message
mimetex (1.76-2)
LaTeX math expressions to anti-aliased GIF images converter
mina (0.3.7-1.1)
deployer and server automation tool
minbif (1:1.0.5+git20150505-3)
IRC-to-other-IM-networks gateway using Pidgin library
minbif-common (1:1.0.5+git20150505-3)
IRC-to-other-IM-networks gateway using Pidgin library (common files)
minc-tools (2.3.00+dfsg-6)
MNI medical image format tools
minder (1.9.2-1)
Mind-mapping application
mindthegap (2.2.2-2)
performs detection and assembly of DNA insertion variants in NGS read datasets
mindthegap-examples (2.2.2-2)
optional scripts and example resources for mindthegap
minetest (5.3.0+repack-2.1+deb11u1)
Multiplayer infinite-world block sandbox
minetest-data (5.3.0+repack-2.1+deb11u1)
Multiplayer infinite-world block sandbox (data files)
minetest-mod-basic-materials (20200603.1302-1)
Minetest mod providing basic materials and items
minetest-mod-character-creator (1.1-1)
Minetest module to customize your skin
minetest-mod-craftguide (1.14-1)
Minetest mod providing a crafting guide
minetest-mod-currency (20200603.1302-1)
Minetest mod providing shops and currency
minetest-mod-ethereal (20200406-1)
Minetest module to add a map generator enhancer
minetest-mod-homedecor (20200604.1-1)
Minetest mod pack providing home decor elements
minetest-mod-infinite-chest (1.0.1-2)
Minetest module to get chests with an infinite number of slots
minetest-mod-intllib (20180811-1.1)
Minetest module for internationalization of modules
minetest-mod-lucky-block (20181017-1.1)
Minetest module to add a Lucky Block to the game
minetest-mod-maidroid (0.1.0-1.1)
Minetest mod - Maidroid for maid robots
minetest-mod-mesecons (1:1.2.1-1.1)
Digital circuitry blocks for minetest
minetest-mod-mobs-redo (20181016-1.1)
Minetest module to add mobs programming interface
minetest-mod-moreblocks (2.1.0-2)
Minetest mod - More Blocks
minetest-mod-moreores (0~20130828+git0977bbc809-1.1)
Minetest mod - More Ores
minetest-mod-nether (2-4.1)
Minetest mod - Nether portals and materials
minetest-mod-pipeworks (20200603.1302-1)
Pipes and tubes for Minetest
minetest-mod-player-3d-armor (0.4.13-3)
Modpack to add armor and wielded weapons for Minetest
minetest-mod-protector (20181016-2)
Minetest module to add protector blocks
minetest-mod-pycraft (0.22-1)
Minetest mod - (most of) Raspberry PI Minecraft API
minetest-mod-quartz (1.0.0-4)
Minetest module to add quartz
minetest-mod-skyblock (0.2.4-1.1)
Minetest module to add a Crusoe-like minigame
minetest-mod-throwing (1.1-1)
Minetest mod - Throwing system
minetest-mod-throwing-arrows (1.1-2)
Minetest mod - Throwables
minetest-mod-unified-inventory (20180810-1.1)
Minetest module to enhance the inventory
minetest-mod-unifieddyes (20190922.4-1)
Minetest mod providing a unified dye specification
minetest-mod-worldedit (0.6-1.1)
Minetest mod - ingame world editor
minetest-mod-xdecor (1.0+dfsg1-2)
Lightweight decoration module for minetest
minetest-server (5.3.0+repack-2.1+deb11u1)
Multiplayer infinite-world block sandbox (server)
minetestmapper (20200328-1)
map generator from minetest worlds
minexpert2 (7.4.1-1)
MS^n mass spectrometric data visualization and mining (runtime)
minexpert2-doc (7.4.1-1)
MS^n mass spectrometric data visualization and mining (doc)
mingetty (1.08-4)
Console-only getty
mingw-w64 (8.0.0-1)
Development environment targeting 32- and 64-bit Windows
mingw-w64-common (8.0.0-1)
Common files for Mingw-w64
mingw-w64-i686-dev (8.0.0-1)
Development files for MinGW-w64 targeting Win32
mingw-w64-tools (8.0.0-1)
Development tools for 32- and 64-bit Windows
mingw-w64-x86-64-dev (8.0.0-1)
Development files for MinGW-w64 targeting Win64
mini-dinstall (0.7.0)
daemon for updating Debian packages in a repository
mini-httpd (1.30-2+b1)
Small HTTP server
mini-httpd-run (1.0+nmu1)
Small HTTP server (Runit integration)
mini-soong (0.20201028)
minimalist Soong build system reimplementation
minia (3.2.1+git20200522.4960a99-1)
short-read biological sequence assembler
miniasm (0.3+dfsg-2)
ultrafast de novo assembler for long noisy DNA sequencing reads
minica (1.0-1+b19)
super micro binary to issue new CAs
minicom (2.8-2)
Friendly menu driven serial communication program
minicoredumper (2.0.1-1+b2)
generate minimal and customized core dump files on Linux
minicoredumper-utils (2.0.1-1+b2)
minicoredumper utilities
minicpan
本虛擬套件由這些套件填實: libcpan-mini-perl
minidisc-utils (0.9.16-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.16-2 [armel, armhf])
Command line utilities for MiniDisc access
minidjvu (0.8.svn.2010.05.06+dfsg-6+b1 [amd64], 0.8.svn.2010.05.06+dfsg-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Monochrome DjVu multipage encoder, single page encoder/decoder
minidlna (1.3.0+dfsg-2+deb11u2)
lightweight DLNA/UPnP-AV server targeted at embedded systems
minify (2.7.2-1+b6)
CLI implementation of the Go minify library package
minigalaxy (1.0.2-1)
Simple GOG Linux client
minilla (3.1.11-1)
CPAN module authoring tool
minilzip (1.12-1)
minified, lossless data compressor based on the LZMA algorithm
minimac4 (1.0.2-2)
Fast Imputation Based on State Space Reduction HMM
minimap (0.2-5)
tool for approximate mapping of long biosequences such as DNA reads
minimap2 (2.17+dfsg-12+b3)
versatile pairwise aligner for genomic and spliced nucleotide sequences
minimodem (0.24-1+b1)
general-purpose software audio FSK modem
mininet (2.3.0-1)
process-based network emulator
minisapserver (0.3.6-1.1+b3)
Mini SAP Server
minisat (1:2.2.1-5+b3)
Fast and lightweight SAT solver
minisat+ (1.0-4)
solver for pseudo-Boolean constraints
minisat2 (1:2.2.1-5+b3)
Transitional package for minisat
minisat2
本虛擬套件由這些套件填實: minisat
minissdpd (1.5.20190824-1)
keep memory of all UPnP devices that announced themselves
ministat (20150715-1+b1)
simple tool for statistical comparison of data sets
ministocks (0+git20200120-2)
minimalistic QT stock ticker for Linux
minitube (3.6.1-1)
Native YouTube client
miniupnpc (2.2.1-1)
UPnP IGD client lightweight library client
miniupnpd (2.2.1-1)
UPnP and NAT-PMP daemon for gateway routers
miniupnpd-iptables (2.2.1-1)
UPnP and NAT-PMP daemon for gateway routers - legacy iptables backend
miniupnpd-nftables (2.2.1-1)
UPnP and NAT-PMP daemon for gateway routers - nftables backend
minix-modules
本虛擬套件由這些套件填實: minix-modules-5.10.0-25-marvell-di, minix-modules-5.10.0-28-4kc-malta-di, minix-modules-5.10.0-26-5kc-malta-di, minix-modules-5.10.0-27-marvell-di, minix-modules-5.10.0-28-5kc-malta-di, minix-modules-5.10.0-26-marvell-di, minix-modules-5.10.0-27-loongson-3-di, minix-modules-5.10.0-27-octeon-di, minix-modules-5.10.0-28-loongson-3-di, minix-modules-5.10.0-28-octeon-di, minix-modules-5.10.0-25-5kc-malta-di, minix-modules-5.10.0-28-marvell-di, minix-modules-5.10.0-26-loongson-3-di, minix-modules-5.10.0-25-4kc-malta-di, minix-modules-5.10.0-27-5kc-malta-di, minix-modules-5.10.0-26-4kc-malta-di, minix-modules-5.10.0-26-octeon-di, minix-modules-5.10.0-25-loongson-3-di, minix-modules-5.10.0-27-4kc-malta-di, minix-modules-5.10.0-25-octeon-di
minix-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Minix filesystem support
minix-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Minix filesystem support
minix-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Minix filesystem support
minix-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Minix filesystem support
minix-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Minix filesystem support
minix-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Minix filesystem support
minix-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Minix filesystem support
minix-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Minix filesystem support
minix-modules-5.10.0-26-marvell-di (5.10.197-1)
Minix filesystem support
minix-modules-5.10.0-26-octeon-di (5.10.197-1)
Minix filesystem support
minix-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Minix filesystem support
minix-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Minix filesystem support
minix-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Minix filesystem support
minix-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Minix filesystem support
minix-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Minix filesystem support
minix-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Minix filesystem support
minix-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Minix filesystem support
minix-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Minix filesystem support
minix-modules-5.10.0-28-marvell-di (5.10.209-2)
Minix filesystem support
minix-modules-5.10.0-28-octeon-di (5.10.209-2)
Minix filesystem support
minizinc (2.5.3+dfsg1-1)
constraint modelling language and tool chain
minizinc-ide (2.5.3-1)
MiniZinc constraint modelling language IDE
minizip (1.1-8+deb11u1)
compression library - minizip tools
minlog (4.0.99.20100221-7)
Proof assistant based on first order natural deduction calculus
minpack-dev (19961126+dfsg1-5)
nonlinear equations and nonlinear least squares static library
minuet (20.12.1-1)
KDE Software for Music Education
minuet-data (20.12.1-1)
data files for Minuet
mipe (1.1-9)
Tools to store PCR-derived data
mir-client-platform-mesa-dev (1.8.0+dfsg1-18)
Mir client platform - library for Mesa - development headers
mir-client-platform-mesa5 (1.8.0+dfsg1-18)
Mir client platform - library for Mesa
mir-demos (1.8.0+dfsg1-18)
Mir Display Server - demonstration programs
mir-doc (1.8.0+dfsg1-18)
Mir Display Server - API documentation for Mir
mir-graphics-drivers-desktop (1.8.0+dfsg1-18)
Mir Display Server - desktop driver metapackage
mir-graphics-drivers-nvidia (1.8.0+dfsg1-18)
Mir Display Server - Nvidia driver metapackage
mir-platform-graphics-eglstream-kms16 (1.8.0+dfsg1-18)
Mir Display Server - platform library for NVIDIA
mir-platform-graphics-mesa-kms16 (1.8.0+dfsg1-18)
Mir Display Server - platform library for KMS Mesa
mir-platform-graphics-mesa-x16 (1.8.0+dfsg1-18)
Mir Display Server - platform library for X11 Mesa
mir-platform-graphics-wayland16 (1.8.0+dfsg1-18)
Mir Display Server - platform library for Wayland
mir-platform-input-evdev7 (1.8.0+dfsg1-18)
Mir Display Server - input platform library
mir-renderer-gl-dev (1.8.0+dfsg1-18)
Mir Display Server - GL renderer - development files
mir-test-tools (1.8.0+dfsg1-18)
Mir Display Server - stress tests and other test tools
mir-utils (1.8.0+dfsg1-18)
Mir Display Server - utility programs
mira-assembler (4.9.6-5+b1)
Whole Genome Shotgun and EST Sequence Assembler
mira-doc (4.9.6-5)
documentation for the mira assembler
mira-rfam-12s-rrna (4.9.6-5)
extract of RFAM 12 rRNA database
mirage (0.11.1-1+b2)
fast and simple GTK+ image viewer
miral-examples (= 1.5)
本虛擬套件由這些套件填實: mir-demos
miredo (1.2.6-7.1)
Teredo IPv6 tunneling through NATs
miredo-server (1.2.6-7.1)
Teredo IPv6 tunneling server
miri-sdr (0.0.4.59ba37-5+b1)
Software defined radio support for Mirics hardware (tools)
mirmon (2.11-7)
monitor the state of mirrors
mirrormagic (3.0.0+dfsg2-2)
Shoot around obstacles to collect energy using your beam.
mirrormagic-data (3.0.0+dfsg2-2)
Data files for mirrormagic
mirtest-dev (1.8.0+dfsg1-18)
Mir Display Server - test development headers and library
mirtop (0.4.23-2)
annotate miRNAs with a standard mirna/isomir naming
miscfiles (1.5+dfsg-4)
Dictionaries and other interesting files
misery (0.2-1.1+b5 [armel, armhf], 0.2-1.1+b4 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x])
Simple accounting package for groups, clubs, holidays
missfits (2.8.0-4)
Basic maintenance and packaging tasks on FITS files
missidentify (1.0-11)
find win32 applications
missingh-doc
本虛擬套件由這些套件填實: libghc-missingh-doc
misspell-fixer (0.4-1)
Tool for fixing common misspellings, typos in source code
mistral-api (11.0.0-2)
OpenStack Workflow service - API
mistral-common (11.0.0-2)
OpenStack Workflow service - common files
mistral-engine (11.0.0-2)
OpenStack Workflow service - Engine
mistral-event-engine (11.0.0-2)
OpenStack Workflow service - Event Engine
mistral-executor (11.0.0-2)
OpenStack Workflow service - Executor
mistral-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Mistral plugin
mit-scheme (10.1.11-2)
MIT/GNU Scheme development environment
mit-scheme-dbg (10.1.11-2)
MIT/GNU Scheme debugging files
mit-scheme-doc (10.1.11-2)
MIT/GNU Scheme documentation
mitmproxy (6.0.2-1)
SSL-capable man-in-the-middle HTTP proxy
mitools (2.0.4-3)
view, convert and perform basic maths with medical image datasets
miwm (1.1-8)
minimalist window manager with virtual workspaces
mixxx (2.2.4~dfsg-1)
Digital Disc Jockey Interface
mixxx-data (2.2.4~dfsg-1)
Digital Disc Jockey Interface -- data files
mjpegtools (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset
mjpegtools-gtk (1:2.1.0+debian-6)
MJPEG capture/editing/replay and MPEG encoding toolset (GTK+ frontend)
mk-configure (0.36.0-1)
lightweight replacement for GNU autotools
mkalias (1.0.10-2.1)
Bash alias management to facilitate alias creation
mkbootimg (1:10.0.0+r36-7)
Creates Android boot images
mkchromecast (0.3.9~git20200902+db2964a-2)
Cast your Linux audio or video to your Google Cast devices
mkchromecast-alsa (0.3.9~git20200902+db2964a-2)
ALSA dependencies to cast with mkchromecast
mkchromecast-gstreamer (0.3.9~git20200902+db2964a-2)
GStreamer dependencies to cast with mkchromecast
mkchromecast-pulseaudio (0.3.9~git20200902+db2964a-2)
Pulseaudio dependencies to cast with mkchromecast
mkcue (1-7)
Generates a CUE sheet from a CD
mkdepend (0.0~svn45-3)
generate Makefile dependency lists from source files
mkdocs (1.1.2+dfsg-1)
Static site generator geared towards building project documentation
mkdocs-bootstrap (1.1+dfsg-0.1)
bootstrap themes for MkDocs
mkdocs-doc (1.1.2+dfsg-1)
Static site generator (documentation)
mkdocs-nature (0.4+dfsg-1)
Nature theme for MkDocs
mkelfimage (2.7-7+b1)
utility to create ELF boot images from Linux kernel images
mkgmap (0.0.0+svn4600-1)
Generate Garmin maps from OpenStreetMap data
mkgmap-splitter (0.0.0+svn598-1)
Tile splitter for mkgmap
mkgmapgui (1.1.ds-11)
Graphical User Interface for mkgmap
mkisofs
本虛擬套件由這些套件填實: genisoimage
mknfonts.tool (0.5-12+b2)
Create nfont packages for GNUstep
mkosi (5-1)
create legacy-free OS images
mkreiserfs-udeb (1:3.6.27-4)
User-level tools for ReiserFS filesystems
mksh (59c-9+b2)
MirBSD Korn Shell
mktorrent (1.1-2)
simple command line utility to create BitTorrent metainfo files
mkvtoolnix (54.0.0+really52.0.0-3)
Set of command-line tools to work with Matroska files
mkvtoolnix-gui (54.0.0+really52.0.0-3)
Set of tools to work with Matroska files - GUI frontend
ml-antlr
本虛擬套件由這些套件填實: ml-lpt
ml-burg (110.79-6)
Code generator for Standard ML
ml-lex (110.79-6)
Lexical analyzer generator for Standard ML
ml-lpt (110.79-6)
SML/NJ language processing tools
ml-nlffigen (110.79-6)
ML generator for C glue code
ml-ulex
本虛擬套件由這些套件填實: ml-lpt
ml-yacc (110.79-6)
Parser generator for Standard ML
mlbstreamer (0.0.11.dev0+git20190330-1)
Interface to the MLB.TV media offering
mle (1.4.3-2)
flexible terminal-based editor
mlir-13-tools (1:13.0.1-6~deb11u1)
Multi-Level Intermediate Representation tools
mlir-16-tools (1:16.0.6-15~deb11u2)
Multi-Level Intermediate Representation tools
mlmmj (1.3.0-4)
mail server independent mailing list manager
mlmmj-php-web (1.3.0-4)
web interface for mlmmj, written in php
mlmmj-php-web-admin (1.3.0-4)
administrative web interface for mlmmj, written in php
mlocate (0.26-5)
quickly find files on the filesystem based on their name
mlock (8:2007f~dfsg-7+b1 [amd64], 8:2007f~dfsg-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mailbox locking program
mlpack-bin (3.4.2-1+b2)
intuitive, fast, scalable C++ machine learning library (binaries)
mlpack-doc (3.4.2-1)
intuitive, fast, scalable C++ machine learning library (documentation)
mlpost (0.8.2-4+b3 [i386], 0.8.2-4+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
OCaml interface to Metapost (command-line tool)
mlterm (3.9.0-1)
多語系終端機
mlterm-common (3.9.0-1)
MultiLingual TERMinal, common files
mlterm-im-canna (3.9.0-1)
MultiLingual TERMinal, Canna input method plugin
mlterm-im-fcitx (3.9.0-1)
MultiLingual TERMinal, Flexible Input Method plugin
mlterm-im-ibus (3.9.0-1)
MultiLingual TERMinal, IBus input method plugin
mlterm-im-m17nlib (3.9.0-1)
MultiLingual TERMinal, m17nlib input method plugin
mlterm-im-scim (3.9.0-1)
MultiLingual TERMinal, scim input method plugin
mlterm-im-skk (3.9.0-1)
MultiLingual TERMinal, SKK input method plugin
mlterm-im-uim (3.9.0-1)
MultiLingual TERMinal, uim input method plugin
mlterm-im-wnn (3.9.0-1)
MultiLingual TERMinal, FreeWnn input method plugin
mlterm-tiny (3.9.0-1)
MultiLingual TERMinal, tiny version
mlterm-tools (3.9.0-1)
MultiLingual TERMinal, additional tools
mlv-smile (1.47-8)
Find statistically significant patterns in sequences
mm-common (1.0.2-1)
Common build files of the GNOME C++ bindings
mm3d (1.3.12-1+b1)
OpenGL based 3D model editor
mma (20.12-1)
Musical MIDI Accompaniment Generator
mmake (2.3-8)
Makefile generator for Java programs
mmb (3.2+dfsg-2+deb11u1)
model the structure and dynamics of macromolecules
mmb-common (3.2+dfsg-2+deb11u1)
model the structure and dynamics of macromolecules (common files)
mmc-core-modules
本虛擬套件由這些套件填實: kernel-image-5.10.0-25-arm64-di, kernel-image-5.10.0-26-arm64-di, mmc-core-modules-5.10.0-26-686-pae-di, mmc-core-modules-5.10.0-26-marvell-di, kernel-image-5.10.0-27-armmp-di, mmc-core-modules-5.10.0-25-amd64-di, mmc-core-modules-5.10.0-25-5kc-malta-di, mmc-core-modules-5.10.0-28-marvell-di, kernel-image-5.10.0-26-armmp-di, kernel-image-5.10.0-25-armmp-di, mmc-core-modules-5.10.0-27-amd64-di, mmc-core-modules-5.10.0-26-5kc-malta-di, mmc-core-modules-5.10.0-28-5kc-malta-di, mmc-core-modules-5.10.0-27-5kc-malta-di, mmc-core-modules-5.10.0-26-amd64-di, mmc-core-modules-5.10.0-27-686-di, mmc-core-modules-5.10.0-25-686-pae-di, mmc-core-modules-5.10.0-28-686-pae-di, mmc-core-modules-5.10.0-25-marvell-di, kernel-image-5.10.0-27-arm64-di, mmc-core-modules-5.10.0-26-686-di, mmc-core-modules-5.10.0-25-686-di, mmc-core-modules-5.10.0-26-4kc-malta-di, mmc-core-modules-5.10.0-28-686-di, mmc-core-modules-5.10.0-25-4kc-malta-di, mmc-core-modules-5.10.0-27-marvell-di, mmc-core-modules-5.10.0-27-4kc-malta-di, kernel-image-5.10.0-28-armmp-di, mmc-core-modules-5.10.0-27-686-pae-di, mmc-core-modules-5.10.0-28-4kc-malta-di, mmc-core-modules-5.10.0-28-amd64-di, kernel-image-5.10.0-28-arm64-di
mmc-core-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-25-686-di (5.10.191-1) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-26-686-di (5.10.197-1)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-26-686-pae-di (5.10.197-1)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-26-amd64-di (5.10.197-1)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-26-marvell-di (5.10.197-1)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-27-686-di (5.10.205-2) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-28-686-di (5.10.209-2)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-28-686-pae-di (5.10.209-2)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-28-amd64-di (5.10.209-2)
MMC/SD/SDIO core modules
mmc-core-modules-5.10.0-28-marvell-di (5.10.209-2)
MMC/SD/SDIO core modules
mmc-modules
本虛擬套件由這些套件填實: mmc-modules-5.10.0-26-amd64-di, mmc-modules-5.10.0-25-5kc-malta-di, mmc-modules-5.10.0-25-arm64-di, mmc-modules-5.10.0-25-armmp-di, mmc-modules-5.10.0-25-686-pae-di, mmc-modules-5.10.0-27-arm64-di, mmc-modules-5.10.0-28-armmp-di, mmc-modules-5.10.0-27-amd64-di, mmc-modules-5.10.0-26-marvell-di, mmc-modules-5.10.0-26-armmp-di, mmc-modules-5.10.0-28-amd64-di, mmc-modules-5.10.0-26-686-di, mmc-modules-5.10.0-27-5kc-malta-di, mmc-modules-5.10.0-28-arm64-di, mmc-modules-5.10.0-27-armmp-di, mmc-modules-5.10.0-27-686-di, mmc-modules-5.10.0-25-marvell-di, mmc-modules-5.10.0-28-4kc-malta-di, mmc-modules-5.10.0-26-arm64-di, mmc-modules-5.10.0-26-4kc-malta-di, mmc-modules-5.10.0-27-4kc-malta-di, mmc-modules-5.10.0-28-686-di, mmc-modules-5.10.0-25-686-di, mmc-modules-5.10.0-26-686-pae-di, mmc-modules-5.10.0-28-marvell-di, mmc-modules-5.10.0-27-marvell-di, mmc-modules-5.10.0-25-4kc-malta-di, mmc-modules-5.10.0-27-686-pae-di, mmc-modules-5.10.0-25-amd64-di, mmc-modules-5.10.0-28-686-pae-di, mmc-modules-5.10.0-26-5kc-malta-di, mmc-modules-5.10.0-28-5kc-malta-di
mmc-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-686-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
MMC/SD card modules
mmc-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-686-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-686-pae-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-amd64-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-arm64-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-armmp-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-26-marvell-di (5.10.197-1)
MMC/SD card modules
mmc-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-686-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
MMC/SD card modules
mmc-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-686-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-686-pae-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-amd64-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-arm64-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-armmp-di (5.10.209-2)
MMC/SD card modules
mmc-modules-5.10.0-28-marvell-di (5.10.209-2)
MMC/SD card modules
mmc-utils (0+git20180327.b4fe0c8c-1+b1 [amd64], 0+git20180327.b4fe0c8c-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Userspace tools for MMC/SD devices
mmdb-bin (1.5.2-1)
IP geolocation lookup command-line tool
mmdebstrap (0.7.5-2.2)
create a Debian chroot
mmh (0.4-4)
set of electronic mail handling programs
mmllib-tools (0.3.0.post1-2)
library for handling Music Macro Language (tools)
mmm-mode (0.5.8-1)
Multiple Major Mode for Emacs
mmmulti (0.1-2)
memory backed multimap
mmorph (2.3.4.2-17)
two-level morphology tool for natural language processing
mmseqs2 (12-113e3+ds-3+b1)
ultra fast and sensitive protein search and clustering
mmseqs2-examples (12-113e3+ds-3)
optional resources for the mmseqs2 package
mmv (1.01b-19+b1)
Move/Copy/Append/Link multiple files
mnemo
本虛擬套件由這些套件填實: php-horde-mnemo
mnemosyne (2.7.3+ds1-2)
spaced repetition flash-card program
moarvm (2020.12+dfsg-1)
virtual machine for Rakudo Perl 6 and NQP
moarvm-dev (2020.12+dfsg-1)
development files for moarvm
mobile-atlas-creator (2.1.4+dfsg.1-1)
program to create offline atlases for GPS/cell phone applications
mobile-broadband-provider-info (20201225-1)
database of mobile broadband service providers
mobile-tweaks
本虛擬套件由這些套件填實: librem5-tweaks, pinetab-tweaks, pinephone-tweaks
mobile-tweaks-common (3)
Default configuration settings common to Linux mobiles
moc (1:2.6.0~svn-r3005-1)
ncurses based console audio player
moc-ffmpeg-plugin (1:2.6.0~svn-r3005-1)
ncurses based console audio player - ffmpeg plugin
mocassin (2.02.73.2-1)
MOnte CArlo SimulationS of Ionised Nebulae
mocassin-benchmarks (2.02.73.2-1)
benchmarks for the photoionisation code MOCASSIN
mocassin-data (2.02.73.2-1)
atomic and optical data for the photoionisation code MOCASSIN
mocassin-examples (2.02.73.2-1)
Examples for the photoionisation code MOCASSIN
mocha (8.2.1+ds1+~cs29.4.27-3)
simple, flexible, fun test framework - Node.js module
mockery (0.0~git20181123.e78b021-3+b6)
mock code autogenerator for Golang
mockgen (1.3.1-2+b6)
mocking framework for the Go programming language - mockgen
mod-musicindex-common (1.4.1-3.1)
Common files for mod-musicindex
modem-cmd (1.0.2-3.1)
send arbitrary AT commands to your modem
modem-manager-gui (0.0.20-2)
GUI front-end for ModemManager / Wader / oFono
modem-manager-gui-help (0.0.20-2)
GUI front-end for ModemManager / Wader / oFono - documentation
modemmanager (1.14.12-0.2)
D-Bus service for managing modems
modemmanager-dev (1.14.12-0.2)
D-Bus service for managing modems - development files
modemmanager-doc (1.14.12-0.2)
D-Bus service for managing modems - documentation files
modemmanager-qt-dev (5.78.0-2)
Qt wrapper for ModemManager - devel files
modernize (0.7-2)
Modernizes Python code for eventual Python 3 migration
modplug-tools (0.5.3-3)
Modplug playing console tools
modsecurity-crs (3.3.0-1+deb11u1)
OWASP ModSecurity Core Rule Set
module-assistant (0.11.10)
tool to make module package creation easier
moka-icon-theme (5.4.0.3-1)
Tango-esque desktop icon set called Moka
mokomaze (0.7.1-1)
Ball in labyrinth game for the OpenMoko FreeRunner
mokutil (0.6.0-2~deb11u1)
tools for manipulating machine owner keys
molds (0.3.1-1+b9 [amd64, arm64, armhf, i386], 0.3.1-1+b8 [ppc64el], 0.3.1-1+b4 [mips64el, s390x])
Semi-empirical electronic structure and molecular dynamics
molly-guard (0.7.2)
protects machines from accidental shutdowns/reboots
mom (0.6.0-2)
Dynamically manage system resources on virtualization hosts
mon (1.3.5-6)
monitor hosts/services/whatever and alert about problems
mon-client (1.2.0-2.1)
modules for interfacing with the mon package
mon-contrib (1.0+dfsg-4+b2 [amd64], 1.0+dfsg-4+b1 [mips64el], 1.0+dfsg-4 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
contributed tools, monitors and alert for mon
mona (1.4-17-2)
theorem prover based on automata
monado-cli (21.0.0~dfsg1-1)
Monado command-line utility
monado-gui (21.0.0~dfsg1-1)
Monado GUI config and debug utility
monado-service (21.0.0~dfsg1-1)
Monado XR hardware and composition service
monajat-applet (4.1-2)
Islamic supplications tray applet
monajat-data (4.1-2)
Islamic supplications database
monajat-mod (4.1-2)
Islamic supplications console utility
monajat-screenlet (4.1-2)
Islamic supplications screenlet
monero (0.17.2.0+~0+20200826-1)
cryptocoin client for Monero network - daemon and tools
monero-tests (0.17.2.0+~0+20200826-1)
cryptocoin client for Monero network - testsuite
mongoose (1:5.8.1+dfsg-2)
graph partitioning tool that can quickly compute edge cuts (executable)
mongrel2-core (1.12.2-3)
programming language agnostic web server (binaries)
mongrel2-run (1.12.2-3)
programming language agnostic web server
monit (1:5.27.2-1)
utility for monitoring and managing daemons or similar programs
monitoring-plugins (2.3.1-1)
Plugins for nagios compatible monitoring systems (metapackage)
monitoring-plugins-basic (2.3.1-1)
Plugins for nagios compatible monitoring systems (basic)
monitoring-plugins-btrfs (12-2)
btrfs plugin for nagios compatible monitoring systems
monitoring-plugins-common (2.3.1-1)
Common files for plugins for nagios compatible monitoring
monitoring-plugins-contrib (35.20210511)
Plugins for nagios compatible monitoring systems
monitoring-plugins-standard (2.3.1-1)
Plugins for nagios compatible monitoring systems (standard)
monitorix (3.12.0-2)
lightweight system monitoring tool
monkeysphere (0.43-3.1)
leverage the OpenPGP web of trust for SSH and TLS authentication
monkeysphere-validation-agent
本虛擬套件由這些套件填實: msva-perl
mono-4.0-gac (6.8.0.105+dfsg-3.3~deb11u1)
Mono GAC tool (for CLI 4.0)
mono-4.0-service (6.8.0.105+dfsg-3.3~deb11u1)
Mono service manager for CLI 4.0
mono-addins-utils (1.0+git20130406.adcd75b-4)
Command-line utility for Mono.Addins management
mono-apache-server (4.2-2.2)
ASP.NET backend for mod_mono Apache module - default version
mono-apache-server4 (4.2-2.2)
ASP.NET 4.5 backend for mod_mono Apache module
mono-complete (6.8.0.105+dfsg-3.3~deb11u1)
complete Mono runtime, development tools and all libraries
mono-csharp-shell (6.8.0.105+dfsg-3.3~deb11u1)
interactive C# shell
mono-dbg (6.8.0.105+dfsg-3.3~deb11u1)
Mono debugging symbols
mono-devel (6.8.0.105+dfsg-3.3~deb11u1)
Mono development tools
mono-fastcgi-server (4.2-2.2)
ASP.NET backend for FastCGI webservers - default version
mono-fastcgi-server4 (4.2-2.2)
ASP.NET 4.0 backend for FastCGI webservers
mono-fpm-server (4.2-2.2)
ASP.NET backend for FastCGI Process Manager
mono-gac (6.8.0.105+dfsg-3.3~deb11u1)
Mono GAC tool
mono-mcs (6.8.0.105+dfsg-3.3~deb11u1)
Mono C# 2.0 / 3.0 / 4.0 / 5.0 compiler for CLI 2.0 / 4.0 / 4.5
mono-profiler (4.2-3.1)
Mono profiler
mono-runtime (6.8.0.105+dfsg-3.3~deb11u1)
Mono runtime - default version
mono-runtime-boehm (6.8.0.105+dfsg-3.3~deb11u1)
Mono runtime - Boehm
mono-runtime-common (6.8.0.105+dfsg-3.3~deb11u1)
Mono runtime - common files
mono-runtime-dbg (6.8.0.105+dfsg-3.3~deb11u1)
Mono runtime, debugging symbols
mono-runtime-sgen (6.8.0.105+dfsg-3.3~deb11u1)
Mono runtime - SGen
mono-source (6.8.0.105+dfsg-3.3~deb11u1)
Mono source code
mono-tools-devel (4.2-3.1)
Various development tools for mono
mono-tools-gui (4.2-3.1)
Various GUI tools for mono
mono-upnp-bin (0.1.2-2.1)
client/server libraries for UPnP -- executables
mono-utils (6.8.0.105+dfsg-3.3~deb11u1)
Mono utilities
mono-xbuild (6.8.0.105+dfsg-3.3~deb11u1)
MSBuild-compatible build system for Mono
mono-xsp (4.2-2.2)
simple web server to run ASP.NET applications - default version
mono-xsp4 (4.2-2.2)
simple web server to run ASP.NET 4.0 applications
mono-xsp4-base (4.2-2.2)
base libraries for XSP 4.0
monodoc-appindicator3-0.1-manual (12.10.0+git20151221-5.1)
compiled XML documentation for Appindicator3#
monodoc-base (6.8.0.105+dfsg-3.3~deb11u1)
shared MonoDoc binaries
monodoc-db4o-manual (8.0.184.15484+dfsg2-3.1)
compiled XML documentation for db4o
monodoc-gdata-manual (2.2.0.0+dfsg-1)
compiled XML documentation for GData
monodoc-gkeyfile-manual (0.1-5.1)
compiled XML documentation for gkeyfile-sharp
monodoc-gtk2.0-manual (2.12.40-3)
compiled XML documentation for GTK# 2.10
monodoc-gtk3.0-manual (2.99.3-4)
compiled XML documentation for GTK# 3.0
monodoc-gudev-manual (0.1-4.1)
compiled XML documentation for gudev-sharp
monodoc-hexbox-manual (1.5.0-5)
Hex Edit Control for .NET developers - docs
monodoc-http (4.2-3.1)
MonoDoc http based viewer
monodoc-hyena-manual (0.5-4.1)
compiled XML documentation for Hyena
monodoc-manual (6.8.0.105+dfsg-3.3~deb11u1)
compiled XML documentation from the Mono project
monodoc-mono-fuse-manual (0.4.2+dfsg-4.1)
compiled XML documentation for mono-fuse
monodoc-mono-upnp-manual (0.1.2-2.1)
Compiled XML documentation for mono-upnp
monodoc-mono-zeroconf-manual (0.9.0-6.1)
compiled XML documentation for mono-zeroconf
monodoc-newtonsoft-json-manual (6.0.8+dfsg-1.1)
compiled XML documentation for Json.NET
monodoc-notify-sharp-manual (0.4.0~r3032-7.1)
compiled XML documentation for notify-sharp
monodoc-notify3.0-manual (3.0.3-3)
compiled XML documentation for notify-sharp
monodoc-nunit-manual (2.6.4+dfsg-1.1)
compiled XML documentation for NUnit - monodoc manual
monodoc-opentk-manual (1.1.4c+dfsg-2.1)
Open Toolkit wrapper for OpenGL, OpenAL and OpenCL - Docs
monodoc-poppler-manual (0.0.3-4.1)
compiled XML documentation for Poppler Sharp
monodoc-soup2.4-manual (2.42.2+git20151219-3)
compiled XML documentation for Soup# 2.4
monodoc-taglib-manual (2.1.0.0-5)
compiled XML documentation for taglib-sharp
monodoc-taoframework-manual (2.1.svn20090801-14.1)
compiled XML documentation for all the Tao libraries
monodoc-viewer
本虛擬套件由這些套件填實: monodoc-http
monodoc-webkit2-sharp-4.0-manual (2.10.9+git20160917-1.1)
compiled XML documentation for WebKit# 4.0
monodoc-zeitgeist-manual (0.8.0.0-5.1)
compiled XML documentation for zeitgeist-sharp
monopd (0.10.2-4)
Monopoly game network server
monster-masher (1.8.1-8)
GPL'ed mash'em-up action game for GNOME
monsterz (0.7.1-12+b1)
arcade puzzle game
monsterz-data (0.7.1-12)
graphics and audio data for monsterz
montage (6.0+dfsg-7+b3)
Toolkit for assembling FITS images into mosaics
montage-gridtools (6.0+dfsg-7+b3)
Create files to run montage on the grid
monteverdi (7.2.0+dfsg-1+b3)
ORFEO Toolbox image processing GUI
moon-buggy (1:1.0.51-13)
Drive a car across the moon
moon-lander (1:1.0-7)
game based on the classic moon lander
moon-lander-data (1:1.0-7)
Data files (sound, images) for moon-lander
moonshot-gss-eap (1.0.1-6+b3 [amd64], 1.0.1-6+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Moonshot Federated Authentication - authentication mechanism
moonshot-gss-eap-dbg (1.0.1-6+b3 [amd64], 1.0.1-6+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Moonshot Federated Authentication - debugging Symbols
moonshot-trust-router (3.5.4+1)
Moonshot Trust Router server
moonshot-trust-router-dbg (3.5.4+1)
Trust Router Debugging Symbols
moonshot-trust-router-dev (3.5.4+1)
Development environment for the Trust Router
moonshot-ui (1.1.0+libsecret~2)
Moonshot Identity Selector
moonshot-ui-dev (1.1.0+libsecret~2)
Moonshot Identity Development Files
moosefs-cgi (3.0.115-1)
MooseFS - CGI monitor
moosefs-cgiserv (3.0.115-1)
simple CGI-capable HTTP server to run MooseFS CGI monitor
moosefs-chunkserver (3.0.115-1)
MooseFS - data server
moosefs-cli (3.0.115-1)
MooseFS CLI utility
moosefs-client (3.0.115-1)
MooseFS - client tools and mount utility
moosefs-common (3.0.115-1)
MooseFS - common files
moosefs-master (3.0.115-1)
MooseFS - master server
moosefs-metalogger (3.0.115-1)
MooseFS - metalogger server
moosefs-netdump (3.0.115-1)
MooseFS network packet dump utility
mopac7-bin (1.15-6+b4 [mips64el], 1.15-6+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Semi-empirical Quantum Chemistry Library (binaries)
mopidy (3.1.1-1)
extensible music server
mopidy-alsamixer (2.0.1-1)
Mopidy extension for volume control via ALSA
mopidy-beets (4.0.1-1)
Mopidy extension for playing music from Beets' web plugin
mopidy-dleyna (2.0.1-2)
Mopidy extension for playing music from Digital Media Servers
mopidy-doc (3.1.1-1)
extensible music server - documentation
mopidy-internetarchive (3.0.0-2)
Mopidy extension for playing music from the Internet Archive
mopidy-local (3.2.0-1)
Mopidy extension for playing music from your local music archive
mopidy-mpd (3.1.0-1)
Mopidy extension for controlling Mopidy from MPD clients
mopidy-mpris (3.0.3-1)
Mopidy extension for controlling playback through MPRIS
mopidy-podcast (3.0.0-2)
Mopidy extension for searching and browsing podcasts
mopidy-podcast-itunes (3.0.0-2)
Mopidy extension for searching and browsing iTunes podcasts
mopidy-scrobbler (2.0.1-1)
Mopidy extension for scrobbling music to Last.fm
mopidy-somafm (2.0.1-1)
Mopidy extension for playing music from SomaFM
mopidy-soundcloud (3.0.1-1)
Mopidy extension for playing music from SoundCloud
mopidy-tunein (1.1.0-1)
Mopidy extension for playing music from TuneIn
morbig (0.10.4-4+b7 [i386], 0.10.4-4+b6 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Parser for POSIX shell scripts
moreutils (0.65-1)
additional Unix utilities
moria (5.7.13-1+b1 [amd64], 5.7.13-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Rogue-like game with an infinite dungeon, also known as umoria
morla (0.16.1-1.2)
GTK+ RDF editor
morris (0.2-6)
Nine men's morris game
morse (2.5-1+b1)
training program about morse-code for aspiring radio hams
morse2ascii (0.2+dfsg-3)
tool for decoding the morse codes from a PCM WAV file
morsegen (0.2.1-3)
convert text file to ASCII morse code
morty (0.2.0-2+b6)
Privacy aware web content sanitizer proxy as a service
mosdepth (0.3.1+ds-2)
BAM/CRAM depth calculation biological sequencing
mosdepth-examples (0.3.1+ds-2)
Test data for mosdepth
mosh (1.3.2-2.1+b3)
Mobile shell that supports roaming and intelligent local echo
mosquitto (2.0.11-1+deb11u1)
MQTT version 5.0/3.1.1/3.1 compatible message broker
mosquitto-clients (2.0.11-1+deb11u1)
Mosquitto command line MQTT clients
mosquitto-dev (2.0.11-1+deb11u1)
Development files for Mosquitto
most (5.0.0a-4+b1 [amd64], 5.0.0a-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Pager program similar to more and less
mothur (1.44.3-2)
sequence analysis suite for research on microbiota
motif-clients
本虛擬套件由這些套件填實: mwm
motion (4.3.2-1)
V4L capture program supporting motion detection
mount (2.36.1-8+deb11u2) [security]
tools for mounting and manipulating filesystems
mounted-partitions
本虛擬套件由這些套件填實: partman-base
mountmedia (0.25)
Mounts media
mountpy (0.9+b1 [amd64], 0.9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
script for quick mounting of removable devices
mouse-modules
本虛擬套件由這些套件填實: mouse-modules-5.10.0-28-marvell-di, mouse-modules-5.10.0-27-marvell-di, mouse-modules-5.10.0-27-686-pae-di, mouse-modules-5.10.0-28-powerpc64le-di, mouse-modules-5.10.0-26-686-pae-di, mouse-modules-5.10.0-25-5kc-malta-di, mouse-modules-5.10.0-27-amd64-di, mouse-modules-5.10.0-27-4kc-malta-di, mouse-modules-5.10.0-28-4kc-malta-di, mouse-modules-5.10.0-28-686-pae-di, mouse-modules-5.10.0-28-686-di, mouse-modules-5.10.0-27-5kc-malta-di, mouse-modules-5.10.0-25-marvell-di, mouse-modules-5.10.0-27-686-di, mouse-modules-5.10.0-25-amd64-di, mouse-modules-5.10.0-26-amd64-di, mouse-modules-5.10.0-28-amd64-di, mouse-modules-5.10.0-26-4kc-malta-di, mouse-modules-5.10.0-26-powerpc64le-di, mouse-modules-5.10.0-25-686-di, mouse-modules-5.10.0-27-powerpc64le-di, mouse-modules-5.10.0-25-686-pae-di, mouse-modules-5.10.0-26-5kc-malta-di, mouse-modules-5.10.0-25-4kc-malta-di, mouse-modules-5.10.0-25-powerpc64le-di, mouse-modules-5.10.0-26-marvell-di, mouse-modules-5.10.0-28-5kc-malta-di, mouse-modules-5.10.0-26-686-di
mouse-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-25-686-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Mouse support
mouse-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-26-686-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-26-686-pae-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-26-amd64-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-26-marvell-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Mouse support
mouse-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-27-686-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Mouse support
mouse-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Mouse support
mouse-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Mouse support
mouse-modules-5.10.0-28-686-di (5.10.209-2)
Mouse support
mouse-modules-5.10.0-28-686-pae-di (5.10.209-2)
Mouse support
mouse-modules-5.10.0-28-amd64-di (5.10.209-2)
Mouse support
mouse-modules-5.10.0-28-marvell-di (5.10.209-2)
Mouse support
mouse-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Mouse support
mousepad (0.5.2-1)
簡單的 Xfce 文字編輯器
mousetrap (1.0c-2)
Simple game of ball chasing
mousetweaks (3.32.0-3)
mouse accessibility enhancements for the GNOME desktop
mozc-data (2.26.4220.100+dfsg-4)
Mozc input method - data files
mozc-server (2.26.4220.100+dfsg-4)
Server of the Mozc input method
mozc-utils-gui (2.26.4220.100+dfsg-4)
GUI utilities of the Mozc input method
mozilla-devscripts (0.54.2)
Development scripts used by Mozilla's addons packages
mozo (1.24.0-1)
easy MATE menu editing tool
mp3-decoder
本虛擬套件由這些套件填實: opencubicplayer, mpg321, vlc
mp3-encoder
本虛擬套件由這些套件填實: opencubicplayer
mp3blaster (1:3.2.6-2)
Full-screen console mp3 and Ogg Vorbis player
mp3burn (0.4.2-2.3)
burn audio CDs directly from MP3, Ogg Vorbis, or FLAC files
mp3cd (1.27.0-5)
Burns normalized audio CDs from lists of MP3s/WAVs/Oggs/FLACs
mp3check (0.8.7-3.1)
tool to check mp3 files for consistency
mp3diags (1.5.01-2)
find issues in MP3 files and help to solve them
mp3fs (1.1-1)
FUSE filesystem for transcoding FLAC to MP3 on the fly
mp3gain (1.6.2-2)
Lossless mp3 normalizer with statistical analysis
mp3guessenc (0.27.5+dfsg.1-1)
Utility for analysis of audio mpeg files
mp3info (0.8.5a-3)
An MP3 technical info viewer and ID3 1.x tag editor
mp3info-gtk (0.8.5a-3)
MP3 info viewer and ID3 1.x tag editor -- GTK+ version
mp3rename (0.6-10+b1)
Rename mp3 files based on id3tags
mp3report (1.0.3-1)
Script to create an HTML report of MP3 files in a directory
mp3roaster (0.3.0-6.1)
Perl hack for burning audio CDs out of MP3/OGG/FLAC/WAV files
mp3splt (2.6.2+20170630-3.1)
split MP3, Ogg Vorbis, or FLAC files without re-encoding
mp3splt-dbg (2.6.2+20170630-3.1)
debugging symbols for mp3splt, mp3splt-gtk and libmp3splt
mp3splt-gtk (0.9.2-3.1)
split MP3, Ogg Vorbis, or FLAC files without re-encoding
mp3val (0.1.8-4)
program for MPEG audio stream validation
mp3wrap (0.5-4+b1)
Utility for MP3 wrapping (rolling multiple MP3s into one)
mp4h (1.3.1-17+b1 [amd64], 1.3.1-17 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Macro processor for HTML documents
mpack (1.6-17)
編碼/解碼 MIME 訊息工具
mpb (1.11.1-3)
MIT Photonic-Bands
mpb-dev (1.11.1-3)
MIT Photonic-Bands development files
mpb-doc (1.11.1-3)
MIT Photonic-Bands documentation
mpb-mpi (1.11.1-3)
MIT Photonic-Bands, parallel (mpich) version
mpb-scm (1.11.1-3)
MIT Photonic-Bands initialisation files
mpc (0.33-1)
command-line tool to interface MPD
mpc-ace (6.5.12+dfsg-3)
makefile, project, and workspace creator
mpc123 (0.2.4-7)
command-line Musepack audio player
mpd (0.22.6-1+b1)
Music Player Daemon
mpd-client
本虛擬套件由這些套件填實: mpdcon.app, glurp, sonata, ncmpc, ncmpcpp, ario, mpd-sima, mpdris2, mpc, gmpc, cantata, mpdscribble
mpd-sima (0.16.1-1)
Automagically add titles to MPD playlist
mpdas (0.4.5-1+b1 [amd64], 0.4.5-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
AudioScrobbler client for MPD
mpdcon.app (1.1.99-5+b10)
MPD controller for GNUstep
mpdcron (0.3+git20161228-3)
add scrobbler, rating, play counts and other functionalities to MPD
mpdris2 (0.9.1-1)
media player interface (MPRIS2) bridge for MPD
mpdscribble (0.22-6)
Last.fm reporting client for mpd
mpdtoys (0.25.1)
small command line tools and toys for MPD
mpeg2dec (0.5.1-9)
Simple libmpeg2 video decoder application
mpeg3-utils (1.8.dfsg-2.1+b1 [amd64], 1.8.dfsg-2.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
MPEG streams decoding library
mpegdemux (0.1.4-4)
MPEG1/2 system stream demultiplexer
mpg123 (1.26.4-1)
MPEG layer 1/2/3 audio player
mpg123
本虛擬套件由這些套件填實: mpg321
mpg123-oss-3dnow
本虛擬套件由這些套件填實: mpg123
mpg321 (0.3.2-3.1)
Simple and lightweight command line MP3 player
mpgrafic (0.3.19-1)
MPI version of N-body initial conditions grafic package
mpgtx (1.3.1-6+b1)
toolbox to manipulate MPEG files (video, system, and audio)
mpi
本虛擬套件由這些套件填實: liblam4
mpi-default-bin (1.13)
Standard MPI runtime programs (metapackage)
mpi-default-dev (1.13)
Standard MPI development files (metapackage)
mpi-specs (20040719-4) [non-free]
MPI 1.1 and 2.0 Specifications of MPI Forum
mpich (3.4.1-5~deb11u1)
Implementation of the MPI Message Passing Interface standard
mpich-doc (3.4.1-5~deb11u1)
Documentation for MPICH
mplayer (2:1.4+ds1-1+deb11u1)
movie player for Unix-like systems
mplayer-doc (2:1.4+ds1-1+deb11u1)
documentation for MPlayer
mplayer-gui (2:1.4+ds1-1+deb11u1)
movie player for Unix-like systems (GUI variant)
mplayer-skin
本虛擬套件由這些套件填實: mplayer-skin-blue
mplayer-skin-blue (1.13-2)
Blue skin for MPlayer
mplrs (0.71a-1)
package to enumerate vertices and extreme rays of a convex polyhedron (parallel binary)
mpop (1.4.12-1)
POP3 mail retriever
mppenc (1.16-1.1+b1)
Musepack lossy audio codec encoder
mpqc (2.3.1-21)
Massively Parallel Quantum Chemistry Program
mpqc-support (2.3.1-21)
Massively Parallel Quantum Chemistry Program (support tools)
mpsolve (3.2.1-2+b1)
Multiprecision polynomial solver (command line version)
mpt-status (1.2.0-8+b1)
get RAID status out of mpt (and other) HW RAID controllers
mptp (0.2.4-3)
single-locus species delimitation
mpv (0.32.0-3)
video player based on MPlayer/mplayer2
mqtt-broker
本虛擬套件由這些套件填實: ejabberd
mr
本虛擬套件由這些套件填實: myrepos
mrb (0.3+nmu1)
Manage incremental data snapshots with make/rsync
mrbayes (3.2.7a-4)
Bayesian Inference of Phylogeny
mrbayes-doc (3.2.7a-4)
Bayesian Inference of Phylogeny - manual
mrbayes-mpi (3.2.7a-4)
Bayesian Inference of Phylogeny - mpi version
mrboom (5.2+ds-1)
8 player Bomberman
mrc (1.2.3-2+b1)
resource compiler to store data in ELF object files
mrename (1.2-14)
tool for easy and automatic renaming of many files
mriconvert (1:2.1.0-4)
medical image file conversion utility
mricron (1.0.20190902+dfsg-2)
magnetic resonance image conversion, viewing and analysis
mricron-data (1.0.20190902+dfsg-2)
data files for MRIcron
mricron-doc (1.0.20190902+dfsg-2)
data files for MRIcron
mrmpi-doc (1.0~20140404-3)
Implements MapReduce operation on top of standard MPI message - doc
mrpt-apps (1:2.1.7-2)
Mobile Robot Programming Toolkit - Console and GUI applications
mrpt-common (1:2.1.7-2)
Mobile Robot Programming Toolkit - Example datasets and files
mrpt-doc (1:2.1.7-2)
Mobile Robot Programming Toolkit - Documentation and examples
mrrescue (1.02e-1)
Mr Rescue is an arcade 2d action game
mrtdreader (0.1.6-3+b1)
Reader for machine-readable travel documents (MRTDs / passports)
mrtg (2.17.7-2+deb11u1)
multi router traffic grapher
mrtg-contrib (2.17.7-2+deb11u1)
multi router traffic grapher (contributed files)
mrtg-ping-probe (2.2.0-2)
Ping module for Multi Router Traffic Grapher
mrtgutils (0.8.3+b2)
Utilities to generate statistics for mrtg
mrtgutils-sensors (0.8.3+b2)
Utilities to generate statistics for mrtg (from lm-sensors)
mrtparse (1.6-2)
read and analyze the MRT format data (utilities)
mrtrix3 (3.0~rc3+git135-g2b8e7d0c2-5)
diffusion-weighted MRI white matter tractography
mrtrix3-doc (3.0~rc3+git135-g2b8e7d0c2-5)
documentation for mrtrix3
mruby (2.1.2-3)
lightweight implementation of the Ruby language
mscgen (0.20-14)
Message Sequence Chart (MSC) generator
mscompress (0.4-8)
Microsoft "compress.exe/expand.exe" compatible (de)compressor
mseed2sac (2.3+ds1-1)
Convert MiniSEED time series data to SAC
msgp (1.0.2-3+b6)
Go code generator for MessagePack
msi-keyboard (1.1-2+b1)
command line tool to change MSI steelseries keyboards color setup
msitools (0.101+repack-1)
Windows Installer file manipulation tool
msktutil (1.1-1)
Utility for interoperability with Active Directory
msmtp (1.8.11-2.1)
light SMTP client with support for server profiles
msmtp-mta (1.8.11-2.1)
light SMTP client with support for server profiles - the regular MTA
msort (8.53-2.3)
utility for sorting records in complex ways
msort-gui (8.53-2.3)
tcl/tk gui for msort utility
msp430mcu (20120406-2.1)
Spec files, headers and linker scripts for TI's MSP430 targets
mspdebug (0.22-2+b3)
debugging tool for MSP430 microcontrollers
msr-tools (1.3-3+b1 [amd64], 1.3-3 [i386])
Utilities for modifying MSRs from userspace
mssh (2.2-5+b1 [amd64], 2.2-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
tool to administrate multiple servers at once
mssstest (3.0-7) [non-free]
Normalisation of disease scores for patients with Multiple Sclerosis
mstflint (4.16.0+1-3)
Mellanox firmware burning application and diagnostics tools
msttcorefonts
本虛擬套件由這些套件填實: ttf-mscorefonts-installer
msva-perl (0.9.2-1.1)
Cryptographic identity validation agent (Perl implementation)
mswatch (1.2.0-2.2+b1)
watch mailstores for changes and initiate mailbox syncs - client tools
msx-emulator
本虛擬套件由這些套件填實: openmsx
msxpertsuite (5.8.9-1)
mass spectrometry software suite - metapackage
msxpertsuite-massxpert (5.8.9-1)
mass spectrometry software suite - massXpert
msxpertsuite-massxpert-data-doc (5.8.9-1)
mass spectrometry software suite - massXpert - data and doc
msxpertsuite-minexpert (5.8.9-1)
mass spectrometry software suite - mineXpert
msxpertsuite-minexpert-data-doc (5.8.9-1)
mass spectrometry software suite - mineXpert - data and doc
mt-st (1.4-2)
Linux SCSI tape driver aware magnetic tape control (aka mt)
mtail (3.0.0~rc43-3+b2)
Extract monitoring data from logs for collection in a timeseries database
mtbl-bin (1.3.0-1)
immutable sorted string table library (utilities)
mtd-core-modules
本虛擬套件由這些套件填實: mtd-core-modules-5.10.0-26-686-di, mtd-core-modules-5.10.0-28-amd64-di, mtd-core-modules-5.10.0-28-4kc-malta-di, mtd-core-modules-5.10.0-27-arm64-di, mtd-core-modules-5.10.0-27-powerpc64le-di, kernel-image-5.10.0-28-armmp-di, mtd-core-modules-5.10.0-25-686-di, mtd-core-modules-5.10.0-25-5kc-malta-di, mtd-core-modules-5.10.0-27-4kc-malta-di, mtd-core-modules-5.10.0-26-loongson-3-di, mtd-core-modules-5.10.0-25-686-pae-di, kernel-image-5.10.0-27-armmp-di, mtd-core-modules-5.10.0-27-marvell-di, mtd-core-modules-5.10.0-26-686-pae-di, mtd-core-modules-5.10.0-27-amd64-di, mtd-core-modules-5.10.0-28-686-di, mtd-core-modules-5.10.0-26-amd64-di, mtd-core-modules-5.10.0-28-loongson-3-di, mtd-core-modules-5.10.0-26-arm64-di, mtd-core-modules-5.10.0-27-loongson-3-di, mtd-core-modules-5.10.0-28-s390x-di, mtd-core-modules-5.10.0-25-4kc-malta-di, mtd-core-modules-5.10.0-27-5kc-malta-di, mtd-core-modules-5.10.0-26-s390x-di, mtd-core-modules-5.10.0-25-loongson-3-di, mtd-core-modules-5.10.0-25-marvell-di, mtd-core-modules-5.10.0-25-powerpc64le-di, kernel-image-5.10.0-25-armmp-di, mtd-core-modules-5.10.0-27-686-di, mtd-core-modules-5.10.0-26-4kc-malta-di, mtd-core-modules-5.10.0-25-amd64-di, mtd-core-modules-5.10.0-27-s390x-di, mtd-core-modules-5.10.0-28-powerpc64le-di, mtd-core-modules-5.10.0-26-marvell-di, mtd-core-modules-5.10.0-25-s390x-di, mtd-core-modules-5.10.0-28-marvell-di, mtd-core-modules-5.10.0-26-powerpc64le-di, kernel-image-5.10.0-26-armmp-di, mtd-core-modules-5.10.0-28-5kc-malta-di, mtd-core-modules-5.10.0-28-686-pae-di, mtd-core-modules-5.10.0-27-686-pae-di, mtd-core-modules-5.10.0-26-5kc-malta-di, mtd-core-modules-5.10.0-28-arm64-di, mtd-core-modules-5.10.0-25-arm64-di
mtd-core-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-686-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
MTD core
mtd-core-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-686-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-686-pae-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-amd64-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-arm64-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-loongson-3-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-marvell-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-26-s390x-di (5.10.197-1)
MTD core
mtd-core-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-686-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
MTD core
mtd-core-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-686-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-686-pae-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-amd64-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-arm64-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-loongson-3-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-marvell-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
MTD core
mtd-core-modules-5.10.0-28-s390x-di (5.10.209-2)
MTD core
mtd-modules
本虛擬套件由這些套件填實: mtd-modules-5.10.0-27-armmp-di, mtd-modules-5.10.0-27-marvell-di, mtd-modules-5.10.0-28-marvell-di, mtd-modules-5.10.0-28-armmp-di, mtd-modules-5.10.0-25-armmp-di, mtd-modules-5.10.0-26-armmp-di, mtd-modules-5.10.0-26-marvell-di, mtd-modules-5.10.0-25-marvell-di
mtd-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
MTD driver modules
mtd-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
MTD driver modules
mtd-modules-5.10.0-26-armmp-di (5.10.197-1)
MTD driver modules
mtd-modules-5.10.0-26-marvell-di (5.10.197-1)
MTD driver modules
mtd-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
MTD driver modules
mtd-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
MTD driver modules
mtd-modules-5.10.0-28-armmp-di (5.10.209-2)
MTD driver modules
mtd-modules-5.10.0-28-marvell-di (5.10.209-2)
MTD driver modules
mtd-tools
本虛擬套件由這些套件填實: mtd-utils
mtd-utils (1:2.1.2-2)
Memory Technology Device Utilities
mtdev-tools (1.1.6-1)
Multitouch Protocol Translation Library - test tools
mtink (1.0.16-11)
Status monitor tool for Epson inkjet printers
mtink-doc (1.0.16-11)
Status monitor tool for Epson inkjet printers - documentation
mtkbabel (0.8.3.1-1.2)
Tool for managing i-Blue 747 and compatible GPS data loggers
mtools (4.0.26-1)
Tools for manipulating MSDOS files
mtp-tools (1.1.17-3)
Media Transfer Protocol (MTP) library tools
mtpaint (3.40-3.1)
painting program to create pixel art and manipulate digital photos
mtpolicyd (2.04-1)
modular policy daemon for postfix
mtr (0.94-1+deb11u1)
Full screen ncurses and X11 traceroute tool
mtr-tiny (0.94-1+deb11u1)
Full screen ncurses traceroute tool
mtree-netbsd (20180822-6)
Validates directory tree against specification
mtx (1.3.12-14)
controls autoloaders and tape libraries
mu-cade (0.11.dfsg1-12+b2 [amd64, armel, armhf, i386], 0.11.dfsg1-12+b1 [arm64, mips64el, mipsel, s390x])
physics centipede invasion, smashup waggly shmup
mu-cade-data (0.11.dfsg1-12)
physics centipede invasion - game data
mu-cite (8.1+0.20201103-2)
message citation utility for emacsen
mu-editor (1.0.3+dfsg-2)
simple editor for beginner Python programmers
mu-editor-doc (1.0.3+dfsg-2)
simple editor for beginner Python programmers (documentation)
mu4e (1.4.15-1)
e-mail client for Emacs based on mu (maildir-utils)
muchsync (6-1)
synchronize maildirs and notmuch databases
mudita24 (1.0.3+svn13-7)
ALSA GUI control tool for Envy24 (ice1712) soundcards
mueller7-dict (2002.02.27-13)
Mueller English/Russian dictionary in dict format
mueller7accent-dict (2002.02.27-13)
Mueller English/Russian dictionary with accents in dict format
mueval (0.9.3-5+b3 [s390x], 0.9.3-5+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 0.9.3-5+b1 [mips64el, mipsel])
Safely evaluate pure Haskell expressions - executables
muffin (4.8.1-1)
lightweight window and compositing manager
muffin-common (4.8.1-1)
lightweight window and compositing manager (data files)
muffin-doc (4.8.1-1)
lightweight window and compositing manager (Documentation)
mugshot (0.4.3-1)
lightweight user-configuration application
mujs (1.1.0-1+deb11u3)
Lightweight JavaScript interpreter
multex-base (1.0-1.2)
basic MulTeX library files
multiboot (0.6.96+20101113-3)
The Multiboot specification
multicat (2.3-1)
Set of tools for multicast and transport stream manipulation
multimail (0.49-2+b4)
Offline reader for Blue Wave, QWK, OMEN and SOUP
multimedia-all (0.10)
Default selection of tasks for Debian Multimedia
multimedia-ambisonics (0.10)
Packages for working with ambisonics (3D surround sound)
multimedia-animation (0.10)
Packages for creating animations
multimedia-audio-plugins (0.10)
Audio processing plugins, synths and virtual instruments
multimedia-audio-utilities (0.10)
packages for manipulating & cataloging music and audio
multimedia-broadcasting (0.10)
Packages for broadcasting media publicly or in the home
multimedia-csound (0.10)
Packages for working with Csound
multimedia-devel (0.10)
Extra packages for developers and package compiling
multimedia-djing (0.10)
Packages for Disc Jockeys
multimedia-drums (0.10)
Packages for drums & beats
multimedia-firewire (0.10)
Packages for your firewire audiocard / interface
multimedia-graphics (0.10)
Packages for making and editing artwork
multimedia-guitar (0.10)
Packages for playing with your (virtual) guitar gear
multimedia-jack (0.10)
JACK (Jack Audio Connection Kit) and ALSA related packages
multimedia-ladi (0.10)
LADI, Linuxaudio session management, packages
multimedia-looping (0.10)
Packages for looping music and audio
multimedia-midi (0.10)
MIDI related packages
multimedia-mixing (0.10)
Packages for mixing and mastering your music
multimedia-musiciantools (0.10)
Packages for musicians
multimedia-photography (0.10)
Packages for working with digital photography
multimedia-players (0.10)
Packages which makes your multimedia tools complete
multimedia-puredata (0.10)
Packages for working with Pure Data
multimedia-recording (0.10)
Packages for audio recording
multimedia-samplers (0.10)
Software samplers
multimedia-soundsynthesis (0.10)
Packages for sound synthesis
multimedia-supercollider (0.10)
Packages for working with SuperCollider
multimedia-tasks (0.10)
Debian Multimedia tasks for tasksel
multimedia-video (0.10)
Video packages
multimon (1.0-7.1+b1)
Linux Radio Transmission Decoder
multimon-ng (1.1.9+dfsg-1)
digital radio transmission decoder
multipath-modules
本虛擬套件由這些套件填實: multipath-modules-5.10.0-26-armmp-di, multipath-modules-5.10.0-27-686-di, multipath-modules-5.10.0-28-armmp-di, multipath-modules-5.10.0-27-armmp-di, multipath-modules-5.10.0-25-686-di, multipath-modules-5.10.0-28-4kc-malta-di, multipath-modules-5.10.0-25-loongson-3-di, multipath-modules-5.10.0-25-marvell-di, multipath-modules-5.10.0-28-arm64-di, multipath-modules-5.10.0-28-octeon-di, multipath-modules-5.10.0-27-686-pae-di, multipath-modules-5.10.0-26-686-pae-di, multipath-modules-5.10.0-27-4kc-malta-di, multipath-modules-5.10.0-27-octeon-di, multipath-modules-5.10.0-25-4kc-malta-di, multipath-modules-5.10.0-25-armmp-di, multipath-modules-5.10.0-25-amd64-di, multipath-modules-5.10.0-28-marvell-di, multipath-modules-5.10.0-27-arm64-di, multipath-modules-5.10.0-26-marvell-di, multipath-modules-5.10.0-28-loongson-3-di, multipath-modules-5.10.0-26-5kc-malta-di, multipath-modules-5.10.0-28-amd64-di, multipath-modules-5.10.0-28-s390x-di, multipath-modules-5.10.0-26-s390x-di, multipath-modules-5.10.0-25-s390x-di, multipath-modules-5.10.0-26-octeon-di, multipath-modules-5.10.0-27-marvell-di, multipath-modules-5.10.0-27-loongson-3-di, multipath-modules-5.10.0-26-loongson-3-di, multipath-modules-5.10.0-26-4kc-malta-di, multipath-modules-5.10.0-27-amd64-di, multipath-modules-5.10.0-26-amd64-di, multipath-modules-5.10.0-27-5kc-malta-di, multipath-modules-5.10.0-26-arm64-di, multipath-modules-5.10.0-25-686-pae-di, multipath-modules-5.10.0-26-powerpc64le-di, multipath-modules-5.10.0-28-686-di, multipath-modules-5.10.0-26-686-di, multipath-modules-5.10.0-25-octeon-di, multipath-modules-5.10.0-27-powerpc64le-di, multipath-modules-5.10.0-28-powerpc64le-di, multipath-modules-5.10.0-25-arm64-di, multipath-modules-5.10.0-25-5kc-malta-di, multipath-modules-5.10.0-28-686-pae-di, multipath-modules-5.10.0-28-5kc-malta-di, multipath-modules-5.10.0-27-s390x-di, multipath-modules-5.10.0-25-powerpc64le-di
multipath-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-686-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
Multipath support
multipath-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-686-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-686-pae-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-amd64-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-arm64-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-armmp-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-marvell-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-octeon-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-26-s390x-di (5.10.197-1)
Multipath support
multipath-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-686-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
Multipath support
multipath-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-686-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-686-pae-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-amd64-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-arm64-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-armmp-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-marvell-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-octeon-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Multipath support
multipath-modules-5.10.0-28-s390x-di (5.10.209-2)
Multipath support
multipath-tools (0.8.5-2+deb11u1)
maintain multipath block device access
multipath-tools-boot (0.8.5-2+deb11u1)
Support booting from multipath devices
multipath-udeb (0.8.5-2+deb11u1)
maintain multipath block device access - udeb package
multiplex (0.5.1-3)
View output of multiple processes, in parallel - executable
multiqc (1.9+dfsg-3)
output integration for RNA sequencing across tools and samples
multistrap (2.2.11)
multiple repository bootstrap based on apt
multitail (6.5.0-3)
view multiple logfiles windowed on console
multitee (3.0-6+b1)
send multiple inputs to multiple outputs
multitime (1.4-2)
time-like tool which does multiple runs
multiwatch (1.0.0-rc1+really1.0.0-1+b1)
Forks and watches multiple instances of a program
mumble (1.3.4-1)
Low latency encrypted VoIP client
mumble-server (1.3.4-1)
Low latency encrypted VoIP server
mummer (3.23+dfsg-7)
Efficient sequence alignment of full genomes
mummer-doc (3.23+dfsg-7)
Documentation for MUMmer
mumps
本虛擬套件由這些套件填實: fis-gtm-6.3-014, fis-gtm
mumps-test (5.3.5-2)
Example/test binaries using MUMPS
mumudvb (1.7.1-1+b1)
multicasts all channels of a DVB transponder on a network
munge (0.5.14-4)
authentication service to create and validate credentials
munin (2.0.67-3)
network-wide graphing framework (grapher/gatherer)
munin-async (2.0.67-3)
network-wide graphing framework (async master/client)
munin-common (2.0.67-3)
network-wide graphing framework (common)
munin-doc (2.0.67-3)
network-wide graphing framework (documentation)
munin-libvirt-plugins (0.0.7-1)
Munin plugins using libvirt
munin-node (2.0.67-3)
network-wide graphing framework (node)
munin-node-c (0.0.13-1)
C rewrite of Munin node
munin-plugins
本虛擬套件由這些套件填實: munin-plugins-core
munin-plugins-btrfs (12-2)
munin plugin for monitoring btrfs filesystems
munin-plugins-c (0.0.13-1)
C rewrite of some Munin plugins
munin-plugins-core (2.0.67-3)
network-wide graphing framework (plugins for node)
munin-plugins-extra (2.0.67-3)
network-wide graphing framework (user contributed plugins for node)
munin-plugins-java (2.0.67-3)
network-wide graphing framework (java plugins for node)
munipack (0.5.14-2)
Astronomical photometry software package
munipack-cli (0.5.14-2)
Command line interface of Munipack
munipack-core (0.5.14-2)
Core routines of Munipack
munipack-doc (0.5.14-2)
Documentation of Munipack
munipack-gui (0.5.14-2)
Graphical user interface of Munipack
muon (4:5.8.0-2)
graphical package manager
mupdf (1.17.0+ds1-2)
lightweight PDF viewer
mupdf-tools (1.17.0+ds1-2)
command line tools for the MuPDF viewer
mupen64plus-audio
本虛擬套件由這些套件填實: mupen64plus-audio-sdl
mupen64plus-audio-abi-2
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-audio-all (2.5+6)
plugin-based Nintendo 64 emulator, audio plugins
mupen64plus-audio-sdl (2.5-5)
sdl audio output plugin for mupen64plus
mupen64plus-config-abi-2.2
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-config-abi-2.3
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-data (2.5-7)
plugin-based Nintendo 64 emulator, data files
mupen64plus-debug-abi-2
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-frontend-abi-2.1.1
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-gfx-abi-2.1
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-gfx-abi-2.2
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-input
本虛擬套件由這些套件填實: mupen64plus-input-sdl
mupen64plus-input-abi-2
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-input-all (2.5+6)
plugin-based Nintendo 64 emulator, input plugins
mupen64plus-input-sdl (2.5-5)
sdl input device plugin for mupen64plus
mupen64plus-qt (1.14-1)
customizable launcher for Mupen64Plus
mupen64plus-rsp
本虛擬套件由這些套件填實: mupen64plus-rsp-z64, mupen64plus-rsp-hle
mupen64plus-rsp-abi-2
本虛擬套件由這些套件填實: libmupen64plus2
mupen64plus-rsp-all (2.5+6)
plugin-based Nintendo 64 emulator, rsp plugins
mupen64plus-rsp-hle (2.5-5)
high-level rsp emulation for mupen64plus
mupen64plus-rsp-z64 (2.0.0+12+g5a0a580-5)
low-level rsp emulation for mupen64plus
mupen64plus-ui
本虛擬套件由這些套件填實: mupen64plus-ui-console
mupen64plus-ui-console (2.5-5)
console frontend for mupen64plus
mupen64plus-video
本虛擬套件由這些套件填實: mupen64plus-video-glide64, mupen64plus-video-arachnoid, mupen64plus-video-z64, mupen64plus-video-glide64mk2, mupen64plus-video-rice
mupen64plus-video-all (2.5+6)
plugin-based Nintendo 64 emulator, video plugins
mupen64plus-video-arachnoid (2.0.0+13+g911d3b8-5)
Arachnoid high-level graphics emulation for mupen64plus
mupen64plus-video-glide64 (2.0.0+33+g764d9fe-6)
Glide64 high-level graphics emulation for mupen64plus
mupen64plus-video-glide64mk2 (2.5-7+b2)
Glide64Mk2 high-level graphics emulation for mupen64plus
mupen64plus-video-rice (2.5-6)
Rice's high-level graphics emulation for mupen64plus
mupen64plus-video-z64 (2.0.0+13+g72af4f0-8)
low-level rdp emulation for mupen64plus
mupen64plus-vidext-abi-3
本虛擬套件由這些套件填實: libmupen64plus2
murano-agent (1:6.0.0-1)
cloud-ready application catalog - VM agent
murano-api (1:10.0.0-1)
cloud-ready application catalog - API server
murano-cfapi (1:10.0.0-1)
cloud-ready application catalog - Cloud Foundry broker
murano-common (1:10.0.0-1)
cloud-ready application catalog - common files
murano-doc (1:10.0.0-1)
cloud-ready application catalog - doc
murano-engine (1:10.0.0-1)
cloud-ready application catalog - Engine server
murano-tempest-plugin (2.1.0-2)
OpenStack Integration Test Suite - Murano plugin
murasaki (1.68.6-12)
homology detection tool across multiple large genomes
murasaki-common (1.68.6-12)
homology detection tool across multiple large genomes (common files)
murasaki-mpi (1.68.6-12)
homology detection tool across multiple large genomes (MPI-version)
muroar-bin (0.1.13-7)
minimalist RoarAudio client library (binary package)
muroard (0.1.14-8)
minimalist RoarAudio sound daemon
muroard-dev (0.1.14-8)
minimalist RoarAudio sound daemon (development files)
murrine-themes (0.98.11)
themes for gtk2 murrine engine
muscle (1:3.8.1551-2)
Multiple alignment program of protein sequences
muscle-doc
本虛擬套件由這些套件填實: muscle
muse (3.1.1-1)
Qt-based audio/MIDI sequencer
muse-el
本虛擬套件由這些套件填實: elpa-muse
musepack-tools (2:0.1~r495-2)
MusePack commandline utilities
musescore (2.3.2+dfsg4-15)
cross-platform multi-lingual music composition and notation, v2
musescore-common (2.3.2+dfsg4-15)
MuseScore 2 (music composition and notation) shared files
musescore-compatible-soundfont
本虛擬套件由這些套件填實: musescore-general-soundfont-lossless, musescore-general-soundfont, fluidr3mono-gm-soundfont, musescore-general-soundfont-small
musescore-general-soundfont (0.2-3)
General SoundFont from MuseScore (HQ version, lossy)
musescore-general-soundfont
本虛擬套件由這些套件填實: musescore-general-soundfont-small, musescore-general-soundfont-lossless
musescore-general-soundfont-lossless (0.2-3)
General SoundFont from MuseScore (uncompressed)
musescore-general-soundfont-small (0.2-2)
General SoundFont from MuseScore (lossy)
musescore2
本虛擬套件由這些套件填實: musescore
musescore3 (3.2.3+dfsg2-11)
cross-platform multi-lingual music composition and notation, v3
musescore3-common (3.2.3+dfsg2-11)
MuseScore 3 (music composition and notation) shared files
music-bin (1.1.16-1.1+b2)
Multi-Simulation Coordinator for MPI -- Utilities
music-doc (1.1.16-1.1)
Multi-Simulation Coordinator for MPI -- Manual
music123 (16.6-1+b1)
Command-line shell for sound-file players
musixtex
本虛擬套件由這些套件填實: texlive-music
musl (1.2.2-1)
standard C library
musl-dev (1.2.2-1)
standard C library development files
musl-tools (1.2.2-1)
standard C library tools
mussh (1.0-1.1)
MUltihost SSH Wrapper
mussort (0.4-3.1)
simple tool that sorts a music collection
mustang (3.2.3-4)
multiple structural alignment of proteins
mustang-plug (1.2-3+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.2-3 [armel, armhf])
control Fender Mustang amplifiers
mustang-testdata (3.2.3-4)
multiple structural alignment of proteins, test data
mutrace (0.2.0-3.4+b2)
mutex and realtime memory allocation profiling tools
mutt (2.0.5-4.1+deb11u3)
text-based mailreader supporting MIME, GPG, PGP and threading
mutt-vc-query (004-3)
vCard query utility for mutt
muttdown (0.3.4-1) [contrib]
Compiles annotated text mail into html using the Markdown standard
mutter (3.38.6-2~deb11u2)
Example window manager using GNOME's window manager library
mutter-common (3.38.6-2~deb11u2)
shared files for the Mutter window manager
muttprint (0.73-10)
Pretty printing of mails
muttprint-manual (0.73-10)
Manual for muttprint
muttprofile (1.0.1-5.1)
utility to choose profiles in Mutt
mvs
本虛擬套件由這些套件填實: libwww-mediawiki-client-perl
mwaw2epub (0.9.6-2)
old Mac formats to EPUB converter
mwaw2odf (0.9.6-2)
old Mac formats to OpenDocument converter
mwc (2.0.5-1)
Powerful website-tracking tool
mwic (0.7.8-1)
spell-checker to find misspellings and to show their contexts
mwm (2.3.8-3)
Motif Window Manager
mwrap (1.0-2)
Octave/MATLAB mex generator
mycli (1.23.2-0.1)
CLI for MySQL/MariaDB
mydumper (0.10.1-1)
High-performance MySQL backup tool
mydumper-doc (0.10.1-1)
High-performance MySQL backup tool - documentation
mygui-doc (3.2.2+dfsg-2.1)
API documentations for MyGUI library
myhungarian
本虛擬套件由這些套件填實: myspell-hu
mylvmbackup (0.15-1.1)
quickly creating backups of MySQL server's data files
mypager (0.6.3-1)
pager for MySQL/PostgreSQL command line clients
mypaint (2.0.1-2)
paint program for use with graphics tablets
mypaint-brushes (2.0.2+ds1-1)
brushes for paint apps
mypaint-data (2.0.1-2)
runtime data files for MyPaint
mypaint-data-extras (2.0.1-2)
high resolution backgrounds for mypaint
myproxy (6.2.6-2)
Credential Management Service Client
myproxy-admin (6.2.6-2)
Credential Management Service Administration Tools
myproxy-doc (6.2.6-2)
Credential Management Service Documentation
myproxy-server (6.2.6-2)
Credential Management Service Server
mypy (0.812-1)
optional static typing for Python
mypy-doc (0.812-1)
documentation for mypy
myrepos (1.20180726)
tool to manage all your version control repos
myrescue (0.9.8-3)
rescue data from damaged disks
mysecureshell (2.0-2+b2)
SFTP Server with ACL
myspell-an
本虛擬套件由這些套件填實: hunspell-an
myspell-bg (4.1-7)
transitional dummy package
myspell-cs (20040229-5.3)
Czech dictionary for myspell
myspell-cs-cz
本虛擬套件由這些套件填實: myspell-cs
myspell-da (1.6.36-11.1)
The Comprehensive Danish Dictionary (DSDO) - myspell
myspell-de-de-1901 (1:2-37)
Traditional German dictionary for myspell
myspell-dictionary
本虛擬套件由這些套件填實: myspell-et, myspell-gd, myspell-sk, myspell-eo, myspell-cs, myspell-he, myspell-fa, myspell-da, myspell-nn, myspell-tl, myspell-nb, myspell-gv, myspell-en-au, myspell-fo, myspell-es, myspell-hu, myspell-sq, hunspell-kk, myspell-uk, myspell-de-de-1901, myspell-ga, myspell-fr, myspell-fr-gut, myspell-hy
myspell-dictionary-cs
本虛擬套件由這些套件填實: myspell-cs
myspell-dictionary-da
本虛擬套件由這些套件填實: myspell-da
myspell-dictionary-de
本虛擬套件由這些套件填實: myspell-de-de-1901
myspell-dictionary-el
本虛擬套件由這些套件填實: myspell-el-gr
myspell-dictionary-en
本虛擬套件由這些套件填實: myspell-en-au
myspell-dictionary-eo
本虛擬套件由這些套件填實: myspell-eo
myspell-dictionary-es
本虛擬套件由這些套件填實: myspell-es
myspell-dictionary-et
本虛擬套件由這些套件填實: myspell-et
myspell-dictionary-fa
本虛擬套件由這些套件填實: myspell-fa
myspell-dictionary-fo
本虛擬套件由這些套件填實: myspell-fo
myspell-dictionary-fr
本虛擬套件由這些套件填實: myspell-fr, myspell-fr-gut
myspell-dictionary-ga
本虛擬套件由這些套件填實: myspell-ga
myspell-dictionary-gd
本虛擬套件由這些套件填實: myspell-gd
myspell-dictionary-gv
本虛擬套件由這些套件填實: myspell-gv
myspell-dictionary-he
本虛擬套件由這些套件填實: myspell-he
myspell-dictionary-hu
本虛擬套件由這些套件填實: myspell-hu
myspell-dictionary-hy
本虛擬套件由這些套件填實: myspell-hy
myspell-dictionary-kk
本虛擬套件由這些套件填實: hunspell-kk
myspell-dictionary-nb
本虛擬套件由這些套件填實: myspell-nb
myspell-dictionary-nn
本虛擬套件由這些套件填實: myspell-nn
myspell-dictionary-sk
本虛擬套件由這些套件填實: myspell-sk
myspell-dictionary-sq
本虛擬套件由這些套件填實: myspell-sq
myspell-dictionary-tl
本虛擬套件由這些套件填實: myspell-tl
myspell-dictionary-uk
本虛擬套件由這些套件填實: myspell-uk
myspell-el-gr (0.9-1.1)
Greek (el_GR) dictionary for myspell
myspell-en-au (2.1-5.5)
English_australian dictionary for myspell
myspell-eo (2.1.2000.02.25-58)
Esperanto dictionary for myspell
myspell-es (1.11-16)
Spanish dictionary for myspell
myspell-et (1:20030606-31)
Estonian dictionary for MySpell
myspell-eu-es
本虛擬套件由這些套件填實: hunspell-eu
myspell-fa (0.20070816-3.1)
Persian (Farsi) dictionary for myspell
myspell-fo (0.4.2+repack1-2)
Faroese dictionary for myspell
myspell-fo-fo
本虛擬套件由這些套件填實: myspell-fo
myspell-fr (1.4-29)
French dictionary for myspell (Hydro-Quebec version)
myspell-fr-fr
本虛擬套件由這些套件填實: myspell-fr-gut
myspell-fr-gut (1:1.0-32.1)
French dictionary for myspell (GUTenberg version)
myspell-ga (2.0-27.1)
Irish (Gaeilge) dictionary for OpenOffice and Mozilla
myspell-gd (0.50-13.1)
Scots Gaelic dictionary for myspell
myspell-gv (0.50-16.1)
Manx Gaelic dictionary for myspell
myspell-he (1.4-3.1)
Hebrew dictionary for myspell
myspell-hr (1:7.1.0~rc3-3)
Croatian dictionary for hunspell - dummy transitional package
myspell-hu (1.6.1-2.1)
Hungarian dictionary for myspell
myspell-hy (0.20.0-2.1)
Armenian dictionary for myspell
myspell-lv (1.4.0-1.1)
transitional package
myspell-nb (2.2-4)
Norwegian Bokmål dictionary for myspell
myspell-nl
本虛擬套件由這些套件填實: hunspell-nl
myspell-nn (2.2-4)
Norwegian Nynorsk dictionary for myspell
myspell-pl (1:7.1.0~rc3-3)
Polish dictionary for hunspell - dummy transitional package
myspell-pt (20091013-17)
Portuguese dictionaries for Hunspell
myspell-pt-br (20131030-13)
transitional dummy package
myspell-pt-pt (20091013-17)
transitional dummy package
myspell-ru (0.99g5-24)
transitional dummy package
myspell-sk (0.5.5a-2.4)
Slovak dictionary for myspell
myspell-sq (1.6.4-1.1)
Albanian dictionary for myspell
myspell-sv-se (1:7.1.0~rc3-3)
Swedish dictionary for hunspell - dummy transitional package
myspell-tl (0.4-0-19)
Tagalog dictionary for myspell/hunspell
myspell-tools (1:3.1-24.2+b1)
tools for myspell
myspell-uk (1.7.1-2)
myspell 使用的烏克蘭語字典
mysql-common (5.8+1.0.7)
MySQL database common files, e.g. /etc/mysql/my.cnf
mysql-common-5.6
本虛擬套件由這些套件填實: mysql-common
mysql-sandbox (3.2.05-1)
Install and set up one or more MySQL server instances easily
mysqltcl (3.052-3+b1)
interface to the MySQL database for the Tcl language
mysqltuner (1.7.17-1)
high-performance MySQL tuning script
mysqmail (0.4.9-10.2)
real-time logging system in MySQL
mysqmail-courier-logger (0.4.9-10.2+b1)
real-time logging system in MySQL - Courier traffic-logger
mysqmail-dovecot-logger (0.4.9-10.2+b1)
real-time logging system in MySQL - Dovecot traffic-logger
mysqmail-postfix-logger (0.4.9-10.2+b1)
real-time logging system in MySQL - Postfix traffic-logger
mysqmail-pure-ftpd-logger (0.4.9-10.2+b1)
real-time logging system in MySQL - Pure-FTPd traffic-logger
mystiq (20.03.23-2)
Powerful FFmpeg GUI front-end based on Qt5 and written in C++
mythes-ar (1:7.1.0~rc3-3)
Arabic Thesaurus for LibreOffice
mythes-bg (1:7.1.0~rc3-3)
Bulgarian Thesaurus for LibreOffice
mythes-ca (1:7.1.0~rc3-3)
Catalan Thesaurus for LibreOffice
mythes-cs (1:7.1.0~rc3-3)
Czech Thesaurus for LibreOffice
mythes-da (1:7.1.0~rc3-3)
Danish Thesaurus for LibreOffice
mythes-de (20160424-4)
German Thesaurus for OpenOffice.org/LibreOffice
mythes-de-ch (20160424-4)
German Thesaurus for OpenOffice.org/LibreOffice (Swiss Version)
mythes-en-au (2.1-5.5)
Australian English Thesaurus for OpenOffice.org
mythes-en-us (1:7.1.0~rc3-3)
English (USA) Thesaurus for LibreOffice
mythes-es (1:7.1.0~rc3-3)
Spanish Thesaurus for LibreOffice
mythes-fr (1:7.1.0~rc3-3)
French Thesaurus for LibreOffice
mythes-gl (1:7.1.0~rc3-3)
Galician Thesaurus for LibreOffice
mythes-gug (1:7.1.0~rc3-3)
Guarani Thesaurus for LibreOffice
mythes-hu (1:7.1.0~rc3-3)
Hungarian Thesaurus for LibreOffice
mythes-id (1:7.1.0~rc3-3)
Indonesian Thesaurus for LibreOffice
mythes-is (1:7.1.0~rc3-3)
Icelandic Thesaurus for LibreOffice
mythes-it (1:7.1.0~rc3-3)
Italian Thesaurus for LibreOffice
mythes-lv (1:7.1.0~rc3-3)
Latvian Thesaurus for LibreOffice
mythes-ne (1:7.1.0~rc3-3)
Nepalese Thesaurus for LibreOffice
mythes-no (1:7.1.0~rc3-3)
Norwegian Thesaurus for LibreOffice
mythes-pl (1.5-4.1)
Polish thesaurus for LibreOffice/OpenOffice.org
mythes-pt-pt (1:7.1.0~rc3-3)
Portuguese Thesaurus for LibreOffice
mythes-ro (1:7.1.0~rc3-3)
Romanian Thesaurus for LibreOffice
mythes-ru (1:7.1.0~rc3-3)
Russian Thesaurus for LibreOffice
mythes-sk (1:7.1.0~rc3-3)
Slovak Thesaurus for LibreOffice
mythes-sl (1:7.1.0~rc3-3)
Slovene Thesaurus for LibreOffice
mythes-sv (1:7.1.0~rc3-3)
Swedish Thesaurus for LibreOffice
mythes-thesaurus
本虛擬套件由這些套件填實: mythes-en-us, mythes-de-ch, mythes-ru, mythes-cs, mythes-sl, mythes-ca, mythes-hu, mythes-pl, mythes-ne, mythes-da, mythes-uk, mythes-no, mythes-lv, mythes-it, mythes-sv, mythes-is, mythes-id, mythes-bg, mythes-sk, mythes-de, mythes-gug, mythes-gl, mythes-es, mythes-pt-pt, mythes-ar, mythes-ro, mythes-fr
mythes-thesaurus-ar
本虛擬套件由這些套件填實: mythes-ar
mythes-thesaurus-bg
本虛擬套件由這些套件填實: mythes-bg
mythes-thesaurus-ca
本虛擬套件由這些套件填實: mythes-ca
mythes-thesaurus-cs
本虛擬套件由這些套件填實: mythes-cs
mythes-thesaurus-da
本虛擬套件由這些套件填實: mythes-da
mythes-thesaurus-de
本虛擬套件由這些套件填實: mythes-de-ch, mythes-de
mythes-thesaurus-en-us
本虛擬套件由這些套件填實: mythes-en-us
mythes-thesaurus-es
本虛擬套件由這些套件填實: mythes-es
mythes-thesaurus-fr
本虛擬套件由這些套件填實: mythes-fr
mythes-thesaurus-gl
本虛擬套件由這些套件填實: mythes-gl
mythes-thesaurus-gug
本虛擬套件由這些套件填實: mythes-gug
mythes-thesaurus-hu
本虛擬套件由這些套件填實: mythes-hu
mythes-thesaurus-id
本虛擬套件由這些套件填實: mythes-id
mythes-thesaurus-is
本虛擬套件由這些套件填實: mythes-is
mythes-thesaurus-it
本虛擬套件由這些套件填實: mythes-it
mythes-thesaurus-lv
本虛擬套件由這些套件填實: mythes-lv
mythes-thesaurus-ne
本虛擬套件由這些套件填實: mythes-ne
mythes-thesaurus-no
本虛擬套件由這些套件填實: mythes-no
mythes-thesaurus-pl
本虛擬套件由這些套件填實: mythes-pl
mythes-thesaurus-pt-pt
本虛擬套件由這些套件填實: mythes-pt-pt
mythes-thesaurus-ro
本虛擬套件由這些套件填實: mythes-ro
mythes-thesaurus-ru
本虛擬套件由這些套件填實: mythes-ru
mythes-thesaurus-sk
本虛擬套件由這些套件填實: mythes-sk
mythes-thesaurus-sl
本虛擬套件由這些套件填實: mythes-sl
mythes-thesaurus-sv
本虛擬套件由這些套件填實: mythes-sv
mythes-thesaurus-uk
本虛擬套件由這些套件填實: mythes-uk
mythes-uk (1:7.1.0~rc3-3)
Ukrainian Thesaurus for LibreOffice
mythtv-status (1.0.1-6)
Show the status of a MythTV backend
mzclient (0.9.0-6.1)
CLI library for multicast DNS service discovery (commandline tool)
n2n (1.3.1~svn3789-7+b1 [i386], 1.3.1~svn3789-7 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Peer-to-Peer VPN network daemon
nabi (1.0.0-4)
Korean X input method server plus imhangul status monitor
nacl-tools (20110221-6.1+b1)
NaCl and CurveCP tools
nadoka (0.10.0-1)
IRC logger, monitor and proxy program ("bot") in Ruby
naev (0.8.0-1)
2D action/rpg space game
naev-data (0.8.0-1)
2D action/rpg space game - game data
nag
本虛擬套件由這些套件填實: php-horde-nag
nageru (2.0.1-3)
modern free software video mixer
nagios-check-xmppng (0.3.2-3)
monitoring plugin to check XMPP servers
nagios-images (0.9.4)
Collection of images and icons for the nagios system
nagios-nrpe-plugin (4.0.3-1)
Nagios Remote Plugin Executor Plugin
nagios-nrpe-server (4.0.3-1)
Nagios Remote Plugin Executor Server
nagios-plugin-check-multi (0.26-4)
run nagios checks as a group
nagios-plugins
本虛擬套件由這些套件填實: monitoring-plugins
nagios-plugins-basic
本虛擬套件由這些套件填實: monitoring-plugins-basic
nagios-plugins-contrib (35.20210511)
Transitional package for monitoring-plugins-contrib
nagios-plugins-contrib
本虛擬套件由這些套件填實: monitoring-plugins-contrib
nagios-plugins-rabbitmq (1:1.2.0-2.3)
Set of Nagios checks useful for monitoring a RabbitMQ server
nagios-plugins-standard
本虛擬套件由這些套件填實: monitoring-plugins-standard
nagios-snmp-plugins (2.1.0-2)
SNMP Plugins for nagios
nagios4 (4.4.6-4)
host/service/network monitoring and management system
nagios4-cgi (4.4.6-4)
cgi files for nagios4
nagios4-common (4.4.6-4)
support files for nagios4
nagios4-core (4.4.6-4)
host/service/network monitoring and management system core files
nagstamon (3.4.1-1)
Nagios status monitor which takes place in systray or on desktop
nagvis (1:1.9.25-2)
visualization addon for Nagios or Icinga
nagvis-demos (1:1.9.25-2)
visualization addon for Nagios or Icinga - demo maps
nagzilla (2.0-1.1)
jabber relay bot
nailgun (0.9.3-3+b1 [amd64], 0.9.3-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
client, protocol, and server for running Java programs from CLI
naist-jdic (1:0.4.3-21)
free Japanese Dictionaries for ChaSen (replacement of ipadic)
naist-jdic-utf8 (1:0.4.3-21)
free Japanese Dictionaries for ChaSen (replacement of ipadic) in UTF-8
nam (1.15-5.2)
Network Animator for network simulation
nam-dbg (1.15-5.2)
debug symboles of nam
nam-examples (1.15-5.2)
examples of nam
nama (1.216-1)
Ecasound-based multitrack recorder/mixer
namazu
本虛擬套件由這些套件填實: namazu2
namazu2 (2.0.21-23)
full text search engine - binary and CGI script
namazu2-common (2.0.21-23)
full text search engine - documentation
namazu2-index-tools (2.0.21-23)
full text search engine - index handling tools
namecheap (0.0.3-3)
API command line client for DNS provider Namecheap (Python 3)
nano (5.4-2+deb11u2)
small, friendly text editor inspired by Pico
nano-tiny (5.4-2+deb11u2)
small, friendly text editor inspired by Pico - tiny build
nano-udeb (5.4-2+deb11u2)
small, friendly text editor inspired by Pico - udeb
nanoc (4.11.23-1)
static site generator written in Ruby
nanofilt (2.6.0-3)
filtering and trimming of long read sequencing data
nanolyse (1.2.0-1)
remove lambda phage reads from a fastq file
nanomsg-utils (1.1.5+dfsg-1+b2)
nanomsg utilities
nanook (1.33+dfsg-2.1)
pre- and post-alignment analysis of nanopore sequencing data
nanook-examples (1.33+dfsg-2.1)
pre- and post-alignment analysis of nanopore sequencing data (examples)
nanopb (0.4.4-2)
Protocol Buffers with small code size
nanopolish (0.13.2-3)
consensus caller for nanopore sequencing data
nanostat (1.4.0-3)
statistics on long biological sequences
nanosv (1.2.4+git20190409.c1ae30c-3)
structural variant caller for nanopore data
nant (0.92~rc1+dfsg-7)
build tool similar to Ant
nas (1.9.4-7)
Network Audio System - local server
nas-bin (1.9.4-7)
Network Audio System - client binaries
nas-dev
本虛擬套件由這些套件填實: libaudio-dev
nas-doc (1.9.4-7)
Network Audio System - extra documentation
nas-lib
本虛擬套件由這些套件填實: libaudio2
nasm (2.15.05-1)
General-purpose x86 assembler
naspro-bridges (0.5.1-3)
collection of bridges to LV2
nast (0.2.0-8)
packet sniffer and lan analyzer
nast-ier (20101212+dfsg1-4)
NAST-based DNA alignment tool
nastran (0.1.95-2) [non-free]
NASA Structural Analysis System
nasty (0.6-4)
tool which helps you to recover your GPG passphrase
nat
本虛擬套件由這些套件填實: smb-nat
nat-rtsp-dkms (0.7+5.3-0.1)
Connection tracking and NAT support for RTSP
nat-traverse (0.7-2)
NAT gateway traversal utility
natbraille (2.0rc3-10)
French braille typesetting program
natbraille-doc (2.0rc3-10)
Documentation for natbraille
natlog (2.03.00-1)
Source-natting firewall logging utility
natpmp-utils (20150609-7.1)
transitional package
natpmpc (20150609-7.1)
portable and fully compliant implementation of NAT-PMP (client)
naturaldocs (1.51-3)
extensible, multi-language documentation generator
nautic (1.5-4)
computation of observer position in astro-navigation
nautilus (3.38.2-1+deb11u1)
file manager and graphical shell for GNOME
nautilus-admin (1.1.9-3.1)
Extension for Nautilus to do administrative operations
nautilus-data (3.38.2-1+deb11u1)
data files for nautilus
nautilus-dropbox (2019.02.14-1) [non-free]
Dropbox integration for Nautilus
nautilus-extension-brasero (3.12.2-6)
CD/DVD burning integration for Nautilus
nautilus-extension-burner (3.0.9-1)
CD/DVD burning integration for Nautilus
nautilus-extension-gnome-terminal (3.38.3-1)
GNOME terminal emulator application - Nautilus extension
nautilus-filename-repairer (0.2.0-3)
Nautilus extension for filename encoding repair
nautilus-font-manager (0.8.4-1)
Nautilus extension for Font Manager
nautilus-gtkhash (1.2-1+b3 [amd64], 1.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
nautilus extension for computing checksums and more using gtkhash
nautilus-hide (0.2.3-8)
Extension for Nautilus to hide files without renaming them
nautilus-image-converter (0.3.1~git20110416-2)
nautilus extension to mass resize or rotate images
nautilus-kdeconnect (20.12.3-2)
KDE Connect integration for Nautilus
nautilus-nextcloud (3.1.1-2+deb11u1)
Nextcloud integration for Nautilus
nautilus-scripts-manager (2.0-1.1)
simple tool for nautilus scripts management
nautilus-sendto (3.8.6-3.1)
easily send files via email from within Nautilus
nautilus-share (0.7.3-2+b1)
Nautilus extension to share folder using Samba
nautilus-wipe (0.3.1-2)
Secure deletion extension for Nautilus
nauty (2.7r1+ds-2)
library for graph automorphisms -- interface and tools
nauty-doc (2.7r1+ds-2)
library for graph automorphisms -- user guide
navit (0.5.5+dfsg.1-2+b1)
Car navigation system with routing engine
navit-data (0.5.5+dfsg.1-2)
Car navigation system with routing engine - data files
navit-graphics-gtk-drawing-area (0.5.5+dfsg.1-2+b1)
Car navigation system with routing engine - GTK+ graphic plugin
navit-gui-gtk (0.5.5+dfsg.1-2+b1)
Car navigation system with routing engine - GTK+ GUI
navit-gui-internal (0.5.5+dfsg.1-2+b1)
Car navigation system with routing engine - internal GUI
nbc (1.2.1.r4+dfsg-9)
C compiler for LEGO Mindstorms NXT bricks
nbd-client (1:3.21-1+deb11u1)
Network Block Device protocol - client
nbd-client-udeb (1:3.21-1+deb11u1)
Network Block Device protocol - client for Debian Installer
nbd-modules
本虛擬套件由這些套件填實: nbd-modules-5.10.0-25-s390x-di, nbd-modules-5.10.0-27-loongson-3-di, nbd-modules-5.10.0-26-arm64-di, nbd-modules-5.10.0-27-powerpc64le-di, nbd-modules-5.10.0-28-amd64-di, nbd-modules-5.10.0-28-octeon-di, nbd-modules-5.10.0-26-686-pae-di, nbd-modules-5.10.0-26-s390x-di, nbd-modules-5.10.0-25-armmp-di, nbd-modules-5.10.0-25-5kc-malta-di, nbd-modules-5.10.0-27-armmp-di, nbd-modules-5.10.0-26-5kc-malta-di, nbd-modules-5.10.0-26-powerpc64le-di, nbd-modules-5.10.0-25-marvell-di, nbd-modules-5.10.0-25-arm64-di, nbd-modules-5.10.0-25-octeon-di, nbd-modules-5.10.0-28-loongson-3-di, nbd-modules-5.10.0-27-5kc-malta-di, nbd-modules-5.10.0-25-powerpc64le-di, nbd-modules-5.10.0-28-686-pae-di, nbd-modules-5.10.0-26-loongson-3-di, nbd-modules-5.10.0-26-4kc-malta-di, nbd-modules-5.10.0-26-marvell-di, nbd-modules-5.10.0-28-powerpc64le-di, nbd-modules-5.10.0-27-marvell-di, nbd-modules-5.10.0-26-amd64-di, nbd-modules-5.10.0-28-4kc-malta-di, nbd-modules-5.10.0-25-686-di, nbd-modules-5.10.0-25-686-pae-di, nbd-modules-5.10.0-27-4kc-malta-di, nbd-modules-5.10.0-25-loongson-3-di, nbd-modules-5.10.0-25-amd64-di, nbd-modules-5.10.0-28-5kc-malta-di, nbd-modules-5.10.0-27-686-di, nbd-modules-5.10.0-27-octeon-di, nbd-modules-5.10.0-28-marvell-di, nbd-modules-5.10.0-28-686-di, nbd-modules-5.10.0-26-686-di, nbd-modules-5.10.0-28-s390x-di, nbd-modules-5.10.0-28-armmp-di, nbd-modules-5.10.0-27-686-pae-di, nbd-modules-5.10.0-26-octeon-di, nbd-modules-5.10.0-25-4kc-malta-di, nbd-modules-5.10.0-27-amd64-di, nbd-modules-5.10.0-27-s390x-di, nbd-modules-5.10.0-28-arm64-di, nbd-modules-5.10.0-27-arm64-di, nbd-modules-5.10.0-26-armmp-di
nbd-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-686-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
Network Block Device modules
nbd-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-686-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-686-pae-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-amd64-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-arm64-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-armmp-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-marvell-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-octeon-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-26-s390x-di (5.10.197-1)
Network Block Device modules
nbd-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-686-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
Network Block Device modules
nbd-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-686-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-686-pae-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-amd64-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-arm64-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-armmp-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-marvell-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-octeon-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Network Block Device modules
nbd-modules-5.10.0-28-s390x-di (5.10.209-2)
Network Block Device modules
nbd-server (1:3.21-1+deb11u1)
Network Block Device protocol - server
nbdfuse
本虛擬套件由這些套件填實: libnbd-bin
nbdkit (1.24.1-2)
toolkit for creating NBD servers
nbdkit-plugin-dev (1.24.1-2)
development files for nbdkit
nbdkit-plugin-guestfs (1.24.1-2)
libguestfs plugin for nbdkit
nbdkit-plugin-libvirt (1.24.1-2)
libvirt plugin for nbdkit
nbdkit-plugin-lua (1.24.1-2)
Lua plugin for nbdkit
nbdkit-plugin-perl (1.24.1-2)
Perl plugin for nbdkit
nbdkit-plugin-python (1.24.1-2)
Python plugin for nbdkit
nbdkit-plugin-ruby (1.24.1-2)
Ruby plugin for nbdkit
nbdkit-plugin-tcl (1.24.1-2)
TCL plugin for nbdkit
nbibtex (0.9.18-13)
Powerful, flexible replacement for bibtex
nbibtex-doc (0.9.18-13)
Documentation of source code for nbibtex
nbtscan (1.6-3)
scan networks searching for NetBIOS information
ncal (12.1.7+nmu3)
display a calendar and the date of Easter
ncaptool (1.9.2-7)
network capture tool
ncat (7.91+dfsg1+really7.80+dfsg1-2)
NMAP netcat reimplementation
ncbi-acc-download (0.2.7-1)
download genome files from NCBI by accession
ncbi-blast+ (2.11.0+ds-1)
next generation suite of BLAST sequence search tools
ncbi-blast+-legacy (2.11.0+ds-1)
NCBI Blast legacy call script
ncbi-cn3d (3.0.20170106+dfsg1-9)
3-dimensional viewer for biological molecules
ncbi-data (6.1.20170106+dfsg1-9)
Platform-independent data for the NCBI toolkit
ncbi-entrez-direct (14.6.20210224+dfsg-4+b1)
NCBI Entrez utilities on the command line
ncbi-epcr (2.3.12-1-9)
Tool to test a DNA sequence for the presence of sequence tagged sites
ncbi-rrna-data (6.1.20170106+dfsg1-9)
large rRNA BLAST databases distributed with the NCBI toolkit
ncbi-seg (0.0.20000620-6)
tool to mask segments of low compositional complexity in amino acid sequences
ncbi-tools-bin (6.1.20170106+dfsg1-9)
NCBI libraries for biology applications (text-based utilities)
ncbi-tools-dev
本虛擬套件由這些套件填實: libncbi6-dev
ncbi-tools-x11 (6.1.20170106+dfsg1-9)
NCBI libraries for biology applications (X-based utilities)
ncdt (2.1-4)
Display directory tree
ncdu (1.15.1-1)
ncurses disk usage viewer
ncftp (2:3.2.5-2.2)
User-friendly and well-featured FTP client
ncl-ncarg (6.6.2-7)
NCAR Command Language and NCAR graphics
ncl-tools (2.1.21+git20190531.feceb81-3)
tools to deal with NEXUS files
ncmpc (0.45-1)
ncurses-based audio player
ncmpc-lyrics (0.45-1)
ncurses-based audio player (lyrics plugins)
ncmpcpp (0.9.2-1)
ncurses-based client for the Music Player Daemon (MPD)
ncmpcpp-completion
本虛擬套件由這些套件填實: ncmpcpp
nco (4.9.7-1)
Command-line operators to analyze netCDF files
ncoils (2002-8)
coiled coil secondary structure prediction
ncompress (4.2.4.6-4)
original Lempel-Ziv compress/uncompress programs
ncrack (0.7+debian-3)
High-speed network authentication cracking tool
ncurses-base (6.2+20201114-2+deb11u2)
basic terminal type definitions
ncurses-bin (6.2+20201114-2+deb11u2)
terminal-related programs and man pages
ncurses-dev
本虛擬套件由這些套件填實: libncurses-dev
ncurses-doc (6.2+20201114-2+deb11u2)
developer's guide and documentation for ncurses
ncurses-examples (6.2+20201114-2+deb11u2)
test programs and examples for ncurses
ncurses-hexedit (0.9.7+orig-7.1)
Edit files/disks in hex, ASCII and EBCDIC
ncurses-runtime
本虛擬套件由這些套件填實: ncurses-base
ncurses-term (6.2+20201114-2+deb11u2)
additional terminal type definitions
ncview (2.1.8+ds-4)
X11 visual browser for NetCDF format files
nd (0.8.2-8+b1)
small command line interface to WebDAV servers
ndctl (71.1-1)
Utility for managing the nvdimm subsystem
ndiff (7.91+dfsg1+really7.80+dfsg1-2)
The Network Mapper - result compare utility
ndisc6 (1.0.4-2)
IPv6 diagnostic tools
ndisc6-udeb (1.0.4-2)
IPv6 diagnostic tools
ndppd (0.2.5-6)
daemon that proxies IPv6 NDP messages
ne (3.1.2-1)
easy-to-use and powerful text editor
ne-doc (3.1.2-1)
documentation for the ne text editor
neat (2.3.2-2)
Nebular Empirical Analysis Tool
nec2c (1.3-4+b1 [amd64], 1.3-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Translation of the NEC2 FORTRAN source code to the C language
nedit (1:5.7-3)
powerful, customizable, Motif based text editor
needrestart (3.5-4+deb11u3)
check which daemons need to be restarted after library upgrades
needrestart-session (0.3-8)
check for processes need to be restarted in user sessions
neko (2.3.0-1+b1)
Lightweight virtual machine - compiler and tools
neko-dev (2.3.0-1+b1)
Lightweight virtual machine - headers
nemiver (0.9.6-1.2)
Standalone graphical debugger for GNOME
nemo (4.8.6-2)
File manager and graphical shell for Cinnamon
nemo-data (4.8.6-2)
File manager and graphical shell for Cinnamon (data files)
nemo-fileroller (4.8.0-1)
File Roller integration for Nemo
nemo-font-manager (0.8.4-1)
Nemo extension for Font Manager
nemo-gtkhash (1.2-1+b3 [amd64], 1.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
nemo extension for computing checksums and more using gtkhash
nemo-nextcloud (3.1.1-2+deb11u1)
Nextcloud integration for Nemo
nemo-python (4.8.0-2)
Python bindings for nemo components
neo4j-client (2.2.0-1.1)
Command line shell for the Neo4j graph database
neobio (0.0.20030929-6)
computes alignments of amino acid and nucleotide sequences
neofetch (7.1.0-2)
Shows Linux System Information with Distribution Logo
neomutt (20201127+dfsg.1-1.2)
command line mail reader based on Mutt, with added features
neon-support (6)
prevent installation on processors without required instructions
neovim (0.4.4-1)
heavily refactored vim fork
neovim-qt (0.2.16-1)
neovim client library and GUI
neovim-runtime (0.4.4-1)
heavily refactored vim fork (runtime files)
nes-emulator
本虛擬套件由這些套件填實: mednafen, fceux, nestopia, higan
nescc (1.3.5-1.1)
Programming Language for Deeply Networked Systems
nestopia (1.50-2)
Nintendo Entertainment System/Famicom emulator
net-acct (0.71-9.1)
User-mode IP accounting daemon
net-retriever (1.55)
Fetch modules from the Internet
net-tools (1.60+git20181103.0eebece-1)
NET-3 networking toolkit
netatalk (3.1.12~ds-8+deb11u1)
Apple Filing Protocol service
netbase (6.3)
Basic TCP/IP networking system
netcat (1.10-46)
TCP/IP swiss army knife -- transitional package
netcat
本虛擬套件由這些套件填實: netcat-traditional, netcat-openbsd
netcat-openbsd (1.217-3)
TCP/IP swiss army knife
netcat-traditional (1.10-46)
TCP/IP swiss army knife
netcdf-bin (1:4.7.4-1)
Programs for reading and writing NetCDF files
netcdf-doc (1:4.7.4-1)
Documentation for NetCDF
netcf (1:0.2.8-1.1)
programs for the netcf library
netcfg (1.176)
Configure the network
netcfg-static (1.176)
Configure a static network
netconfd (2.11-1.1)
NETCONF (RFC-6241) agent
netconfd-module-ietf-interfaces (2.11-1.1)
SIL module for netconfd implementing ietf-interfaces.yang
netconfd-module-ietf-system (2.11-1.1)
SIL module for netconfd implementing ietf-system.yang
netconsole (0.3.1-1)
Dynamically configure Linux netconsole
netctl (1.24-1)
Profile based systemd network management
netdata (1.29.3-4)
real-time performance monitoring (metapackage)
netdata-apache2 (1.29.3-4)
real-time performance monitoring (apache integration)
netdata-core (1.29.3-4)
real-time performance monitoring (core)
netdata-core-no-sse (1.29.3-4)
real-time performance monitoring (core, no-sse build)
netdata-plugins
本虛擬套件由這些套件填實: netdata-plugins-python, netdata-plugins-bash, netdata-plugins-nodejs
netdata-plugins-bash (1.29.3-4)
real-time performance monitoring (bash plugins)
netdata-plugins-nodejs (1.29.3-4)
real-time performance monitoring (nodejs plugins)
netdata-plugins-python (1.29.3-4)
real-time performance monitoring (python plugins)
netdata-web (1.29.3-4)
real-time performance monitoring (web)
netdiag (1.2-1.1)
Net-Diagnostics (trafshow,netwatch,statnet,tcpspray,tcpblast)
netdiscover (0.7-4)
active/passive network address scanner using ARP requests
netfilter-persistent (1.0.15)
boot-time loader for netfilter configuration
netgen (6.2.2006+really6.2.1905+dfsg-2.1)
Automatic 3d tetrahedral mesh generator
netgen-doc (6.2.2006+really6.2.1905+dfsg-2.1)
Automatic 3d tetrahedral mesh generator documentation
netgen-headers (6.2.2006+really6.2.1905+dfsg-2.1)
Automatic 3d tetrahedral mesh generator internal headers
netgen-lvs (1.5.133-1)
Netlist comparison - Layout vs Schematic (LVS)
nethack
本虛擬套件由這些套件填實: nethack-x11, nethack-qt, nethack-console
nethack-common (3.6.6-2+b1 [amd64], 3.6.6-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dungeon crawl game - common files
nethack-console (3.6.6-2+b1 [amd64], 3.6.6-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dungeon crawl game - text-based interface
nethack-qt (3.6.6-2+b1 [amd64], 3.6.6-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dungeon crawl game - Qt interface
nethack-spoilers (3.4.3+20110109-3)
Spoiler files for the Nethack adventure game
nethack-x11 (3.6.6-2+b1 [amd64], 3.6.6-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dungeon crawl game - X11 interface
nethogs (0.8.5-2+b1)
Net top tool grouping bandwidth per process
netlabel-tools (0.30.0-1+b1 [amd64], 0.30.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
management tool for the Linux NetLabel Subsystem
netmask (2.4.4-3)
helps determine network masks
netmate (0.2.0-11)
netdude clone that shows pcap dump lines in network header style
netmaze (0.81+jpg0.82-16+b1 [amd64], 0.81+jpg0.82-16 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
3-D Multiplayer Combat Game
netpanzer (0.8.7+ds-3)
online multiplayer tactical warfare game
netpanzer-data (0.8.7+ds-3)
data files for the netPanzer game
netpbm (2:10.0-15.4)
Graphics conversion tools between image formats
netpbm-dev
本虛擬套件由這些套件填實: libnetpbm9-dev, libnetpbm10-dev
netperf (2.7.0-0.1) [non-free]
Network performance benchmark
netperfmeter (1.8.6~rc2-1)
Network Performance Meter (measurement program)
netperfmeter-plotting (1.8.6~rc2-1)
Network Performance Meter (plotting program)
netpipe-lam (3.7.2-8+b1 [amd64], 3.7.2-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Network performance tool using LAM MPI
netpipe-mpich2 (3.7.2-8+b1 [amd64], 3.7.2-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Network performance tool using MPICH2 MPI
netpipe-openmpi (3.7.2-8+b1 [amd64], 3.7.2-8 [arm64, armel, armhf, i386, ppc64el])
Network performance tool using OpenMPI
netpipe-pvm (3.7.2-8+b1 [amd64], 3.7.2-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Network performance tool using PVM
netpipe-tcp (3.7.2-8+b1 [amd64], 3.7.2-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Network performance tool using the TCP protocol
netpipes (4.2-8+b1)
manipulate BSD TCP/IP stream sockets
netplan.io (0.101-4)
YAML network configuration abstraction for various backends
netplug (1.2.9.2-3)
network link monitor daemon
netr (0.1.4-1+b1 [amd64], 0.1.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple network interface monitor showing traffic stats
netrek-client-cow (3.3.2-1)
client for netrek online game
netrik (1.16.1-2+b2)
text mode WWW browser with vi like keybindings
netris (0.52-10+b3)
free, networked version of T*tris
netrw (1.3.2-3+b1)
netcat like tool with nice features to transport files over network
netscript
本虛擬套件由這些套件填實: netscript-2.4
netscript-2.4 (5.5.5)
Linux 2.4/2.6/3.x router/firewall/VM host network config system.
netscript-ipfilter (5.5.5)
Linux 2.6/3.x iptables management system.
netscript-ipfilter
本虛擬套件由這些套件填實: netscript-ipfilter
netsed (1.2-3+b1)
network packet-altering stream editor
netselect (0.3.ds1-29)
speed tester for choosing a fast network server
netselect-apt (0.3.ds1-29)
speed tester for choosing a fast Debian mirror
netsend (0.0~svnr250-1.4)
a speedy filetransfer and network diagnostic program
netsniff-ng (0.6.8-2)
Linux network packet sniffer toolkit
netstat-nat (1.4.10-3+b1)
tool that display NAT connections
netstress (1.2.0-9)
utility to stress and benchmark networks
netsurf-common (3.10-1)
small web browser with CSS support common files
netsurf-fb (3.10-1+b1)
small web browser with CSS support for framebuffers
netsurf-gtk (3.10-1+b1)
small web browser with CSS support for GTK
nettle-bin (3.7.3-1)
low level cryptographic library (binary tools)
nettle-dev (3.7.3-1)
low level cryptographic library (development files)
nettoe (1.5.1-3)
networked version of Tic Tac Toe (3x3 Grid) for the console
netwag (5.39.0-1.4)
graphical frontend for netwox
netwag-doc (5.39.0-1.4)
documentation for netwag frontend
network-console (1.88)
Continue installation remotely using SSH
network-manager (1.30.6-1+deb11u1)
network management framework (daemon and userspace tools)
network-manager-config-connectivity-debian (1.30.6-1+deb11u1)
NetworkManager configuration to enable connectivity checking
network-manager-dev (1.30.6-1+deb11u1)
network management framework (development files)
network-manager-fortisslvpn (1.2.8-2+b2)
network management framework (Fortinet SSLVPN plugin core)
network-manager-fortisslvpn-gnome (1.2.8-2+b2)
network management framework (Fortinet SSLVPN plugin GNOME GUI)
network-manager-gnome (1.20.0-3)
network management framework (GNOME frontend)
network-manager-l2tp (1.2.18-1+b2)
network management framework (L2TP plugin core)
network-manager-l2tp-gnome (1.2.18-1+b2)
network management framework (L2TP plugin GNOME GUI)
network-manager-openconnect (1.2.6-1)
network management framework (OpenConnect plugin core)
network-manager-openconnect-gnome (1.2.6-1)
network management framework (OpenConnect plugin GNOME GUI)
network-manager-openvpn (1.8.12-2)
network management framework (OpenVPN plugin core)
network-manager-openvpn-gnome (1.8.12-2)
network management framework (OpenVPN plugin GNOME GUI)
network-manager-pptp (1.2.8-3+b2)
network management framework (PPTP plugin core)
network-manager-pptp-gnome (1.2.8-3+b2)
network management framework (PPTP plugin GNOME GUI)
network-manager-ssh (1.2.11-1)
network management framework (SSH plugin core)
network-manager-ssh-gnome (1.2.11-1)
network management framework (SSH plugin GNOME GUI)
network-manager-strongswan (1.5.2-1)
network management framework (strongSwan plugin)
network-manager-vpnc (1.2.6-3)
network management framework (VPNC plugin core)
network-manager-vpnc-gnome (1.2.6-3)
network management framework (VPNC plugin GNOME GUI)
network-preseed (1.109)
download debconf preseed file
networkd-dispatcher (2.1-2)
Dispatcher service for systemd-networkd connection status changes
networking-bagpipe-doc (13.0.0-1)
OpenStack virtual network service - BGP-based VPN - doc
networking-bgpvpn-doc (13.0.0-1)
OpenStack virtual network service - BGP-MPLS VPN Extension - doc
networking-mlnx-common (1:16.0.0-1)
OpenStack virtual network service - Mellanox plugin common files
networking-mlnx-eswitchd (1:16.0.0-1)
Neutron is a virtual network service for Openstack - Mellanox eswitchd
netwox (5.39.0-1.4)
networking utilities
netwox-doc (5.39.0-1.4)
documentation for netwox toolbox
neurodebian (0.41.0)
neuroscience-oriented distribution - repository configuration
neurodebian-archive-keyring (0.41.0)
neuroscience-oriented distribution - GnuPG archive keys
neurodebian-desktop (0.41.0)
neuroscience-oriented distribution - desktop integration
neurodebian-dev (0.41.0)
neuroscience-oriented distribution - development tools
neurodebian-freeze (0.41.0)
nd_freeze tool to freeze APT sources to use snapshots
neurodebian-popularity-contest (0.41.0)
neuroscience-oriented distribution - popcon integration
neuron (7.6.3-1+b3)
Simulation environment for computational models of neurons
neuron-dev (7.6.3-1+b3)
Neuron simulation environment - Development files
neutron-api (2:17.2.1-0+deb11u1)
OpenStack virtual network service - API service
neutron-bgp-dragent (2:17.0.0-2)
OpenStack Neutron Dynamic Routing - Agent
neutron-common (2:17.2.1-0+deb11u1)
OpenStack virtual network service - common files
neutron-dhcp-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - DHCP agent
neutron-doc (2:17.2.1-0+deb11u1)
OpenStack virtual network service - doc
neutron-dynamic-routing-common (2:17.0.0-2)
OpenStack Neutron Dynamic Routing - common files
neutron-l2gateway-agent (1:17.0.1-1)
OpenStack virtual network service - L2 gateway agent
neutron-l3-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - l3 agent
neutron-linuxbridge-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - Linux bridge agent
neutron-macvtap-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - MacVTap Agent
neutron-metadata-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - metadata agent
neutron-metering-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - metering agent
neutron-mlnx-agent (1:16.0.0-1)
OpenStack virtual network service - Mellanox plugin agent
neutron-openvswitch-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - Open vSwitch agent
neutron-ovn-metadata-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - OVN Metadata Agent
neutron-plugin
本虛擬套件由這些套件填實: networking-mlnx-eswitchd, neutron-common
neutron-plugin-bigswitch
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-brocade
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-cisco
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-hyperv
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-linuxbridge
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-linuxbridge-agent
本虛擬套件由這些套件填實: neutron-linuxbridge-agent
neutron-plugin-metaplugin
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-metering-agent
本虛擬套件由這些套件填實: neutron-metering-agent
neutron-plugin-ml2
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-nec
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-nec-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - NEC agent
neutron-plugin-nicira
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-openvswitch
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-openvswitch-agent
本虛擬套件由這些套件填實: neutron-openvswitch-agent
neutron-plugin-plumgrid
本虛擬套件由這些套件填實: neutron-common
neutron-plugin-ryu
本虛擬套件由這些套件填實: neutron-common
neutron-rpc-server (2:17.2.1-0+deb11u1)
OpenStack virtual network service - RPC service
neutron-server (2:17.2.1-0+deb11u1)
OpenStack virtual network service - metapackage for the server
neutron-sriov-agent (2:17.2.1-0+deb11u1)
OpenStack virtual network service - SR-IOV agent
neutron-taas-openvswitch-agent (7.0.0-1)
OpenStack virtual network service - Tap-as-a-Service agent
neutron-tempest-plugin (1.2.0-2)
OpenStack Integration Test Suite - Neutron plugin
neutron-vpnaas-common (2:17.0.0-1)
OpenStack virtual network service - VPNaaS common files
neutron-vpnaas-vyatta-agent (2:17.0.0-1)
OpenStack virtual network service - VPNaaS Vyatta Agent
neverball (1.6.0+git20180603-3)
3D floor-tilting game
neverball-common (1.6.0+git20180603-3)
data files for Neverball and Neverputt
neverball-data (1.6.0+git20180603-3)
data files for Neverball
neverputt (1.6.0+git20180603-3)
3D miniature golf game
neverputt-data (1.6.0+git20180603-3)
data files for Neverputt
newlisp (10.7.5-2)
LISP like, general purpose scripting language
newmail (0.5-4)
Notificator for incoming mail
newpid (13)
run a command in a new PID namespace
newrole (3.1-3)
SELinux core policy utilities (newrole application for RBAC/MLS)
news-reader
本虛擬套件由這些套件填實: lynx, nn, trn4, slrn, wl-beta, claws-mail, mew, sylpheed, xemacs21-nomule, im, xemacs21-mule, emacs-gtk, xemacs21-mule-canna-wnn, mew-beta, emacs-lucid, emacs-nox, tin, lusernet.app, knews, wl
news-transport-system
本虛擬套件由這些套件填實: leafnode, cyrus-nntpd, inn2, inn, sn
newsboat (2.21-1)
text mode rss feed reader with podcast support
newt-tcl (0.52.21-4+b3)
NEWT module for Tcl
nextcloud-desktop (3.1.1-2+deb11u1)
Nextcloud folder synchronization tool
nextcloud-desktop-cmd (3.1.1-2+deb11u1)
folder synchronization with an Nextcloud server - cmd client
nextcloud-desktop-common (3.1.1-2+deb11u1)
Nextcloud folder synchronization - common data
nextcloud-desktop-doc (3.1.1-2+deb11u1)
Nextcloud folder synchronization - documentation
nextcloud-desktop-l10n (3.1.1-2+deb11u1)
Nextcloud folder synchronization - localization
nextepc (0.3.10+nods-4.1)
Evolved Packet Core implementation for LTE (metapackage)
nextepc-core (0.3.10+nods-4.1)
Evolved Packet Core for LTE (Shared Files)
nextepc-hss (0.3.10+nods-4.1)
Evolved Packet Core - Home Subscriber Server (HSS)
nextepc-mme (0.3.10+nods-4.1)
Evolved Packet Core - Mobility Management Entity (MME)
nextepc-pcrf (0.3.10+nods-4.1)
Evolved Packet Core - Policy and Charging Rules Function (PCRF)
nextepc-pgw (0.3.10+nods-4.1)
Evolved Packet Core - Packet Data Network Gateway (PDN-GW)
nextepc-sgw (0.3.10+nods-4.1)
Evolved Packet Core - Serving Gateway (SGW)
nextgen-yum4 (4.5.2-6)
DNF (Dandified Yum) Yum CLI compatibility layer
nextpnr-generic (0.0~git20210102.9b96280-1)
Place and route tool for Generic FPGAs
nextpnr-ice40 (0.0~git20210102.9b96280-1)
FPGA place and route tool for Lattice iCE40
nextpnr-ice40-qt (0.0~git20210102.9b96280-1)
FPGA place and route tool for Lattice iCE40 - with GUI
nexuiz (2.5.2+dp-8)
Fast-paced 3D first-person shooter
nexuiz-data (2.5.2-11)
Nexuiz game data files
nexuiz-music (2.5.2-11)
Nexuiz music files
nexuiz-server (2.5.2+dp-8)
Standalone server for Nexuiz Classic
nexuiz-textures (2.5.2-11)
Textures for Nexuiz
nexus-tools (4.4.3-5)
NeXus scientific data file format - applications
nfacct (1.0.2-3)
netfilter accounting object tool
nfct (1:1.4.6-2)
Tool to interact with the connection tracking system
nfdump (1.6.22-2)
netflow capture daemon
nfdump-sflow (1.6.22-2)
sflow collector daemon
nfoview (1.28-1)
simple viewer for NFO files
nfs-client
本虛擬套件由這些套件填實: nfs-common
nfs-common (1:1.3.4-6)
NFS support files common to client and server
nfs-ganesha (3.4-1)
NFS server in User Space
nfs-ganesha-ceph (3.4-1)
nfs-ganesha fsal ceph libraries
nfs-ganesha-doc (3.4-1)
Documentation for nfs-ganesha
nfs-ganesha-gluster (3.4-1)
nfs-ganesha fsal gluster libraries
nfs-ganesha-gpfs (3.4-1)
nfs-ganesha fsal gpfs libraries
nfs-ganesha-mem (3.4-1)
nfs-ganesha fsal mem libraries
nfs-ganesha-mount-9p (3.4-1)
nfs-ganesha mount.9P
nfs-ganesha-nullfs (3.4-1)
nfs-ganesha fsal nullfs libraries
nfs-ganesha-proxy (3.4-1)
nfs-ganesha fsal proxy libraries
nfs-ganesha-rados-grace (3.4-1)
nfs-ganesha ganesha-rados-grace program
nfs-ganesha-rgw (3.4-1)
nfs-ganesha fsal rgw libraries
nfs-ganesha-vfs (3.4-1)
nfs-ganesha fsal vfs libraries
nfs-kernel-server (1:1.3.4-6)
support for NFS kernel server
nfs-modules
本虛擬套件由這些套件填實: nfs-modules-5.10.0-27-loongson-3-di, nfs-modules-5.10.0-28-loongson-3-di, nfs-modules-5.10.0-26-loongson-3-di, nfs-modules-5.10.0-25-loongson-3-di
nfs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
NFS filesystem support
nfs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
NFS filesystem support
nfs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
NFS filesystem support
nfs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
NFS filesystem support
nfs-server
本虛擬套件由這些套件填實: nfs-kernel-server
nfs4-acl-tools (0.3.3-3+b1)
Commandline and GUI ACL utilities for the NFSv4 client
nfstrace (0.4.3.2+git20200805+b220d04-1)
NFS tracing/monitoring/capturing/analyzing tool
nfstrace-doc (0.4.3.2+git20200805+b220d04-1)
NFS tracing/monitoring/capturing/analyzing tool (documentation)
nfswatch (4.99.11-8)
Program to monitor NFS traffic for the console
nftables (0.9.8-3.1+deb11u2)
Program to control packet filtering rules by Netfilter project
nftlb (0.6-1)
nftables load balancer
ng-cjk (1.5~beta1-9)
Nihongo MicroGnuEmacs with CJK support
ng-cjk-canna (1.5~beta1-9)
Nihongo MicroGnuEmacs with CJK and Canna support
ng-common (1.5~beta1-9)
Common files used by ng-* packages
ng-latin (1.5~beta1-9)
Nihongo MicroGnuEmacs with Latin support
ng-utils (1.0-1+b1)
Tool to access netgroups from the command line
ngetty (1.1-9)
getty replacement - one single daemon for all consoles
nghttp2 (1.43.0-1+deb11u1)
server, proxy and client implementing HTTP/2
nghttp2-client (1.43.0-1+deb11u1)
client implementing HTTP/2 protocol
nghttp2-proxy (1.43.0-1+deb11u1)
reverse proxy implementing HTTP/2 protocol
nghttp2-server (1.43.0-1+deb11u1)
server implementing HTTP/2 protocol
nginx (1.18.0-6.1+deb11u3)
small, powerful, scalable web/proxy server
nginx
本虛擬套件由這些套件填實: nginx-full, nginx-core, nginx-light, nginx-extras
nginx-common (1.18.0-6.1+deb11u3)
small, powerful, scalable web/proxy server - common files
nginx-confgen (2.0-1)
nginx configuration file macro language and preprocessor
nginx-core (1.18.0-6.1+deb11u3)
nginx web/proxy server (standard version)
nginx-doc (1.18.0-6.1+deb11u3)
small, powerful, scalable web/proxy server - documentation
nginx-extras (1.18.0-6.1+deb11u3)
nginx web/proxy server (extended version)
nginx-full (1.18.0-6.1+deb11u3)
nginx web/proxy server (standard version with 3rd parties)
nginx-light (1.18.0-6.1+deb11u3)
nginx web/proxy server (basic version)
ngircd (26.1-1)
lightweight Internet Relay Chat server
ngmlr (0.2.7+dfsg-4+b1)
CoNvex Gap-cost alignMents for Long Reads
ngraph-gtk (6.09.01-1)
create scientific 2-dimensional graphs
ngraph-gtk-addin-import-ps (6.09.01-1)
import-ps addin of Ngraph-gtk
ngraph-gtk-addin-spellcheck (6.09.01-1)
spell check addin of Ngraph-gtk
ngraph-gtk-addin-tex-equation (6.09.01-1)
tex-equation addin of Ngraph-gtk
ngraph-gtk-addins (6.09.01-1)
addin collection of Ngraph-gtk
ngraph-gtk-addins-base (6.09.01-1)
addins of Ngraph-gtk - base programs
ngraph-gtk-doc (6.09.01-1)
documents of Ngraph-gtk
ngraph-gtk-plugin-ruby (6.09.01-1)
Ruby plugin for Ngraph-gtk
ngrep (1.47+ds1-2)
grep for network traffic
ngspice (34+ds-1)
Spice circuit simulator
ngspice-dev (34+ds-1)
Spice circuit simulator - development files for ngspice and tclspice
ngspice-doc (34+ds-1)
Documentation for the NGspice circuit simulator
nheko (0.8.0+really0.7.2-4)
desktop IM client for the Matrix protocol
nic-extra-modules
本虛擬套件由這些套件填實: nic-modules-5.10.0-26-686-di, nic-modules-5.10.0-28-powerpc64le-di, nic-modules-5.10.0-25-686-di, nic-modules-5.10.0-28-loongson-3-di, nic-modules-5.10.0-26-5kc-malta-di, nic-modules-5.10.0-28-4kc-malta-di, nic-modules-5.10.0-26-arm64-di, nic-modules-5.10.0-27-octeon-di, nic-modules-5.10.0-25-marvell-di, nic-modules-5.10.0-26-loongson-3-di, nic-modules-5.10.0-25-arm64-di, nic-modules-5.10.0-27-4kc-malta-di, nic-modules-5.10.0-26-armmp-di, nic-modules-5.10.0-25-loongson-3-di, nic-modules-5.10.0-25-5kc-malta-di, nic-modules-5.10.0-27-arm64-di, nic-modules-5.10.0-27-armmp-di, nic-modules-5.10.0-28-octeon-di, nic-modules-5.10.0-25-powerpc64le-di, nic-modules-5.10.0-26-amd64-di, nic-modules-5.10.0-28-s390x-di, nic-modules-5.10.0-26-4kc-malta-di, nic-modules-5.10.0-25-octeon-di, nic-modules-5.10.0-26-marvell-di, nic-modules-5.10.0-26-s390x-di, nic-modules-5.10.0-25-amd64-di, nic-modules-5.10.0-27-686-di, nic-modules-5.10.0-27-686-pae-di, nic-modules-5.10.0-28-marvell-di, nic-modules-5.10.0-25-s390x-di, nic-modules-5.10.0-25-686-pae-di, nic-modules-5.10.0-25-armmp-di, nic-modules-5.10.0-26-octeon-di, nic-modules-5.10.0-26-686-pae-di, nic-modules-5.10.0-27-marvell-di, nic-modules-5.10.0-27-amd64-di, nic-modules-5.10.0-28-armmp-di, nic-modules-5.10.0-27-powerpc64le-di, nic-modules-5.10.0-27-s390x-di, nic-modules-5.10.0-28-arm64-di, nic-modules-5.10.0-28-686-pae-di, nic-modules-5.10.0-28-686-di, nic-modules-5.10.0-28-5kc-malta-di, nic-modules-5.10.0-26-powerpc64le-di, nic-modules-5.10.0-28-amd64-di, nic-modules-5.10.0-25-4kc-malta-di, nic-modules-5.10.0-27-loongson-3-di, nic-modules-5.10.0-27-5kc-malta-di
nic-modules
本虛擬套件由這些套件填實: nic-modules-5.10.0-28-powerpc64le-di, nic-modules-5.10.0-25-686-di, nic-modules-5.10.0-26-686-di, nic-modules-5.10.0-28-4kc-malta-di, nic-modules-5.10.0-26-5kc-malta-di, nic-modules-5.10.0-28-loongson-3-di, nic-modules-5.10.0-25-marvell-di, nic-modules-5.10.0-27-octeon-di, nic-modules-5.10.0-26-arm64-di, nic-modules-5.10.0-25-loongson-3-di, nic-modules-5.10.0-26-armmp-di, nic-modules-5.10.0-27-4kc-malta-di, nic-modules-5.10.0-25-arm64-di, nic-modules-5.10.0-26-loongson-3-di, nic-modules-5.10.0-26-amd64-di, nic-modules-5.10.0-25-powerpc64le-di, nic-modules-5.10.0-28-octeon-di, nic-modules-5.10.0-27-armmp-di, nic-modules-5.10.0-27-arm64-di, nic-modules-5.10.0-25-5kc-malta-di, nic-modules-5.10.0-26-4kc-malta-di, nic-modules-5.10.0-28-s390x-di, nic-modules-5.10.0-25-octeon-di, nic-modules-5.10.0-26-s390x-di, nic-modules-5.10.0-26-marvell-di, nic-modules-5.10.0-27-686-di, nic-modules-5.10.0-25-amd64-di, nic-modules-5.10.0-27-686-pae-di, nic-modules-5.10.0-28-marvell-di, nic-modules-5.10.0-26-octeon-di, nic-modules-5.10.0-25-armmp-di, nic-modules-5.10.0-25-686-pae-di, nic-modules-5.10.0-25-s390x-di, nic-modules-5.10.0-27-amd64-di, nic-modules-5.10.0-27-marvell-di, nic-modules-5.10.0-26-686-pae-di, nic-modules-5.10.0-28-arm64-di, nic-modules-5.10.0-27-s390x-di, nic-modules-5.10.0-27-powerpc64le-di, nic-modules-5.10.0-28-armmp-di, nic-modules-5.10.0-28-amd64-di, nic-modules-5.10.0-26-powerpc64le-di, nic-modules-5.10.0-28-5kc-malta-di, nic-modules-5.10.0-28-686-di, nic-modules-5.10.0-28-686-pae-di, nic-modules-5.10.0-27-5kc-malta-di, nic-modules-5.10.0-27-loongson-3-di, nic-modules-5.10.0-25-4kc-malta-di
nic-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-686-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
NIC drivers
nic-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-686-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-686-pae-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-amd64-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-arm64-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-armmp-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-loongson-3-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-marvell-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-octeon-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-26-s390x-di (5.10.197-1)
NIC drivers
nic-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-686-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
NIC drivers
nic-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-686-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-686-pae-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-amd64-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-arm64-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-armmp-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-loongson-3-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-marvell-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-octeon-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
NIC drivers
nic-modules-5.10.0-28-s390x-di (5.10.209-2)
NIC drivers
nic-pcmcia-modules
本虛擬套件由這些套件填實: nic-pcmcia-modules-5.10.0-27-686-di, nic-pcmcia-modules-5.10.0-28-686-pae-di, nic-pcmcia-modules-5.10.0-27-686-pae-di, nic-pcmcia-modules-5.10.0-28-amd64-di, nic-pcmcia-modules-5.10.0-27-amd64-di, nic-pcmcia-modules-5.10.0-25-686-di, nic-pcmcia-modules-5.10.0-26-amd64-di, nic-pcmcia-modules-5.10.0-28-686-di, nic-pcmcia-modules-5.10.0-26-686-pae-di, nic-pcmcia-modules-5.10.0-26-686-di, nic-pcmcia-modules-5.10.0-25-amd64-di, nic-pcmcia-modules-5.10.0-25-686-pae-di
nic-pcmcia-modules-5.10.0-25-686-di (5.10.191-1) [security]
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-26-686-di (5.10.197-1)
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-26-686-pae-di (5.10.197-1)
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-26-amd64-di (5.10.197-1)
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-27-686-di (5.10.205-2) [security]
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-28-686-di (5.10.209-2)
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-28-686-pae-di (5.10.209-2)
Common PCMCIA NIC drivers
nic-pcmcia-modules-5.10.0-28-amd64-di (5.10.209-2)
Common PCMCIA NIC drivers
nic-shared-modules
本虛擬套件由這些套件填實: nic-shared-modules-5.10.0-25-octeon-di, nic-shared-modules-5.10.0-27-octeon-di, nic-shared-modules-5.10.0-28-686-pae-di, nic-shared-modules-5.10.0-27-5kc-malta-di, nic-shared-modules-5.10.0-28-armmp-di, nic-shared-modules-5.10.0-25-loongson-3-di, nic-shared-modules-5.10.0-25-marvell-di, nic-shared-modules-5.10.0-26-686-pae-di, nic-shared-modules-5.10.0-28-loongson-3-di, nic-shared-modules-5.10.0-27-686-di, nic-shared-modules-5.10.0-28-arm64-di, nic-shared-modules-5.10.0-27-armmp-di, nic-shared-modules-5.10.0-28-marvell-di, nic-shared-modules-5.10.0-26-armmp-di, nic-shared-modules-5.10.0-26-marvell-di, nic-shared-modules-5.10.0-26-4kc-malta-di, nic-shared-modules-5.10.0-26-octeon-di, nic-shared-modules-5.10.0-26-amd64-di, nic-shared-modules-5.10.0-25-4kc-malta-di, nic-shared-modules-5.10.0-25-686-pae-di, nic-shared-modules-5.10.0-26-686-di, nic-shared-modules-5.10.0-25-686-di, nic-shared-modules-5.10.0-28-powerpc64le-di, nic-shared-modules-5.10.0-28-amd64-di, nic-shared-modules-5.10.0-25-arm64-di, nic-shared-modules-5.10.0-26-powerpc64le-di, nic-shared-modules-5.10.0-25-powerpc64le-di, nic-shared-modules-5.10.0-25-5kc-malta-di, nic-shared-modules-5.10.0-28-octeon-di, nic-shared-modules-5.10.0-28-686-di, nic-shared-modules-5.10.0-25-amd64-di, nic-shared-modules-5.10.0-27-4kc-malta-di, nic-shared-modules-5.10.0-27-686-pae-di, nic-shared-modules-5.10.0-27-marvell-di, nic-shared-modules-5.10.0-27-loongson-3-di, nic-shared-modules-5.10.0-28-5kc-malta-di, nic-shared-modules-5.10.0-27-amd64-di, nic-shared-modules-5.10.0-27-arm64-di, nic-shared-modules-5.10.0-26-arm64-di, nic-shared-modules-5.10.0-27-powerpc64le-di, nic-shared-modules-5.10.0-26-loongson-3-di, nic-shared-modules-5.10.0-28-4kc-malta-di, nic-shared-modules-5.10.0-26-5kc-malta-di, nic-shared-modules-5.10.0-25-armmp-di
nic-shared-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-686-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-686-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-686-pae-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-amd64-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-arm64-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-armmp-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-marvell-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-octeon-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Shared NIC drivers
nic-shared-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-686-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Shared NIC drivers
nic-shared-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-686-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-686-pae-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-amd64-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-arm64-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-armmp-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-marvell-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-octeon-di (5.10.209-2)
Shared NIC drivers
nic-shared-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Shared NIC drivers
nic-usb-modules
本虛擬套件由這些套件填實: nic-usb-modules-5.10.0-26-amd64-di, nic-usb-modules-5.10.0-27-arm64-di, nic-usb-modules-5.10.0-25-arm64-di, nic-usb-modules-5.10.0-27-686-di, nic-usb-modules-5.10.0-28-4kc-malta-di, nic-usb-modules-5.10.0-26-octeon-di, nic-usb-modules-5.10.0-28-amd64-di, nic-usb-modules-5.10.0-28-686-di, nic-usb-modules-5.10.0-26-powerpc64le-di, nic-usb-modules-5.10.0-27-marvell-di, nic-usb-modules-5.10.0-27-686-pae-di, nic-usb-modules-5.10.0-26-loongson-3-di, nic-usb-modules-5.10.0-27-armmp-di, nic-usb-modules-5.10.0-27-powerpc64le-di, nic-usb-modules-5.10.0-28-armmp-di, nic-usb-modules-5.10.0-26-arm64-di, nic-usb-modules-5.10.0-25-amd64-di, nic-usb-modules-5.10.0-28-loongson-3-di, nic-usb-modules-5.10.0-25-686-di, nic-usb-modules-5.10.0-26-4kc-malta-di, nic-usb-modules-5.10.0-26-marvell-di, nic-usb-modules-5.10.0-27-amd64-di, nic-usb-modules-5.10.0-27-5kc-malta-di, nic-usb-modules-5.10.0-28-686-pae-di, nic-usb-modules-5.10.0-28-marvell-di, nic-usb-modules-5.10.0-25-5kc-malta-di, nic-usb-modules-5.10.0-26-5kc-malta-di, nic-usb-modules-5.10.0-27-4kc-malta-di, nic-usb-modules-5.10.0-26-686-di, nic-usb-modules-5.10.0-25-loongson-3-di, nic-usb-modules-5.10.0-25-4kc-malta-di, nic-usb-modules-5.10.0-26-686-pae-di, nic-usb-modules-5.10.0-28-5kc-malta-di, nic-usb-modules-5.10.0-25-marvell-di, nic-usb-modules-5.10.0-25-armmp-di, nic-usb-modules-5.10.0-28-powerpc64le-di, nic-usb-modules-5.10.0-28-octeon-di, nic-usb-modules-5.10.0-25-686-pae-di, nic-usb-modules-5.10.0-25-powerpc64le-di, nic-usb-modules-5.10.0-25-octeon-di, nic-usb-modules-5.10.0-27-octeon-di, nic-usb-modules-5.10.0-27-loongson-3-di, nic-usb-modules-5.10.0-26-armmp-di, nic-usb-modules-5.10.0-28-arm64-di
nic-usb-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-686-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
USB NIC drivers
nic-usb-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-686-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-686-pae-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-amd64-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-arm64-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-armmp-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-loongson-3-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-marvell-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-octeon-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
USB NIC drivers
nic-usb-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-686-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
USB NIC drivers
nic-usb-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-686-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-686-pae-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-amd64-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-arm64-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-armmp-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-loongson-3-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-marvell-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-octeon-di (5.10.209-2)
USB NIC drivers
nic-usb-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
USB NIC drivers
nic-wireless-modules
本虛擬套件由這些套件填實: nic-wireless-modules-5.10.0-28-loongson-3-di, nic-wireless-modules-5.10.0-27-686-pae-di, nic-wireless-modules-5.10.0-25-arm64-di, nic-wireless-modules-5.10.0-27-arm64-di, nic-wireless-modules-5.10.0-27-5kc-malta-di, nic-wireless-modules-5.10.0-25-loongson-3-di, nic-wireless-modules-5.10.0-28-4kc-malta-di, nic-wireless-modules-5.10.0-27-686-di, nic-wireless-modules-5.10.0-26-arm64-di, nic-wireless-modules-5.10.0-27-armmp-di, nic-wireless-modules-5.10.0-25-amd64-di, nic-wireless-modules-5.10.0-25-5kc-malta-di, nic-wireless-modules-5.10.0-28-amd64-di, nic-wireless-modules-5.10.0-27-loongson-3-di, nic-wireless-modules-5.10.0-28-octeon-di, nic-wireless-modules-5.10.0-25-686-di, nic-wireless-modules-5.10.0-25-octeon-di, nic-wireless-modules-5.10.0-26-5kc-malta-di, nic-wireless-modules-5.10.0-28-powerpc64le-di, nic-wireless-modules-5.10.0-26-loongson-3-di, nic-wireless-modules-5.10.0-26-686-di, nic-wireless-modules-5.10.0-27-powerpc64le-di, nic-wireless-modules-5.10.0-27-amd64-di, nic-wireless-modules-5.10.0-27-4kc-malta-di, nic-wireless-modules-5.10.0-28-686-pae-di, nic-wireless-modules-5.10.0-25-armmp-di, nic-wireless-modules-5.10.0-25-686-pae-di, nic-wireless-modules-5.10.0-26-amd64-di, nic-wireless-modules-5.10.0-26-4kc-malta-di, nic-wireless-modules-5.10.0-28-5kc-malta-di, nic-wireless-modules-5.10.0-28-armmp-di, nic-wireless-modules-5.10.0-28-arm64-di, nic-wireless-modules-5.10.0-27-octeon-di, nic-wireless-modules-5.10.0-26-octeon-di, nic-wireless-modules-5.10.0-25-4kc-malta-di, nic-wireless-modules-5.10.0-26-powerpc64le-di, nic-wireless-modules-5.10.0-26-armmp-di, nic-wireless-modules-5.10.0-26-686-pae-di, nic-wireless-modules-5.10.0-28-686-di, nic-wireless-modules-5.10.0-25-powerpc64le-di
nic-wireless-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-686-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-686-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-686-pae-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-amd64-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-arm64-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-armmp-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-octeon-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-686-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-686-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-686-pae-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-amd64-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-arm64-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-armmp-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-octeon-di (5.10.209-2)
Wireless NIC drivers
nic-wireless-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Wireless NIC drivers
nickle (2.90)
desk calculator language
nicovideo-dl (0.0.20190126-1.1)
Download videos from www.nicovideo.jp
nicstat (1.95-1+b2)
print network traffic statistics
nield (0.6.1-2+b1)
generate logs related to network interfaces
nifti-bin (3.0.1-8)
tools shipped with the NIfTI library
nifti2dicom (0.4.11-3)
convert 3D medical images to DICOM 2D series
nifti2dicom-data (0.4.11-3)
data files for nifti2dicom
nih-dbus-tool (1.0.3-11)
NIH D-Bus Binding Tool
nik4 (1.6-7)
Mapnik to image export
nikto (1:2.1.5-3.1) [non-free]
web server security scanner
nikwi (0.0.20120213-4)
platform game where your goal is to collect candies
nikwi-data (0.0.20120213-4)
platform game where your goal is to collect candies - game data
nilfs-tools (2.2.8-1)
Continuous Snapshotting Log-structured Filesystem
nim (1.4.6+really1.4.2-2)
Nim programming language - compiler
nim-d3-dev (0.1.3-2)
foreign-function interface for d3.js
nim-doc (1.4.6+really1.4.2-2)
Nim programming language - documentation
nim-docopt-dev (0.6.8-3)
command line interface generator and arg parser
nim-hts-dev (0.3.14+ds-1)
wrapper for hts C library
nim-hts-examples (0.3.14+ds-1)
examples for nim-hts: wrapper for hts C library
nim-kexpr-dev (0.0.2-2)
kexpr math expressions for nim
nim-lapper-dev (0.1.7-3)
simple, fast interval searches for nim
nim-regex-dev (0.17.0+ds-2)
library for parsing and executing regular expressions
nim-unicodedb-dev (0.9.0-2)
access to all unicode functionality
nim-unicodeplus-dev (0.5.1-2)
common unicode operations for Nim language
ninix-aya (5.0.9-1)
互動式偽人工智慧 "偽春菜" 相容桌面人物程式
ninja-build (1.10.1-1)
small build system closest in spirit to Make
ninka (1.3.2-4)
license identification tool for source code
ninka-backend-excel (1.3.2-4)
license identification tool for source code (Excel backend)
ninka-backend-sqlite (1.3.2-4)
license identification tool for source code (SQLite backend)
ninvaders (0.1.1-4+b1 [amd64], 0.1.1-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
A space invaders-like game using ncurses
nip2 (8.7.1-2)
spreadsheet-like graphical image manipulation tool
nis (4.4)
Network Information Service (NIS)
nis-client
本虛擬套件由這些套件填實: ypbind-mt
nis-server
本虛擬套件由這些套件填實: ypserv
nitpic (0.1-17)
simulator for the Microchip PIC16C84 microcontroller
nitrocli (0.2.4-1)
command line interface for Nitrokey devices
nitrogen (1.6.1-2+b1 [amd64], 1.6.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
wallpaper browser and changing utility for X
nitrokey-app (1.4.2-1)
Application to manage the Nitrokey
nix
本虛擬套件由這些套件填實: nix-setup-systemd
nix-bin (2.3.7+dfsg1-1+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 2.3.7+dfsg1-1 [mips64el, s390x])
Purely functional package manager (binaries)
nix-setup-systemd (2.3.7+dfsg1-1)
Purely functional package manager (systemd setup)
nixnote2 (2.1.6+dfsg1-1)
Open Source Evernote client
njam (1.25-11)
pacman-like game with multiplayer support
njam-data (1.25-11)
pacman-like game with multiplayer support -- data files
njplot (2.4-9)
phylogenetic tree drawing program
nkf (1:2.1.5-1+b3 [amd64], 1:2.1.5-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
網路漢字編碼轉換過濾器
nlinline-dev (0.2.2-1)
inline library for networking configuration (via netlink)
nlkt (0.3.2.6-2+b2 [amd64], 0.3.2.6-2+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.3.2.6-2 [armel, armhf])
non-linear keyboard trainer
nload (0.7.4-2+b1)
realtime console network usage monitor
nlohmann-json3-dev (3.9.1-1)
JSON for Modern C++
nlopt-doc (2.7.0-4)
nonlinear optimization library (documentation)
nm-tray (0.4.3-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.4.3-2 [armel, armhf])
Simple Network Manager frontend written in Qt
nm-tray-l10n (0.4.3-2)
Language package for nm-tray
nmap (7.91+dfsg1+really7.80+dfsg1-2)
The Network Mapper
nmap-common (7.91+dfsg1+really7.80+dfsg1-2)
Architecture independent files for nmap
nmapsi4 (0.5~alpha2-3)
graphical interface to nmap, the network scanner
nmh (1.7.1-7)
set of electronic mail handling programs
nml (0.5.3-2)
newgrf meta language compiler
nmon (16m+debian-1)
performance monitoring tool for Linux
nmrpflash (0.9.14-16-ge95526d-4)
firmware flash utility for Netgear devices
nmzmail (1.1-2+b2)
indexes and searches email in maildir folders
nn (6.7.3-14)
Heavy-duty USENET news reader (curses-based client)
nng-utils (1.4.0-1)
Lightweight Messaging Library - utility
nnn (3.5-1)
Free, fast, friendly file manager
noblenote (1.2.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.2.0-1 [armel, armhf])
Qt program for taking notes
nobootloader (1.63)
Don't install any bootloader
nocache (1.1-1+b1 [amd64], 1.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
bypass/minimize file system caching for a program
nodau (0.3.8-4)
simple console based note taking program
node-abab (2.0.5-1)
WHATWG spec-compliant implementations of window.atob and window.btoa
node-abbrev (1.1.1-2)
Get unique abbreviations for a set of strings - Node.js module
node-abstract-leveldown (6.3.0+~cs7.0.4-1)
Abstract prototype matching the LevelDOWN API - Node.js module
node-accepts (1.3.7-1)
higher-level content negotiation for Node.js
node-acorn (8.0.5+ds+~cs19.19.27-3)
Transitional dummy package for upgrading to node-debbundle-acorn
node-acorn (= 8.0.5)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn (= 8.0.5+ds+~cs19.19.27-3)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-bigint (= 1.0.0)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-class-fields (= 0.3.7)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-dynamic-import (= 4.0.0)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-export-ns-from (= 0.2.0)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-globals (= 6.0.0)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-import-meta (= 1.1.0)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-jsx (= 5.3.1)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-loose (= 8.0.1)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-node (= 2.0.1)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-numeric-separator (= 0.3.4)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-private-class-elements (= 0.2.7)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-private-methods (= 0.3.3)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-static-class-features (= 0.2.4)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-acorn-walk (= 8.0.1)
本虛擬套件由這些套件填實: node-debbundle-acorn
node-active-x-obfuscator (0.0.2-3)
Safely obfuscate the string 'ActiveX' inside of JavaScript code
node-add-filename-increment
本虛擬套件由這些套件填實: node-write
node-address (1.1.2-2)
Get current machine IP, MAC and DNS servers
node-addressparser (1.0.1+repack-1)
Node.js library to parse e-mail addresses
node-after (0.8.2-2)
Flow control for Node.js
node-agent-base (6.0.2-2)
Turn a function into an http.Agent instance
node-ajv (6.12.6-2)
another JSON Schema Validator
node-ajv-keywords (3.5.2-1)
Custom JSON-Schema keywords for Ajv validator
node-almond (0.3.3+dfsg-6)
minimal AMD API implementation for use in optimized browser builds
node-amdefine (1.0.1-2)
Asynchronous Module Definition (AMD) for Node.js
node-ansi (0.3.1-1)
Advanced ANSI formatting tool for Node.js
node-ansi-align (3.0.0-1)
align-text with ANSI support for CLIs
node-ansi-color-table (1.0.1-1)
Color and format tables for ansi output - Node.js module
node-ansi-colors (4.1.1-2)
Add ANSI colors to your text and symbols in the terminal
node-ansi-escapes (4.3.1-1)
ANSI escape codes for manipulating the terminal
node-ansi-font (0.0.2-2)
ANSI font styling utils
node-ansi-gray (= 0.1.1)
本虛擬套件由這些套件填實: node-fancy-log
node-ansi-regex (5.0.1-1~deb11u1)
regular expression for matching ANSI escape codes
node-ansi-styles (4.2.1-1)
ANSI escape codes for styling strings in the terminal with Node.js
node-ansi-up (5.0.0+dfsg-1)
convert text containing ANSI color escape codes into HTML
node-ansi-wrap (= 0.1.0)
本虛擬套件由這些套件填實: node-fancy-log
node-ansistyles (0.1.3-2)
prints output in different styles
node-any-promise (1.3.0-2)
Resolve any installed ES6 compatible promise
node-anymatch (3.1.1+~2.2.2-1)
Matches strings against configurable strings
node-ap (0.2.0-1.1)
Like Function.bind without setting "this"
node-applause (1.2.2-3)
Pattern replacer creating human-friendly replacements
node-aproba (2.0.0-1)
light-weight argument validator
node-archy (1.0.0-3)
Pretty-print nested hierarchies module for Node.js
node-are-we-there-yet (1.1.5-1)
Keep track of the overall completion of many disparate processes
node-arg (5.0.0-1)
command line option parser for Node.js
node-argparse (2.0.1-1)
CLI arguments parser for node.js
node-argv (0.0.2-3)
Node based command line argument parser
node-arr-diff (4.0.0-2)
Returns an array with only the unique values from the first array
node-arr-flatten (1.1.0-2)
Recursively flatten an array or arrays
node-arr-union (3.1.0-2)
Combines list of arrays, returning single array with unique values
node-array-differ (3.0.0-1)
Create an array with values present in the first input array
node-array-each (= 1.0.1)
本虛擬套件由這些套件填實: node-fined
node-array-equal (1.0.0-3)
check if two arrays are equal
node-array-find-index (1.0.2-2)
ES2015 Array#findIndex() ponyfill
node-array-flatten (2.1.0-2)
Flatten nested arrays
node-array-from (2.1.1-2)
ES2015 Array.from ponyfill
node-array-slice (= 1.1.0)
本虛擬套件由這些套件填實: node-fined
node-array-union (2.1.0-1)
Create an array of unique values, in order, from the input arrays
node-array-uniq (2.1.0-1)
Create an array without duplicates
node-array-unique (0.3.2-2)
Return an array free of duplicate values
node-arrify (2.0.1-1)
Convert a value to an array
node-asap (2.0.6-2)
High-priority task queue for Node.js and browsers
node-asn1 (0.2.3-2)
ASN.1 encoder and decoder (BER)
node-asn1.js (5.4.1-1)
ASN.1 encoder and decoder (DER)
node-asn1.js-rfc2560 (= 5.0.1)
本虛擬套件由這些套件填實: node-asn1.js
node-asn1.js-rfc5280 (= 3.0.0)
本虛擬套件由這些套件填實: node-asn1.js
node-assert (1.5.0-1)
simple assertion library for javascript
node-assert-plus (1.0.0-2)
small wrapper over nodejs' assert module with two extra features
node-assertion-error (1.1.0-1)
Error constructor fro validation frameworks
node-assertive (5.0.2-1)
assertion library, designed for coffee-script
node-assume (2.3.0-1)
Expect-like assertions that work in node and the browser
node-ast-types (0.14.1-6)
Esprima-compatible implementation of the Mozilla JS Parser API
node-ast-util (0.6.0-5)
Utilities for AST transformers
node-astral-regex (= 2.0.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-astw (2.2.0-4)
walk the ast with references to parent nodes
node-async (0.8.0-4)
functions and patterns for asynchronous code - Node.js
node-async-each (1.0.3-1)
async parallel forEach / map function for JavaScript
node-async-limiter (2.0.0-1)
Module for limiting concurrent asynchronous actions in flight
node-async-stacktrace (0.0.2-4)
Improve Node.js stacktraces and make it easier to handle errors
node-asynckit (0.4.0-3)
Minimal async jobs utility library, with streams support
node-atob (= 2.1.2)
本虛擬套件由這些套件填實: node-source-map-resolve
node-auth-header (1.0.0~1.1.0+~2.0.1~ds+~6.1.0+~0~20180821-1)
parse HTTP auth/authz headers - Node.js library
node-auto-bind (4.0.0-1)
Automatically bind methods to their class instance
node-autolinker (1.8.3+dfsg-4)
Utility for automatically linking URLs, emails, etc. in text
node-autoprefixer (10.1.0.0+dfsg1+~cs14.1.12-5)
add vendor prefixes to CSS rules
node-autosize (4.0.2~dfsg1-7)
script to automatically adjust textarea height to fit text - Javascript
node-aws-sign2 (0.7.1-2)
AWS signing in JavaScript
node-aws4 (1.11.0-1)
Signs and prepares requests using AWS Signature Version 4
node-axios (0.21.1+dfsg-1+deb11u1)
Promise based HTTP client for the browser and node.js
node-babel-cli (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-code-frame (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-compat-data (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-core (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint (10.1.0-2)
Custom parser for ESLint
node-babel-eslint-config-internal (= 7.11.0)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint-parser (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint-plugin (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint-plugin-development (= 7.11.0)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint-plugin-development-internal (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint-shared-fixtures (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-eslint-tests (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-generator (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-annotate-as-pure (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-bindify-decorators (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-builder-binary-assignment-operator-visitor (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-builder-react-jsx (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-call-delegate (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-compilation-targets (= 7.12.5)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-create-class-features-plugin (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-create-regexp-features-plugin (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-define-map (= 7.10.5)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-explode-assignable-expression (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-explode-class (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-fixtures (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-flip-expressions (= 0.4.3)
本虛擬套件由這些套件填實: babel-minify
node-babel-helper-function-name (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-get-function-arity (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-hoist-variables (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-is-void-0 (= 0.4.3)
本虛擬套件由這些套件填實: babel-minify
node-babel-helper-mark-eval-scopes (= 0.4.3)
本虛擬套件由這些套件填實: babel-minify
node-babel-helper-member-expression-to-functions (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-module-imports (= 7.12.5)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-module-transforms (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-optimise-call-expression (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-plugin-test-runner (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-plugin-utils (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-remap-async-to-generator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-remove-or-void (= 0.4.3)
本虛擬套件由這些套件填實: babel-minify
node-babel-helper-replace-supers (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-simple-access (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-skip-transparent-expression-wrappers (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-split-export-declaration (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-to-multiple-sequence-expressions (= 0.5.0)
本虛擬套件由這些套件填實: babel-minify
node-babel-helper-transform-fixture-test-runner (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-validator-identifier (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-validator-option (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-helper-wrap-function (= 7.12.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-helpers (= 7.12.5)
本虛擬套件由這些套件填實: node-babel7
node-babel-highlight (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-jest (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-babel-loader (8.2.2-5)
babel module loader for webpack
node-babel-node (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-parser (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-add-module-exports (0.2.1-3)
Babel plugin for default module.exports like babel version 5
node-babel-plugin-array-includes (2.0.3-2)
Babel plugin to replace the array includes syntax
node-babel-plugin-codemod-object-assign-to-object-spread (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-codemod-optional-catch-binding (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-dynamic-import-node (= 2.3.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-external-helpers (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-istanbul (= 6.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-babel-plugin-jest-hoist (= 26.6.2-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-babel-plugin-lodash (3.3.4+~cs2.0.1-3)
Modular Lodash builds without the hassle
node-babel-plugin-proposal-async-generator-functions (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-class-properties (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-class-static-block (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-decorators (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-do-expressions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-dynamic-import (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-export-default-from (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-export-namespace-from (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-function-bind (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-function-sent (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-json-strings (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-logical-assignment-operators (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-nullish-coalescing-operator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-numeric-separator (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-object-rest-spread (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-optional-catch-binding (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-optional-chaining (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-partial-application (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-pipeline-operator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-private-methods (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-private-property-in-object (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-throw-expressions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-proposal-unicode-property-regex (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-async-generators (= 7.8.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-bigint (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-class-properties (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-class-static-block (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-decimal (= 7.11.0)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-decorators (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-do-expressions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-dynamic-import (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-export-default-from (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-export-namespace-from (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-flow (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-function-bind (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-function-sent (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-import-assertions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-import-meta (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-json-strings (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-jsx (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-logical-assignment-operators (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-module-string-names (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-nullish-coalescing-operator (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-numeric-separator (= 7.10.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-object-rest-spread (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-optional-catch-binding (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-optional-chaining (= 7.8.3)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-partial-application (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-pipeline-operator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-record-and-tuple (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-throw-expressions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-top-level-await (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-syntax-typescript (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-arrow-functions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-async-to-generator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-block-scoped-functions (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-block-scoping (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-charcodes (= 0.2.0)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-classes (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-computed-properties (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-destructuring (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-dotall-regex (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-duplicate-keys (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-exponentiation-operator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-flow-comments (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-flow-strip-types (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-for-of (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-function-name (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-instanceof (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-jscript (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-literals (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-member-expression-literals (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-modules-amd (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-modules-commonjs (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-modules-systemjs (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-modules-umd (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-named-capturing-groups-regex (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-new-target (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-object-assign (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-object-set-prototype-of-to-assign (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-object-super (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-parameters (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-property-literals (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-property-mutators (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-proto-to-assign (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-constant-elements (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-display-name (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-inline-elements (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-jsx (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-jsx-compat (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-jsx-development (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-jsx-self (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-jsx-source (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-react-pure-annotations (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-regenerator (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-reserved-words (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-runtime (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-shorthand-properties (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-spread (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-sticky-regex (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-strict-mode (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-template-literals (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-typeof-symbol (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-typescript (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-unicode-escapes (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-unicode-regex (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-plugin-transform-vue-jsx (3.5.0+dfsg-1.1)
Babel plugin for Vue 2.0 JSX
node-babel-preset-current-node-syntax (= 1.0.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-preset-env (= 7.12.11)
本虛擬套件由這些套件填實: node-babel7
node-babel-preset-fbjs (= 3.3.0)
本虛擬套件由這些套件填實: node-fbjs
node-babel-preset-flow (= 7.12.1)
本虛擬套件由這些套件填實: node-babel7
node-babel-preset-jest (= 26.6.2-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-babel-preset-modules (= 0.1.4)
本虛擬套件由這些套件填實: node-babel7
node-babel-preset-moxy (= 3.2.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-babel-preset-react (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-preset-typescript (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-register (= 7.12.10)
本虛擬套件由這些套件填實: node-babel7
node-babel-runtime (= 7.12.5-1)
本虛擬套件由這些套件填實: node-babel7-runtime
node-babel-runtime-corejs2 (= 7.12.5)
本虛擬套件由這些套件填實: node-babel7
node-babel-runtime-corejs3 (= 7.12.5)
本虛擬套件由這些套件填實: node-babel7
node-babel-standalone (= 7.12.12-1)
本虛擬套件由這些套件填實: node-babel7-standalone
node-babel-template (= 7.12.7)
本虛擬套件由這些套件填實: node-babel7
node-babel-traverse (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel-types (= 7.12.12)
本虛擬套件由這些套件填實: node-babel7
node-babel7 (7.12.12+~cs150.141.84-6+deb11u1)
Babel command line
node-babel7-runtime (7.12.12+~cs150.141.84-6+deb11u1)
Babel modular runtime helpers
node-babel7-standalone (7.12.12+~cs150.141.84-6+deb11u1)
Standalone build of Babel for use in browsers and other non-Node.js environments
node-babylon (6.18.0+~6.16.5-1)
JavaScript parser used in Babel
node-backbone (1.4.0~dfsg+~1.4.5-2)
some Backbone for JavaScript applications - Node module
node-balanced-match (1.0.0-1)
Match balanced character pairs in Node.js
node-base (3.0.0-1)
foundation for creating modular, unit testable node.js application
node-base62 (2.0.1-1)
Javascript Base62 encode/decoder
node-base64-js (1.5.1+dfsg-1)
Base64 encoding/decoding in pure JS
node-base64id (2.0.0-1)
Node.js module that generates a base64 id
node-base64url (3.0.1-7)
conversion to and from base64url
node-bash (0.0.1-2)
Utilities for using bash from node.js
node-bash-match (1.0.2-2)
Node module to match strings using bash
node-basic-auth (2.0.1-2)
Generic basic auth Authorization header field parser for whatever
node-basic-auth-parser (0.0.2-2)
Parse Basic Auth Authorization HTTP headers
node-batch (0.6.1-2)
batch with concurrency control and progress events - Node.js module
node-bcoe-v8-coverage (= 0.2.3)
本虛擬套件由這些套件填實: jest
node-bcrypt-pbkdf (1.0.2-1)
Port of the OpenBSD bcrypt_pbkdf function to pure JS
node-beeper (2.1.0+~1.1.0-1)
Make your terminal beep
node-big.js (6.0.3+ds-1)
small, fast, easy-to-use library for arbitrary-precision decimal arithmetic
node-bignumber (9.0.1+ds-1)
Arbitrary-precision decimal and non-decimal arithmetic for Node.js
node-bignumber.js
本虛擬套件由這些套件填實: node-bignumber
node-binary-extensions (2.2.0-1)
List of binary file extensions
node-bind-obj-methods (= 2.0.0)
本虛擬套件由這些套件填實: node-tap
node-bindings (1.5.0-1)
resolve path to c++ addons built by node-gyp - Node.js module
node-bl (4.0.3-1)
access multiple buffers with Buffer interface - Node.js module
node-blazy (= 1.8.2)
本虛擬套件由這些套件填實: libjs-blazy
node-blob (0.0.4-2)
JavaScript blob constructor
node-block-stream (0.0.9-2)
Stream of fixed-size blocks, with zero-padding when necessary
node-bluebird (3.7.2+dfsg1-4)
Fully featured Promises/A+ implementation for Node.js
node-bn.js (5.1.3-1)
Big number implementation in pure javascript
node-body-parser (1.19.0-2)
body parsing middleware - Node.js module
node-boolbase (1.0.0-2)
two functions: One that returns true, one that returns false
node-boom (9.1.0+~2.0.0-1)
HTTP-friendly error objects
node-bootstrap (= 4.5.2+dfsg1-8~deb11u1)
本虛擬套件由這些套件填實: libjs-bootstrap4
node-bootstrap-sass (3.4.1-1)
Sass-powered version of Bootstrap 3
node-bootstrap-switch (3.3.4+dfsg+really3.3.3-1)
Turn checkboxes and radio buttons into toggle switches
node-boxen (4.2.0-3)
Create boxes in the terminal
node-brace-expansion (2.0.0-1)
Brace expansion as known from sh/bash for Node.js
node-braces (3.0.2+~3.0.0-1)
Fast, comprehensive, bash-like brace expansion implemented in JS
node-brfs (2.0.2-1)
browserify fs.readFileSync() static asset inliner
node-brorand (1.1.0-3)
Random number generator for browsers and node.js
node-browser-pack (6.1.0+ds-10)
pack node-style source files from a json stream into a browser bundle
node-browser-process-hrtime (= 1.0.0)
本虛擬套件由這些套件填實: node-jsdom
node-browser-request
本虛擬套件由這些套件填實: libjs-browser-request
node-browser-resolve (1.11.3-3)
node.js resolve algorithm with browser mapping support
node-browser-stdout (1.3.1-2)
process.stdout in your browser
node-browser-unpack (1.4.2-2)
parse a bundle generated by node-browser-pack
node-browserify-aes (1.2.0-3)
AES implementation written in pure javascript
node-browserify-cipher (1.0.1-1)
ciphers for the browser
node-browserify-des (1.0.2-2)
browserify DES cryptographic operation
node-browserify-lite (0.5.1-2)
bundle client-side JavaScript using Node.js-style module syntax
node-browserify-rsa (4.1.0-1)
RSA for browserify
node-browserify-sign (4.2.1-1+deb11u1)
adds node crypto signing for browsers
node-browserify-zlib (0.2.0+20170820git8b3f0a862f6b+dfsg-5)
Full zlib module for browserify
node-browserslist (4.16.3+~cs5.4.72-3)
Share browsers list between different front-end tools
node-bs-logger (= 0.2.6)
本虛擬套件由這些套件填實: ts-jest
node-bser (= 2.1.1)
本虛擬套件由這些套件填實: node-sane
node-buble (0.20.0+~0.19.2-3)
Fast ES2015 compiler for Node.js
node-buf-compare (2.0.0-1)
Node.js `Buffer.compare()` ponyfill
node-buffer (6.0.3-1)
Node.js Buffer API for browserify
node-buffer-crc32 (0.2.13-1)
computes crc32 of buffers and strings - module for Node.js
node-buffer-equal (1.0.0-2)
return whether two buffers are equal
node-buffer-from (= 1.1.1)
本虛擬套件由這些套件填實: ts-jest
node-buffer-shims (1.0.0-3)
some shims for node buffers
node-buffer-writer (= 2.0.0)
本虛擬套件由這些套件填實: node-pg
node-buffer-xor (2.0.1.REALLY.1.0.3-2)
Simple module for bitwise-xor on buffers
node-bufferjs (3.0.1-1)
pure JavaScript Buffer utils
node-bufferlist (0.1.0-2)
interface to treat a linked list of buffers as a single stream
node-buffers (0.1.1-4)
Buffer collections as contiguous partially mutable Buffer
node-bufferutil (= 4.0.2)
本虛擬套件由這些套件填實: node-websocket
node-builtin-modules (3.1.0-1)
List of the Node.js builtin modules
node-builtin-status-codes (3.0.0-2)
map of HTTP status codes from the builtin http module
node-builtins (1.0.3-2)
lists nodejs builtin modules
node-bunyan (2.0.5+~cs4.4.2-1)
simple and fast JSON logging library for node.js services
node-bytes (3.1.0-1)
Byte string parser and formatter - Node.js module
node-cacache (15.0.5+~cs13.9.21-1)
fast, fault-tolerant, disk-based, data-agnostic, content-addressable cache
node-cache-base (4.0.0-1)
Basic object cache for node.js/javascript projects
node-cache-loader (4.1.0+~cs2.0.0-1)
Caches the result of following loaders on disk
node-cacheable-lookup (= 5.0.4)
本虛擬套件由這些套件填實: node-got
node-cacheable-request (= 7.0.1)
本虛擬套件由這些套件填實: node-got
node-cached-path-relative (1.0.2-1+deb11u1)
Memoize the results of the path.relative function
node-call-limit (1.1.1-1)
Limit the number of simultaneous calls to an async function
node-callback-stream (1.1.0-3)
pipeable stream that calls your callback
node-caller (1.0.1-3)
@substack's caller.js as a module
node-callsites (= 3.1.0~5.0.0+~3.1.0+~3.3.0+~2.0.0-1)
本虛擬套件由這些套件填實: node-resolve-from
node-camelcase (6.2.0-2)
Convert a string to camelCase
node-camelcase-keys (6.2.2-1)
convert object keys to camelCase
node-caniuse-api (3.0.0-3)
request the caniuse data to check browsers compatibilities
node-caniuse-db (1.0.30001181-1)
Raw browser/feature support data from caniuse.com
node-caniuse-lite (1.0.30001181+dfsg-1)
smaller version of caniuse-db, with only the essentials
node-canonicalize (= 1.0.5~)
本虛擬套件由這些套件填實: libjs-json
node-capture-exit (= 2.0.0)
本虛擬套件由這些套件填實: node-sane
node-caseless (0.12.1-1)
get/set/check for HTTP headers in a caseless manner
node-catty (0.1.0-1)
source file concatenator for Mapshaper
node-chai (= 4.2.0+ds+~4.2.14-4)
本虛擬套件由這些套件填實: chai
node-chai-as-promised (7.1.1-2)
Extends Chai with assertions about promises
node-chainsaw (0.1.0-2)
Node.js module to build chainable fluent interfaces
node-chalk (4.1.0-1)
Terminal string styling for Node.js
node-chance (2.2.6+dfsg-1)
Utility library to generate anything random
node-chancejs-bool (= 2.2.5)
本虛擬套件由這些套件填實: node-chance
node-chancejs-chance (= 2.2.6)
本虛擬套件由這些套件填實: node-chance
node-chancejs-core (= 2.2.5)
本虛擬套件由這些套件填實: node-chance
node-chancejs-mersenne-twister (= 2.2.5)
本虛擬套件由這些套件填實: node-chance
node-channels (0.0.5-3.1)
Event channels in Node.js
node-char-regex (= 1.0.2-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-character-parser (3.1.0-2)
JavaScript parser character by character
node-charcodes (= 0.2.0)
本虛擬套件由這些套件填實: node-babel7
node-chardet (= 1.3.0-1)
本虛擬套件由這些套件填實: node-jschardet
node-charm (1.0.2-1)
ansi control sequences for terminal cursor hopping and colors
node-chart.js (2.9.4+dfsg+~cs2.10.1-3)
Simple HTML5 charts using the canvas element (Node module)
node-check-error (1.0.2-3)
Node.js module for error handling
node-cheerio (1.0.0~rc~5+~cs6.1.2-4)
Server-side jQuery implementation
node-cheerio-select-tmp (= 0.1.1)
本虛擬套件由這些套件填實: node-cheerio
node-chokidar (3.4.3-3)
wrapper around watch / watchFile / fsevents
node-chownr (1.1.3-5)
like chown -R
node-chrome-trace-event (1.0.2-1)
create a trace of your node app per Google's Trace Event format
node-chrono (1.0.2-2.1)
date formatting library for NodeJS
node-ci-info (2.0.0+~2.0.0-2)
Get details about the current Continuous Integration environment
node-cipher-base (1.0.4-4)
abstract base class for crypto-streams
node-cjson (0.5.0-1)
commented JavaScript Object Notation
node-clarinet (0.12.4+dfsg-1)
evented streaming JSON parser - Node.js module
node-class-utils (0.3.6-2)
Utils for working with JavaScript classes and prototype methods
node-clean-css (4.2.3+~4.3.0-1)
Node.js module for minifying CSS files
node-clean-css-cli
本虛擬套件由這些套件填實: cleancss
node-clean-yaml-object (0.1.0-4)
safe clean of an object prior to serialization
node-cli-boxes (2.2.1-1)
Boxes for use in the terminal
node-cli-cursor (3.1.0-1)
Toggle the CLI cursor
node-cli-spinners (2.5.0-1)
Spinners for use in the terminal
node-cli-table (0.3.1-2)
Pretty unicode tables for the CLI
node-cli-truncate (2.1.0-1)
Truncate a string to a specific width in the terminal
node-cli-width (3.0.0-1)
Get stdout window width
node-clipboard (2.0.6+ds+~cs7.6.4-1)
Node.js module to copy to clipboard without flash
node-cliui (4.1.0-2)
easily create complex multi-column CLIs
node-clone (2.1.2-2)
deep cloning of objects and arrays
node-clone-buffer (1.0.0-3)
Takes a Buffer object and returns a clone
node-clone-deep (4.0.1-1)
Recursively (deep) clone JavaScript native types
node-clone-response (= 1.0.2)
本虛擬套件由這些套件填實: node-got
node-clone-stats (1.0.0-2)
Safely clone node's fs.Stats instances without losing their class methods
node-cloneable-readable (2.0.1-3)
node.js library to clone safely a Readable stream
node-cnakazawa-watch (= 1.0.4)
本虛擬套件由這些套件填實: node-sane
node-co (4.6.0+~4.6.2-1)
generator async control flow goodness
node-coa (2.0.2-2)
Yet another parser for command line options
node-code (6.0.0-3)
Test framework from hapijs
node-codemirror (= 5.59.2)
本虛擬套件由這些套件填實: libjs-codemirror
node-coffee-loader (0.9.0-3)
coffee loader module for webpack
node-coffeeify (2.1.0-2)
browserify plugin for coffee-script
node-coffeescript
本虛擬套件由這些套件填實: coffeescript
node-collect-v8-coverage (= 1.0.1)
本虛擬套件由這些套件填實: jest
node-collection-visit (1.0.0-2)
Visit a method over items in object, or map visit over the objects
node-color (3.1.2-1)
Color conversion and manipulation with CSS string support
node-color-convert (1.9.3-1)
Plain color conversion functions
node-color-name (1.1.4+~1.1.1-1)
list of color names and its values
node-color-string (1.5.4-2)
Parser and generator for CSS color strings
node-color-support (= 1.1.3)
本虛擬套件由這些套件填實: node-fancy-log
node-colorette (= 1.2.1)
本虛擬套件由這些套件填實: node-postcss
node-colormin (1.1.2-4)
Turn a CSS color into its smallest representation
node-colors (1.4.0-1)
Get color and style in your node.js console
node-columnify (1.5.4-3)
Render data in text columns with in-column text-wrap
node-combine-source-map (0.8.0+ds-6)
Add source maps of multiple files and combine
node-combined-stream (1.0.8-1)
Append streams one after another - module for Node.js
node-commander (6.2.1-2)
Complete solution for Node.js command-line interfaces
node-commist (1.1.0-2)
build commands on node-minimist
node-commondir (1.0.1-2)
compute the closest common parent for file paths
node-compare-versions (3.6.0-1)
Version string comparison for Node.js
node-component-consoler (2.0.0-2)
console commands for component(1)
node-component-emitter (1.3.0+~1.2.10-1)
Event emitter for Node.js
node-compressible (2.0.18-1)
checks whether a mime type is compressible - Node.js module
node-compression (1.7.4-2)
express middleware for gzip/deflate compression - Node.js module
node-compression-webpack-plugin (6.1.1-1)
Prepare compressed versions of assets
node-concat-map (0.0.1-2)
concatenative mapdashery for Node.js
node-concat-stream (2.0.0-1)
writable stream that concatenates strings
node-concat-with-sourcemaps (1.0.7-1)
concatenate files and generate source maps
node-config-chain (1.1.12-1)
Handle Configuration Once And For All
node-configstore (5.0.1-1)
load and save config without having to think about where and how
node-connect (3.7.0-2)
extensible HTTP server framework - Node.js module
node-connect-timeout (1.9.0-4)
connect middleware for timing out HTTP requests - Node.js module
node-console-browserify (1.2.0-1)
Emulate console for all the browsers
node-console-control-strings (1.1.0-2)
cross-platform tested terminal/console command strings
node-console-group (0.3.3-3)
basic console.group implementation for node
node-constantinople (2.0.0-3)
determine if an expression evaluates to a constant - Node.js module
node-constants-browserify (1.0.0+dfsg-6.1)
Node's `constants` module for the browser
node-content-disposition (0.5.3-1)
Create and parse Content-Disposition header - Node.js module
node-content-type (1.0.4-2)
Create and parse HTTP Content-Type header
node-convert-source-map (1.7.0+~1.5.1-1)
Converts a source-map from/to between formats
node-cookie (0.4.1-1)
Basic cookie parser and serializer module for Node.js
node-cookie-jar (0.3.1-1.1)
Cookie handling for HTTP clients - module for Node.js
node-cookie-parser (1.4.5-1)
cookie parsing middleware with signatures - Node.js module
node-cookie-signature (1.1.0-2)
Sign and unsign cookies using hmac - module for Node.js
node-cookiejar (2.1.2-1+deb11u1)
simple persistent cookiejar system - Node.js module
node-cookies (0.8.0-2)
Cookies, optionally signed using Keygrip - Node.js module
node-copy-concurrently (1.0.5-7)
Copy files, directories and symlinks concurrently
node-copy-descriptor (0.1.1-2)
Copy a descriptor from one object to another
node-copy-webpack-plugin (5.1.2+~cs9.0.2-4)
Copy files and directories in webpack
node-core-js (3.8.2-2)
Modular standard library for JavaScript
node-core-js-builder (= 3.8.2)
本虛擬套件由這些套件填實: node-core-js
node-core-js-bundle (= 3.8.2)
本虛擬套件由這些套件填實: node-core-js
node-core-js-compat (= 3.8.2)
本虛擬套件由這些套件填實: node-core-js
node-core-js-pure (= 3.8.2)
本虛擬套件由這些套件填實: node-core-js
node-core-util-is (1.0.2-2)
util.is* functions introduced in Node v0.12 for older versions
node-cors (2.8.5-1)
middleware for enabling CORS in express/connect applications
node-cosmiconfig (7.0.0+ds1-1)
Find and load configuration from different locations
node-coveralls (3.1.0-2)
input json-cov output and posts to coveralls.io
node-cpr (3.0.1-4)
recursively copy files - Node.js module
node-crc (3.8.0+ds-3)
module for calculating Cyclic Redundancy Check (CRC)
node-crc32 (0.2.2-3)
CRC-32 implemented in JavaScript
node-create-ecdh (4.0.4-1)
browserifiable createECDH
node-create-hash (1.2.0-2)
create hashes for browserify
node-create-hmac (1.1.7-2)
nodejs style hmacs in the browser
node-create-require (1.1.1-2)
polyfill for Node.js' module.createRequire
node-create-subscription (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-cryptiles (5.1.0-1)
General purpose crypto utilities
node-crypto-browserify (3.12.0-3)
implementation of crypto for the browser
node-crypto-random-string (3.3.0-1)
Generate a cryptographically strong random string
node-cson-parser (4.0.7-1)
Safe parsing of CSON files
node-css (3.0.0+repack-1)
JavaScript CSS parser and stringifier - Node.js module
node-css-color-names (1.0.1+dfsg-1)
JSON Object of css color names mapped to their hex value
node-css-loader (5.0.1+~cs14.0.5-1)
css loader module for webpack
node-css-select (3.1.2-4)
CSS selector compiler/engine
node-css-selector-tokenizer (0.7.3+~cs4.1.2-1)
Parses and stringifies CSS selectors
node-css-what (4.0.0-3+deb11u1)
CSS selector parser
node-cssesc (= 3.0.0)
本虛擬套件由這些套件填實: node-css-selector-tokenizer
node-cssom (0.4.4-1)
CSS parser written in pure JavaScript - NodeJS module
node-cssstyle (0.2.14-3)
CSSStyleDeclaration Object Model implementation
node-csv-spectrum (1.0.0-2)
Test for CSV parsing libraries
node-cuint (0.2.2-2)
C-like unsigned integers for Javascript
node-currently-unhandled (0.4.1-2)
track the list of currently unhandled promise rejections
node-cyclist (1.0.1-3)
efficient cyclic list implementation for Javascript
node-d (1.0.1-1)
Property descriptor factory
node-d3 (5.16.0-4)
Data-Driven Documents to visualize data
node-d3-array (1.2.4-3)
Array manipulation, ordering, searching, summarizing, etc
node-d3-axis (1.0.12-3)
Displays automatic reference lines for scales
node-d3-brush (1.1.5-2)
Select a one- or two-dimensional region using the mouse or touch
node-d3-chord (1.0.6-3)
Visualize relationships or network flow
node-d3-collection (1.0.7-3)
Handy data structures for elements keyed by string
node-d3-color (1.2.8-2)
Color spaces! RGB, HSL, Cubehelix, Lab and HCL (Lch)
node-d3-contour (1.3.2-4)
Computes contour polygons by applying marching
node-d3-dispatch (1.0.6-2)
Register named callbacks and call them with arguments
node-d3-drag (1.2.5-2)
Drag and drop SVG, HTML or Canvas using mouse or touch input
node-d3-dsv (1.1.1-5)
parser and formatter for delimiter-separated values, such as CSV
node-d3-ease (1.0.5-3)
Easing functions for smooth animation
node-d3-fetch (1.2.0-1)
Convenient parsing for Fetch
node-d3-force (1.2.1-2)
Force-directed graph layout using velocity Verlet integration
node-d3-format (1:1.4.1-3)
Formatting numbers for human consumption - NodeJS module
node-d3-geo (1.11.9-4)
Shapes and calculators for spherical coordinates
node-d3-hierarchy (1.1.8-3)
Layout algorithms for visualizing hierarchical data
node-d3-interpolate (1.4.0-2)
Interpolate numbers, colors, strings, arrays, objects, whatever!
node-d3-path (1.0.9-2)
Serialize Canvas path commands to SVG
node-d3-polygon (1.0.5-3)
Operations for two-dimensional polygons
node-d3-quadtree (1.0.7-2)
Two-dimensional recursive spatial subdivision
node-d3-queue (3.0.7-11)
Evaluate asynchronous tasks with configurable concurrency
node-d3-random (1.1.2-3)
Generate random numbers from various distributions
node-d3-sankey (= 0.12.3)
本虛擬套件由這些套件填實: node-d3
node-d3-scale (2.2.2-3)
Encodings that map abstract data to visual representation
node-d3-scale-chromatic (1.5.0-2)
Categorical color schemes designed to work with d3
node-d3-selection (1.4.0-6)
select elements and join them to data
node-d3-shape (1.3.7-2)
Graphical primitives for visualization, such as lines and areas
node-d3-time (1.0.11-4)
calculator for humanity’s peculiar conventions of time
node-d3-time-format (2.1.3-3)
time formatter and parser inspired by strftime and strptime
node-d3-timer (1.0.10-1)
efficient queue to manage thousands of concurrent animations
node-d3-transition (1.3.2-3)
Animated transitions for D3 selections
node-d3-voronoi (1.1.4-3)
Compute the Voronoi diagram of a set of two-dimensional points
node-d3-zoom (1.8.3-2)
Pan and zoom SVG, HTML or Canvas using mouse or touch input
node-daemon (1.1.0-2)
Turn a node script into a daemon
node-dagre
本虛擬套件由這些套件填實: node-dagre-layout
node-dagre-d3
本虛擬套件由這些套件填實: node-dagre-d3-renderer
node-dagre-d3-renderer (0.6.4+dfsg-3)
D3-based renderer for Dagre
node-dagre-layout (0.8.8+really0.8.5+dfsg-4)
Graph layout for JavaScript
node-dargs (7.0.0-1)
Convert options into an array of arguments
node-dash-ast (= 1.0.0)
本虛擬套件由這些套件填實: node-debbundle-insert-module-globals
node-dashdash (2.0.0-1)
light, featureful and explicit option parsing library
node-data-urls (= 2.0.0)
本虛擬套件由這些套件填實: node-jsdom
node-date-now (1.0.1-3)
requirable version of Date.now()
node-date-time (3.1.0-1)
Pretty datetime like 2014-01-09 06:46:01
node-dateformat (3.0.0-2)
JavaScript Date Format - Node.js module
node-de-indent (1.0.2-2)
Node.js library to remove extra indent from a block of code
node-death (1.1.0-1)
Gracefully cleanup on termination
node-debbundle-acorn (8.0.5+ds+~cs19.19.27-3)
ECMAScript parser for Node.js
node-debbundle-es-to-primitive (1.2.1+~cs9.7.15-2)
Pure javascript implementation of ToPrimitive algorithm
node-debbundle-insert-module-globals (7.2.0+ds+~cs3.3.3-1)
browserify transform for inserting global list of modules
node-debug (4.3.1+~cs4.1.5-1)
small debugging utility for Node.js
node-debug-fabulous (1.1.0-2)
visionmedia debug extensions rolled into one
node-decamelize (4.0.0-1)
convert a camelized string into a lowercased one with a custom separator
node-decimal.js (= 10.2.1)
本虛擬套件由這些套件填實: node-jsdom
node-deckar01-task-list (= 2.3.1-1)
本虛擬套件由這些套件填實: ruby-task-list
node-decode-uri-component (= 0.2.0)
本虛擬套件由這些套件填實: node-source-map-resolve
node-decompress-response (6.0.0-1)
Decompress a HTTP response if needed
node-dedent (= 0.7.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-deep-eql (4.0.0-4)
Improved deep equality testing for Node.js and the browser
node-deep-equal (1.0.1-2)
node's assert.deepEqual algorithm
node-deep-extend (0.6.0-1)
Recursive object extending
node-deep-for-each (3.0.0-2)
Recursively iterates collection values (arrays and objects)
node-deep-is (0.1.3-2)
node's assert.deepEqual algorithm except for NaN being equal to NaN
node-deepmerge (4.2.2-3)
Node.js module to merge properties of two objects deeply
node-defaults (1.0.3-2)
merge single level defaults over a config object
node-defer-to-connect (= 2.0.0)
本虛擬套件由這些套件填實: node-got
node-define-properties (1.1.3-1)
Define multiple non-enumerable properties at once
node-define-property (2.0.2+~cs3.0.2-1)
Define property on an object
node-defined (1.0.0-2)
return the first argument that is `!== undefined`
node-deflate-js (0.2.3-2)
DEFLATE implemented in JavaScript (works in browser and Node)
node-del (5.1.0-2)
Delete files and folders
node-delayed-stream (1.0.0-4)
Buffer stream events for later handling - module for Node.js
node-delegates (1.0.0-2)
delegate methods and accessors to another property
node-delve (0.3.2-2)
delve recursively into a value to retrieve a property
node-depd (2.0.0-1)
mark a function or property as deprecated - Node.js module
node-deprecated (0.0.2-1)
Tool for deprecating things
node-deps-sort (2.0.1-1)
sort module depends for deterministic browserify bundles
node-dequeue (1.0.5-2)
Simple Double Ended Queue Datastructure for Node.js
node-des.js (1.0.1-1)
DES implementation in pure javascript
node-detect-file (1.0.0-2)
Detect if a filepath exists and resolves the full filepath
node-detect-indent (6.0.0-1)
Detect the indentation of code
node-detect-newline (3.1.0-1)
Detect the dominant newline character of a string
node-detective (5.2.0-1)
find all require() calls by walking the AST
node-diacritics (1.3.0-2)
remove diacritics from strings - Node.js module
node-diff (4.0.2~dfsg-2)
javascript text differencing implementation
node-diff-sequences (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-difflet (1.0.1-2)
colorful diffs for javascript objects
node-dir-glob (= 3.0.1)
本虛擬套件由這些套件填實: node-globby
node-dirty (1.0.0-0.1)
tiny and fast key-value store for Node
node-doctrine (3.0.0-2)
JSDoc parser
node-dom-serializer (1.2.0-5)
render htmlparser2's DOM nodes to string
node-domain-browser (4.4.0-1)
Node's domain module for the web browser
node-domelementtype (2.1.0-2)
all the types of nodes in htmlparser2's dom
node-domexception (= 2.0.1)
本虛擬套件由這些套件填實: node-jsdom
node-domhandler (4.0.0-4)
htmlparser2 handler that turns pages into a dom
node-domino (2.1.6~ds-1)
server-side DOM implementation based on Mozilla's dom.js
node-domutils (2.4.4-5)
utilities for working with htmlparser2's DOM
node-dot (1.1.3+ds-1)
Concise and fast javascript templating
node-dot-prop (6.0.1-1)
updates a property from a nested object
node-dotfile-regex (= 1.0.2)
本虛擬套件由這些套件填實: node-is-dotfile
node-dottie (2.0.2-1+deb11u1)
Fast and safe nested object access and manipulation in JavaScript
node-dropzone
本虛擬套件由這些套件填實: libjs-dropzone
node-dryice (0.4.11-2)
CommonJS/RequireJS packaging tool for browser scripts
node-dtrace-provider (0.8.8-2)
Native DTrace providers for Node.js apps
node-duplexer (0.1.1-1.1)
Creates a duplex stream
node-duplexer2 (= 0.1.4-5)
本虛擬套件由這些套件填實: node-duplexer3
node-duplexer3 (0.1.4-5)
Like duplexer but using streams3
node-duplexify (4.1.1-1)
Turn a writable and readable stream into a streams2 duplex stream
node-ebnf-parser (0.1.10+dfsg-4)
parser for BNF and EBNF grammars used by jison
node-ecc-jsbn (0.2.0-2)
ECC JS code based on JSBN
node-editor (1.0.0-2)
Launch $EDITOR in your program
node-editorconfig (= 0.15.3)
本虛擬套件由這些套件填實: node-js-beautify
node-ee-first (= 1.1.1)
本虛擬套件由這些套件填實: node-on-finished
node-ejs (2.5.7-3+deb11u1)
Embedded JavaScript templates
node-electron-to-chromium (1.3.651-1)
Provides a list of electron-to-chromium version mappings
node-elliptic (6.5.4~dfsg-1)
fast elliptic curve cryptography in pure javascript
node-emittery (0.7.2-2)
Nodejs simple and modern async event emitter
node-emoji (1.10.0-1)
simple emoji support for node.js
node-emojis-list (3.0.0-1)
complete list of standard emojis
node-encodeurl (1.0.2-1)
Encode URL to a percent-encoded form
node-encoding (0.1.13-1)
Convert encodings, uses iconv by default and fallbacks to iconv-lite if needed
node-end-of-stream (1.4.4-1)
Invoke a callback when a stream has completed or failed
node-enhanced-resolve (4.1.0-4)
Offers a async require.resolve function
node-enquirer (2.3.6~ds-2)
Stylish cli prompts that are user-friendly, intuitive and easy to create
node-entities (2.2.0-1)
Node.js module to encode and decode XML/HTML entities with ease
node-err-code (2.0.3+dfsg-1)
Create an error with a code
node-errno (1.0.0-1)
libuv errno details exposed - Node.js module
node-error-ex (1.3.2-2)
easy error subclassing and stack customization
node-errorhandler (1.5.1-1)
error handler middleware - Node.js
node-errs (0.3.2-2)
Simple error creation and passing utilities
node-es-abstract (1.18.0~next~2+~cs13.20.17-1)
ECMAScript spec abstract operations
node-es-to-primitive
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-es5-ext (0.10.53+~1.1.0-1)
ECMAScript extensions and shims
node-es5-shim (4.5.15-1)
ECMAScript 5 compatibility shims for old JavaScript engines (Node.js)
node-es6-error (4.1.1-2)
Easily-extendable error for use with ES6 classes
node-es6-iterator (2.0.3-2)
ECMAScript 6 Iterator interface
node-es6-map (0.1.5-2)
ECMAScript6 Map polyfill
node-es6-promise (4.2.8-7)
Lightweight async code library for JavaScript (Node.js module)
node-es6-set (0.1.5-2)
ECMAScript6 Set polyfill
node-es6-shim (0.35.6+ds-2)
ECMAScript 6 shims for legacy JavaScript engines (Node.js)
node-es6-symbol (3.1.3+~cs3.5.0-1)
ECMAScript 6 Symbol polyfill
node-es6-weak-map (2.0.3-1)
ECMAScript6 WeakMap polyfill
node-escalade (= 3.1.1)
本虛擬套件由這些套件填實: node-browserslist
node-escape-html (1.0.3-1)
simply escape HTML entities
node-escape-string-regexp (4.0.0-1)
Escape RegExp special characters in Node.js
node-escodegen (2.0.0+dfsg-1)
ECMAScript code generator
node-escope (3.6.0+ds-3)
ECMAScript scope analyzer
node-eslint (= 5.16.0~dfsg+~4.16.8-5)
本虛擬套件由這些套件填實: eslint
node-eslint-config-eslint (= 5.0.1~5.16.0~dfsg+~4.16.8-5)
本虛擬套件由這些套件填實: eslint
node-eslint-config-fbjs (= 3.1.1)
本虛擬套件由這些套件填實: node-fbjs
node-eslint-config-fbjs-opensource (= 2.0.1)
本虛擬套件由這些套件填實: node-fbjs
node-eslint-plugin-es (4.1.0~ds1-2)
ESLint plugin which disallows each ECMAScript syntax
node-eslint-plugin-eslint-plugin (2.3.0+~0.3.0-2)
ESLint plugin for linting ESLint plugins
node-eslint-plugin-flowtype (2.25.0-3)
flow type linting rules for ESLint
node-eslint-plugin-html (6.1.1-1)
lint and fix inline scripts contained in HTML files
node-eslint-plugin-node (11.1.0~ds-4)
enforce code conventions for NodeJS with ESLint
node-eslint-plugin-react-hooks (= 4.2.0)
本虛擬套件由這些套件填實: node-react
node-eslint-plugin-requirejs (4.0.0-7)
enforce code conventions for RequireJS modules with ESLint
node-eslint-plugin-webassembly (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-eslint-rule-composer (= 0.3.0~2.3.0+~0.3.0-2)
本虛擬套件由這些套件填實: node-eslint-plugin-eslint-plugin
node-eslint-scope (5.1.1-1)
ECMAScript scope analyzer for ESLint
node-eslint-utils (2.1.0-3)
utilities for ESLint plugins
node-eslint-visitor-keys (2.0.0+~0.0.45-1)
Constants and utilities about visitor keys to traverse AST
node-espree (7.3.1~dfsg1-1)
Esprima-compatible JavaScript parser built on Acorn
node-esprima (4.0.1+ds+~4.0.2-2)
ECMAScript parsing infrastructure for multipurpose analysis
node-esprima-fb (15001.1001.0-dev-harmony-fb-3)
Facebook-specific fork of the esprima project
node-esquery (1.3.1~ds-4)
query library for ECMAScript AST using CSS-like queries
node-esrecurse (4.3.0-1)
ECMAScript AST recursive visitor
node-estraverse (5.2.0-1)
ECMAScript JS AST traversal functions
node-estree-walker (2.0.2-2)
traverse an ESTree-compliant AST in Node.js
node-esutils (2.0.3-1)
utility box for ECMAScript language tools
node-etag (1.8.1-1)
entity tag creator for - Node.js module
node-event-emitter (0.3.5-2)
Environment agnostic event emitter
node-eventemitter2 (6.4.3-2)
Event emitter compatible with browsers - module for Node.js
node-eventemitter3 (4.0.7-1)
high performance eventemitter for Node.js
node-events (3.2.0-1)
Node.js events module to embed by web packers
node-events-to-array (= 1.1.2)
本虛擬套件由這些套件填實: node-tap-parser
node-eventsource (1.0.7-1+deb11u1)
W3C compliant EventSource client for Node.js
node-everything.js (1.0.3-2)
Contains every ECMA-262 edition 5.1 grammatical production
node-evp-bytestokey (1.0.3-9)
secure key derivation algorithm in pure javascript
node-exec-sh (= 0.3.4)
本虛擬套件由這些套件填實: node-sane
node-execa (4.1.0+dfsg+~cs19.3.6-5)
better `child_process`
node-exeunt (= 1.1.1)
本虛擬套件由這些套件填實: node-bunyan
node-exit (0.1.2+~0.1.31-1)
replacement for process.exit that ensures stdio is fully drained
node-exit-hook (2.2.0-1)
Run some code when the process exits
node-expand-brackets (4.0.0-2)
Expand POSIX bracket expressions
node-expand-tilde (2.0.2+~cs2.0.3-1)
Bash-like tilde expansion for node.js
node-expect (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-expect.js (0.3.1+dfsg+~0.3.29-1)
behavior driven test assertions - Node.js module
node-exports-loader (1.1.1-2)
exports loader module for webpack
node-express (4.17.1-3)
web application framework for node
node-ext (= 1.4.0)
本虛擬套件由這些套件填實: node-es6-symbol
node-extend (3.0.2-1)
port of jQuery.extend for Node.js
node-extend-shallow (3.0.2-2)
extend an object with the properties of additional objects
node-external-editor (2.2.0+dfsg-2)
Edit a string with the users preferred text editor
node-extglob (3.0.0-2)
Extended glob support for JavaScript
node-extract-text-webpack-plugin (3.0.2-5)
Extract text from bundle into a file
node-extract-zip (2.0.1+ds-1)
unzip a zip file using pure javascript
node-extsprintf (1.4.0-1)
extended POSIX-style sprintf
node-falafel (2.2.4-1)
transform javascript AST on a recursive walk
node-fancy-log (1.3.3+~cs1.3.1-1)
Log things, prefixed with a timestamp
node-fast-deep-equal (3.1.3-1)
Fast Deep Equal
node-fast-glob (= 3.2.4)
本虛擬套件由這些套件填實: node-globby
node-fast-json-stable-stringify (= 2.1.0)
本虛擬套件由這些套件填實: node-json-stable-stringify
node-fast-levenshtein (2.0.6+ds-2)
efficient implementation of Levenshtein algorithm
node-fast-safe-stringify (= 2.0.7)
本虛擬套件由這些套件填實: node-superagent
node-fastcgi (1.3.3-5)
FastCGI server for Node.js
node-fastcgi-stream (1.0.0-1.1)
Node.js module to read and write FastCGI records
node-fastparse (= 1.1.2)
本虛擬套件由這些套件填實: node-css-selector-tokenizer
node-fastq (= 1.10.0)
本虛擬套件由這些套件填實: node-globby
node-fb-watchman (= 2.0.1)
本虛擬套件由這些套件填實: node-sane
node-fbjs (2.0.0-1)
collection of utility libraries used by other Facebook JS projects
node-fbjs-css-vars (= 1.0.2)
本虛擬套件由這些套件填實: node-fbjs
node-fbjs-eslint-utils (= 1.0.0)
本虛擬套件由這些套件填實: node-fbjs
node-fbjs-scripts (= 2.0.0)
本虛擬套件由這些套件填實: node-fbjs
node-fd-slicer (1.1.0-2)
create multiple ReadStreams or WriteStreams from the same fd - Node.js module
node-fetch (2.6.1-5+deb11u1)
light-weight module that brings window.fetch to node.js
node-fg-loadcss
本虛擬套件由這些套件填實: libjs-cssrelpreload
node-file-entry-cache (6.0.0+~3.0.4+~2.0.0+~1.0.0+~2.0.1-1)
Node.js library implementing a simple cache for file metadata
node-file-loader (6.2.0-2)
file loader module for webpack
node-file-sync-cmp (0.1.1-2)
Synchronous file comparison
node-filename-regex (2.0.1-1)
Regular expression for matching file names
node-filesize (6.1.0+dfsg-4)
generate a human readable String describing the file size
node-fill-keys
本虛擬套件由這些套件填實: node-proxyquire
node-fill-range (7.0.1-2)
node module to fill in a range of numbers or letters
node-finalhandler (1.1.2-1)
final HTTP responder - Node.js module
node-find-cache-dir (3.3.1-1)
finds the common standard cache directory
node-find-up (5.0.0-1)
Find a file by walking up parent directories
node-findit2 (2.2.3-4)
walk a directory tree recursively with events
node-findup-sync (4.0.0-3)
Node.js module to find the first matching file
node-fined (1.2.0+~cs4.5.1-1)
Find a file given a declaration of locations
node-finished
本虛擬套件由這些套件填實: node-on-finished
node-first-chunk-stream (4.0.0-1)
buffer and transform the first chunk in a stream
node-flagged-respawn (1.0.1-2)
tool for respawning node binaries when special flags are present
node-flat (= 5.0.2)
本虛擬套件由這些套件填實: mocha
node-flat-cache (3.0.4~6.0.0+~3.0.4+~2.0.0+~1.0.0+~2.0.1-1)
Node.js library implementing a simple persistent key/value storage
node-flatted (2.0.2~dfsg-1)
super light and fast circular JSON parser - Node library
node-flow-remove-types (1.2.3-2)
Removes Flow type annotations from JavaScript files
node-flush-write-stream (2.0.0-2)
Write stream constructor
node-fn-name (3.0.0-1)
Get the name of a named function
node-follow-redirects (1.13.1-1+deb11u1)
HTTP and HTTPS modules that follow redirects
node-font-awesome (= 4.7.0~5.0.10+really4.7.0~dfsg-4.1)
本虛擬套件由這些套件填實: fonts-font-awesome
node-for-in (1.0.2-1)
iterate over object
node-for-own (1.0.0-1)
Iterate over the own enumerable properties of an object
node-foreground-child (2.0.0-2)
helper running a child process as a foreground process
node-forever-agent (0.6.1-2)
HTTP agent supporting keep-alive requests - module for Node.js
node-form-data (3.0.0-2)
Create multipart/form-data streams module for Node.js
node-formidable (1.2.1+20200129git8231ea6-1)
Multipart form data parser module for Node.js
node-forwarded (= 0.1.2)
本虛擬套件由這些套件填實: node-proxy-addr
node-fragment-cache (0.2.1-1)
Cache for managing namespaced sub-caches
node-fresh (0.5.2-1)
Check client cache staleness using HTTP headers - Node.js module
node-from2 (2.3.0-1.1)
wrapper for ReadableStream
node-fs-constants (= 1.0.0)
本虛擬套件由這些套件填實: node-tar-stream
node-fs-exists-cached (= 1.0.0)
本虛擬套件由這些套件填實: node-tap
node-fs-exists-sync (0.1.0-1.1)
Drop-in replacement for Node.js's `fs.existsSync` with zero dependencies
node-fs-extra (9.0.1+~9.0.6-1)
fs-extra contains methods not included in the Node.js fs module
node-fs-readdir-recursive (1.1.0-1)
Recursively read a directory
node-fs-vacuum (1.2.10-5)
Remove empty branches in a directory tree
node-fs-write-stream-atomic (1.0.10-4)
Like fs.createWriteStream(...), but atomic
node-fs.realpath (1.0.0-1.1)
Use node's fs.realpath
node-fstream (1.0.12-4)
Advanced filesystem streaming tools for Node.js
node-fstream-ignore (1.0.5-1)
Directory reader configurable by .ignore module for Node.js
node-function-bind (1.1.1+repack-1)
Implementation of Function.prototype.bind
node-function-loop (= 1.0.1)
本虛擬套件由這些套件填實: node-tap
node-functional-red-black-tree (1.0.1+20181105-4)
fully persistent balanced binary search tree - Node.js library
node-fuzzaldrin-plus (0.5.0+dfsg-3)
Fuzzy filtering and string scoring - compatible with fuzzaldrin
node-fuzzysort (1.1.1-1.1)
Fast SublimeText-like fuzzy search for JavaScript
node-gauge (2.7.4-1.1)
terminal based horizontal progress bar
node-gdal-next (= 2.6.2)
本虛擬套件由這些套件填實: node-srs
node-generator-supported (0.0.1-1.1)
Check if JS harmony generator is supported in environment
node-generic-pool (3.1.1-1.1)
generic resource pooling for Node.js
node-genfun (5.0.0-1)
fast, prototype-friendly multimethods
node-gensync (= 1.0.0-beta.0)
本虛擬套件由這些套件填實: node-babel7
node-geographiclib (1.51-1)
GeographicLib Node.js module
node-get (1.4.0-1)
high-level HTTP client for NodeJS
node-get-assigned-identifiers (= 1.2.0)
本虛擬套件由這些套件填實: node-debbundle-insert-module-globals
node-get-caller-file (1.0.2-1.1)
inspects the v8 stack trace
node-get-func-name (2.0.0+dfsg-1.1)
Utility for getting a function's name for node and the browser
node-get-package-type (= 0.1.0)
本虛擬套件由這些套件填實: node-istanbul
node-get-spdx-license-ids (= 2.1.0)
本虛擬套件由這些套件填實: node-spdx-license-ids
node-get-stdin (8.0.0-1)
Easier stdin for Node.js
node-get-stream (6.0.0-1)
Get a stream as a string, buffer, or array
node-get-value (1:3.0.1-3)
Use property paths to get a nested value from an object
node-getobject (0.1.0-2+deb11u1)
set and get deep objects easily - module for Node.js
node-getpass (0.1.7-1.1)
get a password from terminal
node-gettext-parser (4.0.4-1)
Parse and compile gettext po and mo files to/from json
node-gettext.js (0.7.0-2)
Lightweight yet complete GNU gettext port - Node.js module
node-github-url-from-git (1.5.0-1)
Convert github git or gist url to an http url - Node.js module
node-glob (7.1.6+~7.1.3-1)
glob functionality for Node.js
node-glob-base (0.3.0-1.1)
returns an object with the (non-glob) base path
node-glob-parent (5.1.1+~5.1.0-2)
Extract the non-magic parent path from a glob string
node-glob-stream (6.1.0+~6.1.0-1)
wrapper around node-glob to make it streamy
node-glob-to-regexp (= 0.4.1)
本虛擬套件由這些套件填實: node-watchpack
node-global-modules (2.0.0-1)
directory used by npm for globally installed npm modules
node-global-prefix (3.0.0-1)
get the npm global path prefix
node-globals (13.5.0-1)
Global identifiers from different JavaScript environments
node-globby (11.0.2+~cs16.20.37-1)
Extends glob with promise API
node-globule (1.3.2-1)
Easy-to-use wildcard globbing library for Node.js
node-glogg (1.0.2-2)
Global logging utility
node-googlediff (0.1.0-2)
Compares the differences between the two texts
node-got (11.8.1+~cs53.13.17-3+deb11u1)
Simplified HTTP requests
node-graceful-fs (4.2.4+repack-1)
drop-in replacement improving the Node.js fs module
node-graphlib
本虛擬套件由這些套件填實: node-graphlibrary
node-graphlibrary (2.2.0+really2.1.8+dfsg-3)
directed and undirected multi-graph library
node-growl (1.10.5-2)
unobtrusive notification system for nodejs
node-grunt
本虛擬套件由這些套件填實: grunt
node-grunt-babel (8.0.0-4)
grunt plugin for babel
node-grunt-cli (1.3.2-4)
command-line interface to Grunt JavaScript task runner
node-grunt-contrib-clean (2.0.0-3)
Grunt plugin to clean files and folders
node-grunt-contrib-coffee (1.0.0-2)
Compile CoffeeScript files to JavaScript
node-grunt-contrib-concat (1.0.1-4)
Concatenate files
node-grunt-contrib-copy (1.0.0-3)
Copy files and folders
node-grunt-contrib-internal (4.0.1-1)
Internal tasks for managing the grunt-contrib projects
node-grunt-contrib-nodeunit (2.0.0-4)
Grunt plugin to run Nodeunit unit tests
node-grunt-contrib-requirejs (1.0.0-2)
Optimize RequireJS projects using r.js
node-grunt-contrib-uglify (4.0.1+dfsg-2)
Minify JavaScript files with UglifyJS
node-grunt-known-options (1.1.1-1)
known options used in Grunt
node-grunt-legacy-log (2.0.0-2)
Grunt 0.4.x logger
node-grunt-legacy-log-utils (1.0.0-2)
Static methods for the Grunt 0.4.x logger
node-grunt-legacy-util (1.1.1-1)
Some old grunt utils provided for backwards compatibility
node-grunt-replace (1.0.1-2.1)
Replace text patterns with applause
node-grunt-sass (3.1.0-2)
Compile Sass to CSS
node-grunt-webpack (3.1.3-3)
Use webpack with grunt
node-gulp
本虛擬套件由這些套件填實: gulp
node-gulp-babel (8.0.0-4)
gulp plugin to use babel
node-gulp-changed (4.0.2-1)
gulp plugin to only pass through changed files
node-gulp-cli (= 2.2.0)
本虛擬套件由這些套件填實: gulp
node-gulp-coffee (2.3.4-2)
Compile CoffeeScript files
node-gulp-concat (2.6.1-2)
Concatenates files
node-gulp-flatten (0.4.0-4)
remove or replace relative path for files
node-gulp-load-plugins (1.5.0-1.1)
Automatically load any gulp plugins in your package.json
node-gulp-newer (1.3.0-1.1)
Only pass through newer source files
node-gulp-plumber (1.2.1-1)
Prevent pipe breaking caused by errors from gulp plugins
node-gulp-rename (1.2.2-3)
gulp pulgin to rename files
node-gulp-sourcemaps (2.6.5+~cs4.0.1-3)
Source map support for Gulp.js
node-gulp-sourcemaps-identity-map (= 2.0.1)
本虛擬套件由這些套件填實: node-gulp-sourcemaps
node-gulp-sourcemaps-map-sources (= 1.0.0)
本虛擬套件由這些套件填實: node-gulp-sourcemaps
node-gulp-util (3.0.8-2)
Utility functions for gulp plugins
node-gulplog (1.0.0-1.1)
Logger for gulp and gulp plugins
node-gyp (7.1.2-4)
Native addon build tool for Node.js
node-gzip-size (6.0.0-1)
Get the gzipped size of a string or buffer
node-h264-profile-level-id (= 1.0.1~2.12.0+~2.14.1+~1.0.1-1)
本虛擬套件由這些套件填實: libjs-sdp
node-handlebars (= 3:4.7.6+~4.1.0-2)
本虛擬套件由這些套件填實: handlebars
node-handlebars (= 4.7.6)
本虛擬套件由這些套件填實: handlebars
node-hapi-b64 (= 5.0.0)
本虛擬套件由這些套件填實: node-hoek
node-hapi-boom (= 9.1.0+~2.0.0-1)
本虛擬套件由這些套件填實: node-boom
node-hapi-bounce (= 2.0.0)
本虛擬套件由這些套件填實: node-boom
node-hapi-code (= 6.0.0-3)
本虛擬套件由這些套件填實: node-code
node-hapi-cryptiles (= 5.1.0-1)
本虛擬套件由這些套件填實: node-cryptiles
node-hapi-hawk (= 8.0.1+dfsg-2+deb11u1)
本虛擬套件由這些套件填實: node-hawk
node-hapi-hoek (= 9.1.0+~cs10.1.0-1)
本虛擬套件由這些套件填實: node-hoek
node-hapi-sntp (= 4.0.0-1)
本虛擬套件由這些套件填實: node-sntp
node-hapi-teamwork (= 5.1.0)
本虛擬套件由這些套件填實: node-hoek
node-har-schema (2.0.0-4)
JSON Schema for HTTP Archive (HAR)
node-har-validator (5.1.5-1)
Extremely fast HTTP Archive (HAR) validator using JSON Schema
node-has (= 1.0.3)
本虛擬套件由這些套件填實: node-function-bind
node-has-ansi (4.0.0-1)
Check if a string has ANSI escape codes in Node.js
node-has-binary (0.1.7-2)
Test if a JavaScript object contains binary data
node-has-cors (1.1.0-1.1)
Detect support for Cross-Origin Resource Sharing
node-has-flag (4.0.0-1)
check if argv has a specific flag
node-has-gulplog (0.1.0-1.1)
check if gulplog is available before attempting to use it
node-has-own-deep (= 1.1.0)
本虛擬套件由這些套件填實: node-cache-base
node-has-symbol-support-x (1.4.1+dfsg-1.1)
Tests if ES6 Symbol is supported
node-has-symbols (= 1.0.1)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-has-to-string-tag-x (1.4.1+dfsg-1.1)
Tests if ES6 @@toStringTag is supported
node-has-unicode (2.0.1-2)
Try to guess if your terminal supports unicode
node-has-value (2.0.2-2)
node library that returns true if a value exists
node-has-values (2.0.1-2)
node library that returns true if any values exist
node-has-yarn (2.1.0-1)
Check if a project is using Yarn
node-hash-base (3.1.0-1)
abstract base class for javascript hash-streams
node-hash-sum (2.0.0-1)
Blazing fast unique hash generator
node-hash-test-vectors (1.3.2+dfsg-3)
test vectors for hashes
node-hash.js (1.1.7-1)
Hash functions that could be run by both browser and nodejs
node-hashish (0.0.4+git34dfe43-1.1)
maniuplate hash data structures in Node.js
node-hawk (8.0.1+dfsg-2+deb11u1)
HTTP Hawk Authentication Scheme
node-he (1.2.0-1)
robust HTML entities encoder/decoder with full Unicode support
node-help-me (2.0.0-1)
help command for node, partner of minimist and commist
node-heya-ice (= 0.1.11)
本虛擬套件由這些套件填實: node-re2
node-heya-unify (= 0.2.7)
本虛擬套件由這些套件填實: node-re2
node-heya-unit (= 0.3.0)
本虛擬套件由這些套件填實: node-re2
node-highlight
本虛擬套件由這些套件填實: node-highlight.js
node-highlight.js (9.18.5+dfsg1-1)
JavaScript library for syntax highlighting - NodeJS
node-hmac-drbg (1.0.1-3)
Deterministic random bit generator (hmac) in pure javascript
node-hoek (9.1.0+~cs10.1.0-1)
General purpose node utilities for hapi ecosystem
node-homedir-polyfill (= 1.0.3)
本虛擬套件由這些套件填實: node-expand-tilde
node-hook-std (2.0.0-1)
Hook and modify stdout/stderr
node-hooker (0.2.3-2)
Useful debugging library for Node.js
node-hosted-git-info (3.0.8-1)
Provides metadata from Github, Bitbucket and Gitlab
node-html-comment-regex (1.1.2-1)
Regular expression for matching HTML comments
node-html-encoding-sniffer (= 2.0.1)
本虛擬套件由這些套件填實: node-jsdom
node-html-escaper (= 3.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-html5shiv (3.7.3+dfsg-3)
enable use of HTML5 sectioning elements in legacy browser
node-htmlescape (1.1.1-4)
escape string for safe use in html
node-htmlparser2 (6.0.0-5)
Fast & forgiving HTML/XML/RSS parser
node-http-cache-semantics (= 4.1.0)
本虛擬套件由這些套件填實: node-got
node-http-errors (1.8.0-1)
Create HTTP error objects
node-http-signature (1.3.5-1)
Reference implementation of Joyent's HTTP Signature scheme
node-http2-wrapper (= 0.8.0)
本虛擬套件由這些套件填實: node-got
node-https-browserify (1.0.0-1.1)
https module compatibility for browserify
node-https-proxy-agent (5.0.0-3)
HTTP(s) proxy http.Agent implementation for HTTPS
node-human-signals (= 2.1.0)
本虛擬套件由這些套件填實: node-execa
node-i18next (19.8.4+dfsg-1)
internationalization framework for JavaScript environments
node-i18next-browser-languagedetector (6.0.1-8)
language detector used in browser environment for i18next
node-i18next-http-backend (1.0.21+dfsg-1)
Simple i18next backend to be used in Node.js
node-iconv (2.3.5-5)
text recoding module for Node.js
node-iconv-lite (0.5.1-3)
Pure JS character encoding conversion
node-icss-replace-symbols (1.1.0-4)
Replace symbols during the linking phase of ICSS
node-icss-utils (5.1.0-3)
ICSS utils for postcss ast
node-ieee754 (1.2.1-1)
Node.js module to read or write IEEE754 floating point numbers
node-iferr (1.0.2-1)
Higher-order functions for easier error handling
node-ignore (5.1.4-5)
manager and filter for .gitignore rules - Node.js library
node-ignore-by-default (2.0.0-1)
List of directories you should ignore by default
node-image-size (= 0.5.5)
本虛擬套件由這些套件填實: node-less
node-imagemagick (0.1.3-1.1)
imagemagick module for NodeJS
node-immediate (3.3.0+dfsg-1)
Immediate is a cross browser microtask library
node-immutable (3.8.2+dfsg-3)
Immutable Data Collections
node-immutable-tuple (0.4.10-8)
Immutable finite list objects
node-import-fresh (= 3.3.0~5.0.0+~3.1.0+~3.3.0+~2.0.0-1)
本虛擬套件由這些套件填實: node-resolve-from
node-import-lazy (4.0.0-1)
import a module lazily
node-import-local (= 3.0.2-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-imports-loader (0.8.0-2)
imports loader module for webpack
node-imurmurhash (0.1.4-1.1)
incremental implementation of MurmurHash3 hashing algorithm
node-indent-string (4.0.0-1)
Indent each line in a string
node-indexes-of (= 1.0.1)
本虛擬套件由這些套件填實: node-css-loader
node-inflected (2.1.0-3)
port of ActiveSupport's inflector to Node.js
node-inflection (1.12.0+ds-2)
port of inflection-js to node.js module
node-inflight (1.0.6-1.1)
add callbacks to requests in flight to avoid async duplication
node-inherits (2.0.4-1)
Node.js module that exposes inherits function
node-ini (2.0.0-1)
ini format parser and serializer for Node.js
node-inline-source-map (0.6.2~git-3)
base64 encoded source mappings for a generated file
node-inquirer (3.3.0-3)
embeddable command line interface for Node.js
node-insert-module-globals (= 7.2.0+ds+~cs3.3.3-1)
本虛擬套件由這些套件填實: node-debbundle-insert-module-globals
node-install-artifact-from-github (= 1.2.0)
本虛擬套件由這些套件填實: node-re2
node-interpret (2.2.0-1)
dictionary of file extensions and associated module loaders
node-invariant (2.2.4-1)
assert function
node-invert-kv (3.0.1-1)
invert the key/value of an object
node-ip (1.1.5-5)
IP address utilities for node.js
node-ip-address (6.4.0-1)
library for parsing IPv4 and IPv6 IP addresses in node and the browser
node-ip-regex (4.3.0-1)
Regular expression for matching IP addresses
node-ipaddr.js (2.0.0~dfsg-1)
IPv4 and IPv6 addresses manipulation - Node.js module
node-irregular-plurals (3.2.0-1)
Map of nouns to their irregular plural form
node-is-accessor-descriptor (3.0.1-1)
Identifies value with valid JS accessor descriptor characteristics
node-is-arrayish (0.3.2-2)
Determines if an object can be used as an array
node-is-binary-path (2.1.0-1)
check if a filepath is a binary file
node-is-buffer (2.0.5-1)
Determine if an object is a Buffer
node-is-builtin-module (3.0.0-1)
Check if string matches name of a Node.js builtin module
node-is-callable (= 1.2.2)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-is-ci (= 2.0.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-is-core-module (= 2.2.0)
本虛擬套件由這些套件填實: node-resolve
node-is-data-descriptor (1.0.0-1)
True if a value has characteristics of a valid JS data descriptor
node-is-date-object (= 1.0.2)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-is-descriptor (3.0.0-1)
Returns true if value has characteristics of a valid JS descriptor
node-is-directory (0.3.1-2)
checks if a given path is directory
node-is-dotfile (2.0.0-1)
Return true if a file path is (or has) a dotfile
node-is-equal-shallow (0.1.3-2)
Does a shallow comparison of two objects.
node-is-extendable (1.0.1-1.1)
determine if a value can be extended
node-is-extglob (2.1.1-1)
Node module to return true if a string is an extglob
node-is-finite (1.1.0-1)
ES2015 Number.isFinite() ponyfill
node-is-generator-fn (2.1.0-1)
Check if something is a generator function
node-is-glob (4.0.1-1)
Node module to return true if a string is a glob or extglob
node-is-mergeable-object (= 1.1.1)
本虛擬套件由這些套件填實: node-deepmerge
node-is-module (1.0.0-2.1)
Node.js code to check if a string is an ES6 module
node-is-negated-glob (1.0.0-1.1)
returns object with `negated` boolean
node-is-node (1.0.2-2)
Detects if the current process is a node application
node-is-npm (5.0.0-1)
Checks if your code is running as an npm script
node-is-number (7.0.0-1.1)
returns true if the value is a number
node-is-obj (2.0.0-1)
Check if a JavaScript value is an object
node-is-object (1.0.2-1)
Checks whether a value is an object
node-is-path-cwd (2.2.0-1)
Check if a path is CWD
node-is-path-in-cwd (3.0.0-1)
Check if a path is in the current working directory
node-is-path-inside (3.0.2-1)
Check if a path is inside another path
node-is-plain-obj (3.0.0-1)
Check if a value is a plain object
node-is-plain-object (2.0.4+dfsg-2)
Returns true if object was created by `Object` constructor
node-is-potential-custom-element-name (= 1.0.0)
本虛擬套件由這些套件填實: node-jsdom
node-is-primitive (3.0.1-1)
Returns `true` if the value is a primitive
node-is-promise (4.0.0-1)
Test whether an object looks like a promises-a+ promise
node-is-reference (1.2.1-3)
Node.js code to determine whether an AST node is a reference
node-is-retry-allowed (1.2.0-1)
Is retry allowed for Error?
node-is-stream (2.0.0-1)
Check if something is a Node.js stream
node-is-symbol (= 1.0.3)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-is-typedarray (1.0.0-3)
Nodejs library checking if object is TypedArray
node-is-unc-path (1.0.0-1)
returns true if a filepath is a windows UNC file path
node-is-valid-glob (1.0.0-2)
Return true if a value is a valid glob pattern or patterns
node-is-windows (1.0.2-2)
True if the platform is windows
node-isarray (2.0.5-1)
JavaScript Array#isArray for older browsers
node-iscroll (5.2.0+dfsg1-2)
High performance, small footprint JavaScript scroller NodeJS module
node-isexe (2.0.0-5)
minimal module to check if a file is executable
node-isobject (4.0.0-1)
Checks if the value is an object and not an array or null
node-isomorphic-fetch (3.0.0-1)
Isomorphic WHATWG Fetch API, for Node & Browserify
node-isstream (0.1.2+dfsg-1.1)
Determine if an object is a Stream
node-istanbul (0.4.5+ds+~cs56.14.45-1)
JavaScript code coverage tool
node-istanbul-lib-coverage (= 3.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-istanbul-lib-instrument (= 4.0.3)
本虛擬套件由這些套件填實: node-istanbul
node-istanbul-lib-report (= 3.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-istanbul-lib-source-maps (= 4.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-istanbul-reports (= 3.0.2)
本虛擬套件由這些套件填實: node-istanbul
node-istanbuljs-load-nyc-config (= 1.1.0)
本虛擬套件由這些套件填實: node-istanbul
node-istanbuljs-schema (= 0.1.2)
本虛擬套件由這些套件填實: node-istanbul
node-isurl (4.0.1-2)
Checks whether a value is a WHATWG URL
node-jake (0.7.9-2)
JavaScript build tool for Node.js
node-jed (1.1.1-2)
Gettext Style i18n for Modern JavaScript Apps - Node.js module
node-jest (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-changed-files (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-circus (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-cli (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-config (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-console (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-core (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-create-cache-key-function (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-debbundle (26.6.3+repack+~cs64.44.39-3)
various ittle Node.js modules needed by jest
node-jest-diff (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-docblock (= 26.0.0)
本虛擬套件由這些套件填實: jest
node-jest-each (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-environment (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-environment-jsdom (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-environment-node (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-fake-timers (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-get-type (= 26.3.0)
本虛擬套件由這些套件填實: jest
node-jest-globals (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-haste-map (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-jasmine2 (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-leak-detector (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-matcher-utils (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-message-util (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-mock (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-phabricator (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-pnp-resolver (= 1.2.2)
本虛擬套件由這些套件填實: jest
node-jest-react (= 0.12.0)
本虛擬套件由這些套件填實: node-react
node-jest-regex-util (= 26.0.0)
本虛擬套件由這些套件填實: jest
node-jest-repl (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-reporters (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-resolve (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-resolve-dependencies (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-runner (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-runtime (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-serializer (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-snapshot (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-source-map (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-test-result (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-test-sequencer (= 26.6.3)
本虛擬套件由這些套件填實: jest
node-jest-test-utils (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-tobetype (= 1.2.3)
本虛擬套件由這些套件填實: jest
node-jest-transform (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-types (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-util (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-validate (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-watcher (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-jest-worker (26.6.3+repack+~cs64.44.39-3)
Nodejs module for executing heavy tasks under forked processes in parallel
node-jison
本虛擬套件由這些套件填實: jison
node-jison-lex (0.3.4-3)
lexical analyzer generator used by jison
node-jju (1.1.0-1.1)
set of utilities to work with JSON / JSON5 documents
node-jquery (3.5.1+dfsg+~3.5.5-7)
NodeJS wrapper for jQuery
node-jquery-mousewheel (1:3.1.13-2)
jQuery plugin to add cross-browser mouse wheel support (Node.js)
node-jquery-textcomplete (1.8.5+dfsg-5)
implement auto-complete support for textareas
node-jquery-ui (1.12.1+dfsg-8+deb11u2)
JavaScript UI library for dynamic web applications (NodeJs)
node-jquery-ujs (1.2.2-2)
Unobtrusive scripting adapter for jQuery
node-js-beautify (1.13.6+dfsg+~0.15.3-1)
beautifier.io for node
node-js-cookie (2.2.1-1)
Lightweight JavaScript cookie API
node-js-tokens (6.0.0-1)
Regex that tokenizes JavaScript
node-js-yaml (3.14.1+dfsg+~3.12.6-2)
YAML 1.2 parser and serializer
node-jsbn (1.1.0-1.1)
fast, portable implementation of large-number math in pure JS
node-jschardet (2.2.1+dfsg+~1.3.0-1)
Character encoding auto-detection in JavaScript
node-jsdom (16.4.0+~cs77.17.35-3)
javascript implementation of the W3C DOM
node-jsesc (3.0.2-2)
Escape JavaScript strings, with short output (Node.js module)
node-json-buffer (3.0.1-1)
JSON functions that can convert buffers.
node-json-loader (0.5.7-1)
json loader for webpack
node-json-localizer (0.0.3-1.1)
Utility to localize a json object
node-json-parse-better-errors (1.0.2+~2.3.1-1)
JSON.parse() with context information on error
node-json-parse-even-better-errors (= 2.3.1)
本虛擬套件由這些套件填實: node-json-parse-better-errors
node-json-parse-helpfulerror (1.0.3-2.1)
drop-in replacement for JSON.parse that uses `jju`
node-json-schema (0.3.0+~7.0.6-1+deb11u1)
JSON Schema validation and specifications
node-json-schema-traverse (1.0.0-2)
Json schema traversal package
node-json-stable-stringify (1.0.1+~cs5.1.32-1)
deterministic JSON.stringify()
node-json-stringify-safe (5.0.1+repack-3)
JSON.stringify with circular references module for Node.js
node-json2module (0.0.3-2)
Convert a JSON object to an ES6 module
node-json5 (2.1.3-2+deb11u1)
JSON for the ES5 era
node-jsonfile (6.1.0-1)
Easily read/write JSON files in Node.js
node-jsonify (0.0.0-1.1)
JSON without touching any globals
node-jsonminify (0.4.1+dfsg1-2)
Minify blocks of JSON-like content into valid JSON
node-jsonparse (1.3.1-7)
Pure javascript JSON streaming parser for node.js
node-jsonselect (0.4.0+dfsg3-2)
CSS-like selectors for JSON
node-jsonstream (1.3.5-1)
streaming JSON.parse and stringify
node-jsprim (2.0.0-1)
utilities for primitive JavaScript types
node-jstimezonedetect (1.0.6-5)
Finds the zone info key representing timezone setting in node
node-jszip (3.5.0+dfsg-2)
Create, read and edit .zip files with Javascript
node-jszip-utils (0.0.2+dfsg-2)
collection of cross-browser utilities to go along with JSZip
node-katex (= 0.10.2+dfsg-8)
本虛擬套件由這些套件填實: katex
node-keese (1.1.1-2)
arbitrary-precision floats encoded as strings - Node.js module
node-kew (0.7.0-1.1)
lightweight promise library for node
node-keygrip (1.1.0-1)
Key signing and verification for rotated credentials for Node.js
node-keypress (0.2.1-1.1)
Make any Node ReadableStream emit "keypress" events
node-keyv (= 4.0.3)
本虛擬套件由這些套件填實: node-got
node-kind-of (6.0.3+dfsg-1)
Get the native type of a value
node-klaw (3.0.0-4)
File system walker for Node.js
node-kleur (= 4.1.3)
本虛擬套件由這些套件填實: node-prompts
node-knockout (3.5.1-1)
JavaScript MVVM framework
node-knockout-sortable (1.2.0+dfsg-1)
JQuery-UI "sortable" binding for Knockout
node-knockout-transformations (2.1.0-2)
Live transform methods for Knockout observable arrays
node-labeled-stream-splicer (2.0.2-4)
streaming pipeline with a mutable configuration and labels
node-lastfm (0.9.3-1)
Read and write to Last.fm - Node.js module
node-latest-version (5.1.0-1)
Get the latest version of an npm package
node-lazy-cache (2.0.2-1)
Cache requires to be lazy-loaded when needed
node-lazy-debug-legacy (0.0.1-1.1)
Generates module names for vision media's debug
node-lazy-property (1.0.0+repack-1)
Adds a lazily initialized property to the object
node-lazystream (1.0.0-2)
Open streams on demand - Node.js module
node-lcid (3.1.1-1)
map standard locale identifies and lcid
node-lcov-parse (1.0.0+20170612git80d039574ed9-3)
Parse lcov results files and return JSON
node-leaflet (1.7.1~dfsg-2)
mobile-friendly interactive maps - Node.js library
node-leaflet-formbuilder (0.2.1-4)
Helpers to build forms in Leaflet
node-leaflet-hash (0.2.1-3)
linkable location hashes for leaflet
node-leaflet-image (0.4.0~dfsg-3)
image export for Leaflet - Node.js library
node-leaflet.markercluster (1.4.1~dfsg-10)
marker clustering functionality for Leaflet - Node.js library
node-leche (2.3.0~dfsg-2)
JavaScript testing utility for Mocha and Sinon
node-less (3.13.0+dfsg-5)
LESS CSS meta-language - compiler and Node module
node-less-loader (5.0.1-2)
Less loader for webpack which compiles Less to CSS with webpack
node-less-test-data (= 3.13.0)
本虛擬套件由這些套件填實: node-less
node-less-test-import-module (= 3.12.0)
本虛擬套件由這些套件填實: node-less
node-leveldown (5.6.0+dfsg-1)
LevelDB bindings - Node.js module
node-leven (3.1.0+~cs1.1.1-1)
library to measure the difference between two strings
node-levenary (= 1.1.1)
本虛擬套件由這些套件填實: node-leven
node-levn (0.3.0+dfsg-4)
Light ECMAScript (JavaScript) Value Notation
node-lex-parser (0.1.4-5)
parser for lexical grammars used by jison and jison-lex
node-lexical-scope (1.2.0+dfsg1-3)
detect global and local lexical identifiers in javascript
node-libravatar (3.0.0-1)
libravatar library for NodeJS
node-libs-browser (2.2.1-3)
node core libs for in browser usage
node-lie (3.3.0+dfsg-2)
basic but performant promise implementation
node-liftoff (3.1.0-4)
command line tool launcher
node-line-column (= 1.0.2)
本虛擬套件由這些套件填實: node-postcss
node-lines-and-columns
本虛擬套件由這些套件填實: node-parse-json
node-livescript
本虛擬套件由這些套件填實: livescript
node-load-grunt-tasks (3.5.2-1.1)
Load multiple grunt tasks using globbing patterns
node-load-json-file (6.2.0-1)
read and parse a JSON file
node-loadcss (= 0.0.2)
本虛擬套件由這些套件填實: libjs-cssrelpreload
node-loader-runner (4.1.0-1)
Runs (webpack) loaders
node-loader-utils (2.0.0-1+deb11u1)
utils for webpack loaders
node-locate-character (2.0.5+repack-2)
Helper to find the line and column of a character in a string
node-locate-path (6.0.0-1)
Get the first path that exists on disk of multiple paths
node-lockfile (1.0.4-3)
Asynchronous file lock module for Node.js
node-lodash (4.17.21+dfsg+~cs8.31.173-1)
Lo-dash is a Node.js utility library
node-lodash-compat (3.10.2-1.1)
compatibility build of lodash modular utilities
node-lodash-es (= 4.17.21+dfsg+~cs8.31.173-1)
本虛擬套件由這些套件填實: node-lodash
node-lodash-packages (4.17.21+dfsg+~cs8.31.173-1)
Lo-dash is a Node.js utility library (per method packages)
node-lodash-reescape (3.0.0-1.1)
modern build of lodash’s internal `reEscape` as a module
node-lodash-reevaluate (3.0.0-2)
modern build of lodash’s internal `reEvaluate` as a module
node-log-driver (1.2.7+git+20180219+bba1761737-7)
simple logging framework in pure javascript
node-log-symbols (= 4.0.0)
本虛擬套件由這些套件填實: mocha
node-log4js (6.3.0+~cs8.3.10-1+deb11u1)
Conversion of the log4js framework to work with Node.js
node-lolex (5.1.2+ds-2)
Fake JavaScript timers
node-loose-envify (1.4.0+dfsg1-1)
Replace Node.js environment variables with plain strings
node-loud-rejection (2.2.0-1)
make unhandled promise rejections fail loudly
node-lowercase-keys (2.0.0-1)
Lowercase the keys of an object
node-lru-cache (5.1.1-5)
least-recently-used cache object for Node.js
node-ltx (2.6.2-1.1)
XML DOM builder library for Node
node-lumino (0~20200824+git93880412-2)
large set of libraries to develop Node.js applications
node-lumino-algorithm (= 1.3.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-application (= 1.11.0)
本虛擬套件由這些套件填實: node-lumino
node-lumino-collections (= 1.3.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-commands (= 1.11.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-coreutils (= 1.5.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-datagrid (= 0.14.0)
本虛擬套件由這些套件填實: node-lumino
node-lumino-datastore
本虛擬套件由這些套件填實: node-lumino
node-lumino-default-theme (= 0.5.0)
本虛擬套件由這些套件填實: node-lumino
node-lumino-disposable (= 1.4.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-domutils (= 1.2.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-dragdrop (= 1.6.4)
本虛擬套件由這些套件填實: node-lumino
node-lumino-keyboard (= 1.2.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-messaging (= 1.4.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-polling (= 1.3.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-properties (= 1.2.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-signaling (= 1.4.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-virtualdom (= 1.7.3)
本虛擬套件由這些套件填實: node-lumino
node-lumino-widgets (= 1.14.0)
本虛擬套件由這些套件填實: node-lumino
node-lunr (2.3.8~dfsg-2)
simple full-text search - Node.js
node-macaddress (0.5.1-1)
Get MAC addresses of host network interfaces in Node.js
node-magic-string (0.25.7-4)
Modify strings, generate sourcemaps
node-make-arrow-function (= 1.2.0)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-make-dir (3.1.0-1)
Make a directory and its parents if needed - Think mkdir -p
node-make-error (1.3.7-1)
error framework for JavaScript
node-make-generator-function (= 2.0.0)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-makeerror (= 1.0.11)
本虛擬套件由這些套件填實: node-sane
node-map-cache (0.2.2-3)
Basic cache object for storing key-value pairs
node-map-obj (4.1.0-1)
Map object keys and values into a new objects
node-map-visit (1.0.0-1)
Map `visit` over an array of objects
node-markdown-it (10.0.0+dfsg-2+deb11u1)
Fast and easy to extend markdown parser
node-markdown-it-html5-embed
本虛擬套件由這些套件填實: libjs-markdown-it-html5-embed
node-marked (0.8.0+ds+repack-2)
Full-featured markdown parser and compiler for NodeJS
node-marked-man (0.7.0-1)
Markdown to man page conversion - Node.js
node-match-at (0.1.1-2)
Relocatable regular expressions
node-matcher (2.1.0-1)
Simple wildcard matching
node-matrix-js-sdk (9.3.0+~cs9.9.16-2)
node module Client-Server SDK for the Matrix protocol
node-mbtiles (0.3.2-2.1)
Tilelive store for writing to MBTiles format - Node.js module
node-md5-hex (2.0.0-2)
Create a MD5 hash with hex encoding
node-md5-o-matic (0.1.1-2)
Fast and simple MD5 hashing utility with zero module dependencies
node-md5.js (1.3.5-3)
implementation of MD5 in pure JavaScript
node-mdn-data (1.0.0-1.1)
Open Web data by the Mozilla Developer Network
node-media-typer (1.1.0-1)
RFC 6838 media type parser and formatter - Node.js module
node-mem (1.1.0-1.1)
Memoize functions
node-memory-fs (0.5.0-1)
simple in-memory filesystem
node-meow (8.0.0+~cs3.21.0-2)
Command-line interface app helper
node-merge (2.1.0+ds-3)
Nodejs utility for merging multiple objects into one.
node-merge-descriptors (1.0.1-2)
merge objects using descriptors
node-merge-stream (2.0.0+~1.1.2-1)
Create a stream that emits events from multiple other streams
node-merge2 (= 1.4.1)
本虛擬套件由這些套件填實: node-globby
node-mermaid (8.7.0+ds+~cs27.17.17-3+deb11u2)
Markdownish syntax for generating flowcharts,
node-mess (0.1.2-1.1)
Fisher–Yates shuffle algorithm implementation for Node.js
node-methods (1.1.2-1)
contains the HTTP methods that Node.js supports
node-micromatch (4.0.2+repack+~4.0.1-1)
Glob matching for javascript/node.js
node-miller-rabin (4.0.1-6)
Miller Rabin algorithm for primality test
node-millstone (0.6.19-5)
prepare datasources in an MML file for consumption in mapnik
node-mime (2.5.0+dfsg+~cs3.90.0-1)
library for mime-type mapping for Node.js
node-mime-db (= 1.45.0)
本虛擬套件由這些套件填實: node-mime
node-mime-score (= 1.2.0)
本虛擬套件由這些套件填實: node-mime
node-mime-types (2.1.28-1)
ultimate JavaScript content-type utility - Node.js module
node-mimic-fn (3.1.0-1)
Make a function mimic another one
node-mimic-response (3.1.0-5)
Mimic a Node.js HTTP response stream
node-min-indent (= 1.0.1)
本虛擬套件由這些套件填實: node-strip-indent
node-mini-css-extract-plugin (1.3.3-1)
extracts CSS into separate files
node-minimalistic-crypto-utils (1.0.1-3)
Minimalistic tools for JS crypto modules
node-minimatch (3.0.4+~3.0.3-1+deb11u2)
Convert glob expressions into RegExp objects for Node.js
node-minimist (1.2.5+~cs5.3.1-2+deb11u1)
Argument options parsing for Node.js
node-minimist-options (= 4.1.0)
本虛擬套件由這些套件填實: node-minimist
node-miragejs (0.1.41+~cs5.6.6-4)
client-side server to build, test and demo JavaScript apps
node-mississippi (3.0.0-1)
collection of useful streams
node-mithril (1.1.6-2.1)
Javascript framework for building Single Page Applications
node-mixin-deep (2.0.1-2)
Deeply mix the properties of objects into the first object
node-mkdirp (1.0.4+~1.0.1-1)
Recursively create directories - Node.js module
node-mkdirp-classic (0.5.3-2)
mkdirp with non promise interface
node-mocha (= 8.2.1)
本虛擬套件由這些套件填實: mocha
node-mocha (= 8.2.1+ds1+~cs29.4.27-3)
本虛擬套件由這些套件填實: mocha
node-mocha-lcov-reporter (1.3.0-2)
LCOV reporter for Mocha
node-mock-fs (4.13.0-1)
configurable mock for Node.js module fs
node-mocks-http (1.9.0-2)
Mock 'http' objects for testing Express routing functions
node-modern-syslog (1.2.0-1+b2 [i386], 1.2.0-1+b1 [amd64, arm64, armhf, mips64el, mipsel, ppc64el, s390x])
native syslog client library for Node.js
node-modify-babel-preset (3.2.1-2.1)
Create a modified babel preset based on an existing preset
node-module-deps (6.2.3-1)
walk the dependency graph to generate json output for browser-pack
node-module-not-found-error
本虛擬套件由這些套件填實: node-proxyquire
node-moment (2.29.1+ds-2+deb11u2)
Work with dates in JavaScript (Node.js module)
node-moment-timezone (0.5.32+dfsg1-2+2021a)
Parse and display dates in any timezone (node.js library)
node-mongodb (3.6.4+~cs11.13.19-1)
official MongoDB driver for Node.js
node-monocle (1.1.51+dfsg-1.1)
Watch directories for file changes - Node.js module
node-morgan (1.10.0-2)
HTTP request logger middleware for node.js
node-mousetrap (1.6.5~ds-1)
Simple library for handling keyboard shortcuts
node-move-concurrently (1.0.1-2)
Move files and directories concurrently
node-mqtt (4.2.6-2)
MQTT client library for node.js
node-mqtt-connection (4.1.0-1)
Barebone Connection object for MQTT
node-mqtt-packet (6.9.0-1)
parse and generate MQTT packets
node-ms (2.1.3+~cs0.7.31-1)
milliseconds conversion utility - Node.js module
node-multimatch (5.0.0-1)
Extends minimatch.match() with support for multiple patterns
node-multiparty (4.2.2-2)
Multipart/form-data parser for Node.js
node-multipipe (4.0.0-1)
pipe streams with centralized error handling
node-music-library-index (2.1.0-1)
build a searchable object model given track metadata objects - Node.js module
node-mustache (2.3.2-2)
Mustache rendering engine for Javascript - NodeJS
node-mutate-fs (2.1.1-1.1)
Mutates the node.js file-system behavior for tests
node-mute-stream (0.0.8-2)
Pass-through stream that can be muted module for Node.js
node-mv (= 2.1.1)
本虛擬套件由這些套件填實: node-bunyan
node-mysql (2.18.1-1)
MySQL client implementation for Node.js
node-mysticatea-eslint-plugin (11.0.0~ds-3)
additional rules for ESLint as used by Toru Nagashima
node-mz (2.7.0-1.1)
Modernize node.js to current ECMAScript specifications
node-n3 (1.0.4-3)
fast asynchronous streaming RDF for JavaScript - Node library
node-nan (2.14.2-2)
Native Abstractions for Node.js
node-nanoid (= 3.1.20)
本虛擬套件由這些套件填實: node-postcss
node-natural-compare (= 1.4.0)
本虛擬套件由這些套件填實: jest
node-natural-compare-lite (= 1.4.0)
本虛擬套件由這些套件填實: jest
node-natural-sort (1.0.0-2)
Sorting with support for numbers, dates, unicode and more
node-ncp (2.0.0-1)
Asynchronous recursive file copy utility - Node.js module
node-negotiator (0.6.2-1)
HTTP content negotiator for Node.js
node-neo-async (2.6.2-1)
faster replacement for Async
node-next-tick (= 1.1.0)
本虛擬套件由這些套件填實: node-es5-ext
node-nise (= 4.0.4)
本虛擬套件由這些套件填實: node-sinon
node-node-dir (0.1.17-1.1)
asynchronous file and directory operations for Node.js
node-node-expat (2.3.18+ds-3)
fast XML parser library for Node
node-node-fastcgi
本虛擬套件由這些套件填實: node-fastcgi
node-node-fetch
本虛擬套件由這些套件填實: node-fetch
node-node-forge (0.10.0~dfsg-3+deb11u1)
JavaScript implementation of TLS and more - Node library
node-node-gyp (= 7.1.2-4)
本虛擬套件由這些套件填實: node-gyp
node-node-int64 (= 0.4.0)
本虛擬套件由這些套件填實: node-sane
node-node-localstorage (2.1.5-1)
substitute for the browser native localStorage API
node-node-mocks-http
本虛擬套件由這些套件填實: node-mocks-http
node-node-modules-regexp (= 1.0.0)
本虛擬套件由這些套件填實: node-babel7
node-node-pre-gyp
本虛擬套件由這些套件填實: node-pre-gyp
node-node-releases (= 1.1.70)
本虛擬套件由這些套件填實: node-browserslist
node-node-rest-client (2.5.0-5)
Rest API client for NodeJS
node-node-rsa (1.0.5-1)
RSA library for Node.js
node-node-sass (4.14.1+git20200512.e1fc158+dfsg-4)
Wrapper around libsass
node-node-static
本虛擬套件由這些套件填實: node-static
node-node-stringprep (0.8.0-5+b1)
ICU StringPrep profiles for Node
node-node-tunein
本虛擬套件由這些套件填實: node-tunein
node-nodedbi (1.0.14-2)
libdbi interface for Node.js
node-nodelib-fs.macchiato (= 1.0.3)
本虛擬套件由這些套件填實: node-globby
node-nodelib-fs.scandir (= 2.1.4)
本虛擬套件由這些套件填實: node-globby
node-nodelib-fs.stat (= 2.0.4)
本虛擬套件由這些套件填實: node-globby
node-nodelib-fs.walk (= 1.2.6)
本虛擬套件由這些套件填實: node-globby
node-nodemailer (6.4.17-3)
Node.js library to send mails
node-nodeunit (= 0.11.3+ds-1)
本虛擬套件由這些套件填實: nodeunit
node-nomnom (1.8.1-1.1)
Option parser with generated usage and commands for Node.js
node-nopt (5.0.0-1)
Command-line option parser for Node.js
node-normalize-git-url (3.0.2-1)
normalizes Git URLs
node-normalize-package-data (3.0.0+~2.4.0-1)
Normalizes package metadata - Node.js module
node-normalize-path (3.0.0-2)
Normalize file path slashes to be unix-like forward slashes
node-normalize-range (0.1.2-2)
Utility for normalizing a numeric range
node-normalize-url (= 5.3.0)
本虛擬套件由這些套件填實: node-got
node-normalize.css (8.0.1-3)
Modern alternative to CSS resets
node-npm (= 7.5.2)
本虛擬套件由這些套件填實: npm
node-npm-bundled (1.1.1-1)
Parses info on bundled dependencies
node-npm-package-arg (8.1.0-1)
Parse the things that can be arguments to npm install
node-npm-run-path (2.0.2-2)
Get your PATH prepended with locally installed binaries
node-npmcli-arborist (= 2.1.1)
本虛擬套件由這些套件填實: npm
node-npmcli-ci-detect (= 1.3.0)
本虛擬套件由這些套件填實: npm
node-npmcli-config (= 1.2.9)
本虛擬套件由這些套件填實: npm
node-npmcli-disparity-colors (= 1.0.1)
本虛擬套件由這些套件填實: npm
node-npmcli-git (= 2.0.4)
本虛擬套件由這些套件填實: npm
node-npmcli-installed-package-contents (= 1.0.5)
本虛擬套件由這些套件填實: npm
node-npmcli-map-workspaces (= 1.0.1)
本虛擬套件由這些套件填實: npm
node-npmcli-metavuln-calculator (= 1.0.2)
本虛擬套件由這些套件填實: npm
node-npmcli-move-file (= 1.0.1)
本虛擬套件由這些套件填實: node-cacache
node-npmcli-name-from-folder (= 1.0.1)
本虛擬套件由這些套件填實: npm
node-npmcli-node-gyp (= 1.0.1)
本虛擬套件由這些套件填實: npm
node-npmcli-promise-spawn (= 1.3.2)
本虛擬套件由這些套件填實: npm
node-npmcli-run-script (= 1.8.1)
本虛擬套件由這些套件填實: npm
node-npmlog (4.1.2-2)
Logger with custom levels and colored output for Node.js
node-npmrc (1.1.1-2)
Switch between different .npmrc files
node-nth-check (2.0.0-1+deb11u1)
performant nth-check parser & compiler
node-number-is-nan (2.0.0-1)
ES6 Number.isNaN() ponyfill
node-nwmatcher (1.4.4-1)
CSS3-compliant JavaScript selector engine
node-nwsapi (= 2.2.0)
本虛擬套件由這些套件填實: node-jsdom
node-oauth-sign (0.9.0-2)
OAuth 1 signing module for Node.js
node-obj-util (2.0.0-1.1)
simple helper to set/get keys from objects
node-object-assign (4.1.1-3)
ES2015 Object.assign() ponyfill
node-object-copy (0.1.0-1.1)
copy properties of one object to another
node-object-inspect (1.9.0-1)
string representations of objects in node and the browser
node-object-is (= 1.1.3)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-object-key (0.2.0-3)
Nodejs object key helpers
node-object-keys (= 1.1.1)
本虛擬套件由這些套件填實: node-resolve
node-object-path (0.11.5-3+deb11u1)
Access deep object properties using a path
node-object-visit (1.0.1-1)
Call a specified method on each value in the given object
node-object.assign (= 4.1.1-3)
本虛擬套件由這些套件填實: node-object-assign
node-object.defaults (= 1.1.0)
本虛擬套件由這些套件填實: node-fined
node-object.omit (3.0.0-1)
Return a copy of an object excluding the given key
node-object.pick (= 1.3.0)
本虛擬套件由這些套件填實: node-fined
node-olm (= 3.2.1~dfsg-7)
本虛擬套件由這些套件填實: libjs-olm
node-on-finished (2.3.0-1)
calls back on request close, finish or error - Node.js module
node-on-headers (1.0.2-1)
HTTP response headers listener - Node.js module
node-once (1.4.0-3)
Run a function only once with this module for Node.js
node-onetime (= 5.1.2)
本虛擬套件由這些套件填實: node-execa
node-opencv (7.0.0+git20200310.6c13234-2)
OpenCV Bindings for node.js
node-opener (1.5.2-1)
Opens stuff, like webpages and files and executables
node-openid (2.0.7-1)
OpenID client library for Node.js
node-opentip (2.4.6-2)
JavaScript tooltip class
node-optimist (0.6.1-1.1)
light-weight option parsing library for NodeJS
node-optionator (0.9.1+dfsg-1)
option parsing and help generation
node-orchestrator (0.3.8-1.1)
sequence and execute tasks and dependencies in concurrency
node-ordered-read-streams (1.0.1-2)
combines array of streams into one read stream in strict order
node-original (1.0.2-2)
Generate the origin from an URL or check if two URL/Origins are the same
node-os-browserify (0.3.0-1)
'os' module from node.js, but for browsers
node-os-locale (5.0.0-1)
get the system locale
node-os-tmpdir (1.0.2-1)
Node.js os.tmpdir() ponyfill
node-osenv (0.1.5-1)
Environment settings lookup module for Node.js
node-output-file-sync (2.0.1-1)
Synchronously write a file and create its ancestor directories if needed
node-own-or (= 1.0.0)
本虛擬套件由這些套件填實: node-tap
node-own-or-env (= 1.0.1)
本虛擬套件由這些套件填實: node-tap
node-p-cancelable (2.0.0-1)
Create a promise that can be canceled
node-p-each-series (= 2.2.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-p-finally (2.0.1-1)
Invoked when the promise is settled regardless of outcome
node-p-is-promise (3.0.0-1)
Check if something is a promise
node-p-limit (3.1.0+~cs2.3.0-1)
run multiple promise-returning & async functions
node-p-locate (5.0.0-1)
async version of Array
node-p-map (4.0.0-1)
Map over promises concurrently
node-p-reduce (= 2.1.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-p-timeout (4.1.0-1)
Timeout a promise after a specified amount of time
node-p-try (= 2.2.0)
本虛擬套件由這些套件填實: node-p-limit
node-package (1.0.1-1.1)
easy package.json exports
node-package-json (4.0.1-1.1)
Get metadata of a package from the npm registry
node-package-preamble (0.1.0-2)
Generate a tiny preamble from a package.json
node-packet-reader (= 1.0.0)
本虛擬套件由這些套件填實: node-pg
node-pako (2.0.2+ds-1)
fast zlib port to pure javascript
node-parallel-transform (1.2.0-1)
Runs transforms in parallel
node-parent-module (= 2.0.0~5.0.0+~3.1.0+~3.3.0+~2.0.0-1)
本虛擬套件由這些套件填實: node-resolve-from
node-parents (1.0.1-5)
Node.js module returning all the parent directories
node-parse-asn1 (5.1.6-1)
utility library for parsing asn1 files
node-parse-base64vlq-mappings (0.1.4-3)
Parses out base64 VLQ encoded source map
node-parse-filepath (1.0.2-1)
Parses a filepath into an object
node-parse-glob (3.0.4+dfsg-1.1)
Parse a glob pattern into an object of tokens
node-parse-json (5.1.0+~cs5.1.6-2)
parse JSON with more helpful errors
node-parse-ms (2.1.0-1)
Parse milliseconds into an object
node-parse-node-version (= 1.0.1)
本虛擬套件由這些套件填實: node-fancy-log
node-parse-passwd (= 1.0.0)
本虛擬套件由這些套件填實: node-expand-tilde
node-parse5 (= 6.0.1)
本虛擬套件由這些套件填實: node-jsdom
node-parse5-build-scripts
本虛擬套件由這些套件填實: node-jsdom
node-parse5-htmlparser2-tree-adapter (= 6.0.1)
本虛擬套件由這些套件填實: node-cheerio
node-parseurl (1.3.3-1)
parse a url with memoization
node-pascalcase (0.1.1-1.1)
Convert a string to pascal-case
node-passport (0.4.1-1)
simple, unobtrusive authentication system for Node.js
node-path-browserify (1.0.1-1)
path module from node core for browsers
node-path-dirname (1.0.2-1.1)
Node.js library for function path.dirname ponyfill
node-path-exists (4.0.0-2)
Check if a path exists
node-path-is-absolute (2.0.0-1)
Node.js 0.12 path.isAbsolute() ponyfill
node-path-is-inside (1.0.2-1.1)
Tests whether one path is inside another path
node-path-key (= 3.1.1)
本虛擬套件由這些套件填實: node-execa
node-path-parse (= 1.0.6)
本虛擬套件由這些套件填實: node-resolve
node-path-root (0.1.1-1.1)
Get the root of a posix or windows filepath
node-path-root-regex (0.1.2-1.1)
regex for the root of a posix or windows filepath
node-path-to-regexp (6.2.0-1)
express style path to RegExp utility
node-path-type (4.0.0-1)
check if a path is a file, directory, or symlink
node-pathval (1.1.1-1)
Node.js module for object value access from a path
node-pause (0.1.0-2)
Pause a stream's data events
node-pbkdf2 (3.1.1-1)
RSA PKCS
node-pdfjs-dist (= 2.6.347+dfsg-3)
本虛擬套件由這些套件填實: libjs-pdf
node-peg
本虛擬套件由這些套件填實: node-pegjs
node-pegjs (0.10.0-3)
JavaScript parser generator
node-pend (1.2.0-3)
simple parallel async callback helper - Node.js module
node-performance-now (2.1.0+debian-1.1)
Implements performance.now (based on process.hrtime)
node-pg (7.14.0-2)
PostgreSQL client library for Node
node-pg-connection-string (= 2.1.0)
本虛擬套件由這些套件填實: node-pg
node-pg-cursor (= 2.0.1)
本虛擬套件由這些套件填實: node-pg
node-pg-int8 (= 1.0.1)
本虛擬套件由這些套件填實: node-pg
node-pg-numeric (= 1.0.2)
本虛擬套件由這些套件填實: node-pg
node-pg-pool (= 2.0.7)
本虛擬套件由這些套件填實: node-pg
node-pg-types (= 3.0.0)
本虛擬套件由這些套件填實: node-pg
node-pgpass (= 1.0.2)
本虛擬套件由這些套件填實: node-pg
node-picomatch (= 2.2.2)
本虛擬套件由這些套件填實: node-anymatch
node-pify (5.0.0+~cs5.0.0-1)
promisify a callback-style function
node-pikaday (1.8.0-2)
Lightweight JavaScript datepicker
node-pinkie (2.0.4-1.1)
Itty bitty little widdle twinkie pinkie ES2015 Promise implementation
node-pinkie-promise (2.0.1-1.1)
ES2015 Promise ponyfill
node-pinkyswear (2.2.3+dfsg-3)
very small implementation of the Promises/A+ specification
node-pirates
本虛擬套件由這些套件填實: node-babel7
node-pkg-dir (5.0.0-1)
find the root directory of a npm package
node-pkg-up (1.0.0-1.1)
Find the closest package.json file
node-platform (1.3.6-1)
platform detection lib works on nearly all JavaScript platforms
node-plugin-error (1.0.1+repack-1)
Error handling for vinyl plugins
node-plur (4.0.0-1)
Pluralize a word
node-po2json (0.4.5-1.1)
po2json for Nodejs
node-policyfile (0.0.6+ds-2)
Flash Socket Policy File Server for Node.js
node-popper.js (= 1.16.1)
本虛擬套件由這些套件填實: libjs-popper.js
node-popper.js (= 1.16.1+ds-3)
本虛擬套件由這些套件填實: libjs-popper.js
node-popperjs-babel-config (= 1.0.0)
本虛擬套件由這些套件填實: libjs-popper.js
node-popperjs-bundle (= 1.0.2)
本虛擬套件由這些套件填實: libjs-popper.js
node-popperjs-eslint-config-popper (= 1.0.0)
本虛擬套件由這些套件填實: libjs-popper.js
node-popperjs-test (= 1.0.2)
本虛擬套件由這些套件填實: libjs-popper.js
node-popperjs-test-utils (= 1.0.0)
本虛擬套件由這些套件填實: libjs-popper.js
node-posix-character-classes (1.0.0-1)
POSIX character classes for creating regular expressions
node-posix-getopt (1.2.0+20150728-4)
POSIX-style getopt()
node-postcss (8.2.1+~cs5.3.23-8)
Tool for transforming styles with JS plugins
node-postcss-load-config (2.1.0-1)
Autoload Config for PostCSS
node-postcss-load-options (1.2.0-3)
Autoload Options for PostCSS
node-postcss-load-plugins (2.3.0-3)
Autoload Plugins for PostCSS
node-postcss-modules-extract-imports (3.0.0-1)
CSS Modules transform to extract local aliases for inline imports
node-postcss-modules-local-by-default (= 4.0.0)
本虛擬套件由這些套件填實: node-css-loader
node-postcss-modules-scope (= 3.0.0)
本虛擬套件由這些套件填實: node-css-loader
node-postcss-modules-values (4.0.0-3)
pass arbitrary values between your module files
node-postcss-reporter (7.0.2-1)
Log PostCSS messages in the console
node-postcss-selector-parser (= 6.0.4)
本虛擬套件由這些套件填實: node-css-loader
node-postcss-value-parser (4.1.0-2)
Transforms css values and at-rule params into the tree
node-postgres-array (= 2.0.0)
本虛擬套件由這些套件填實: node-pg
node-postgres-bytea (= 2.0.0)
本虛擬套件由這些套件填實: node-pg
node-postgres-date (= 1.0.4)
本虛擬套件由這些套件填實: node-pg
node-postgres-interval (= 1.2.0)
本虛擬套件由這些套件填實: node-pg
node-pre-gyp (0.12.0+~cs5.6.9-1)
Native addon deployment tool for Node.js
node-preact (8.2.5-2)
React alternative with the same ES6 API
node-prelude-ls (1.2.1+dfsg-1)
functionally oriented utility library
node-prepend-http (3.0.1-1)
Prepend `http://` to humanized URLs like todomvc.com and localhost
node-preserve (0.2.0-1.1)
Protect tokens from being mutated when the string is transformed
node-pretty-bytes (5.5.0-1)
Convert bytes to a human readable string
node-pretty-format (= 26.6.2)
本虛擬套件由這些套件填實: jest
node-pretty-hrtime (1.0.3-1.1)
process.hrtime() to words
node-pretty-ms (7.0.1-1)
Convert milliseconds to a human readable string
node-prismjs (1.23.0+dfsg-1+deb11u2)
Lightweight, robust, elegant syntax highlighting
node-private (0.1.8-4)
Utility for associating truly private state with any JavaScript object
node-process (0.11.10-2.1)
process information for node.js and browsers
node-process-nextick-args (2.0.0-1)
process.nextTick but always with args
node-progress (2.0.3-1)
Flexible ascii progress bar
node-promise (8.1.0-2)
bare bones Promises/A+ implementation - Node.js module
node-promise-inflight (1.0.1-1.1)
one promise for multiple requests in flight to avoid async duplication
node-promise-retry (2.0.1-1)
Retries a function that returns a promise
node-prompts (2.4.0+~cs7.5.8-1)
Nodejs lightweight, beautiful and user-friendly interactive prompts
node-promzard (0.3.0-1.1)
Promzard provides a prompting json wizard
node-prop-types (15.7.2+~15.7.3-1)
Runtime type checking for React props and similar objects
node-proper-lockfile (4.1.1-2)
Inter-process and inter-machine lockfile utility
node-propget (1.1.0-3)
Propget is a small helper utility for finding
node-prosemirror-markdown (1.4.4-2)
ProseMirror Markdown integration
node-prosemirror-model (1.9.0-3)
ProseMirror's document model
node-prosemirror-schema-basic (1.1.2-2)
defines a basic reusable ProseMirror document schema
node-prosemirror-schema-list (1.1.4-2)
prosemirror's schema list
node-prosemirror-state (1.3.3-2)
Implements the editor state in prosemirror
node-prosemirror-test-builder (1.0.3-2)
provides helpers for building ProseMirror documents for tests
node-prosemirror-transform (1.2.8-2)
ProseMirror document transformations
node-proto-list (1.2.4-1.1)
utility for managing a prototype chain
node-proxy (1.0.2-3)
HTTP proxy written with Node.js
node-proxy-addr (2.0.6+~0.1.2-1)
remote address filter for proxied requests - Node.js module
node-proxy-from-env (1.1.0-2)
offers getProxyForUrl to get the proxy URL for a URL
node-proxyquire (2.1.3+~1.0.1+~1.0.2-11)
mocking tool to stub dependencies in Node.js
node-prr (1.0.1-1.1)
Object.defineProperty() with common defaults - Node.js module
node-pruddy-error (2.0.2-3)
Prettify given error object
node-pseudomap (1.0.2-1.1)
like ES6 `Map`, but without iterators
node-pseudorandombytes (2.0.0-1.1)
crypto.pseudoRandomBytes but for use with browserify
node-psl (1.8.0+ds-4)
Node.js domain name parser based on the Public Suffix List
node-public-encrypt (4.0.0-2)
browserify version of publicEncrypt & privateDecrypt
node-puka (1.0.1+dfsg-2)
Safely pass strings through shells - Node.js module
node-pump (3.0.0-4)
small node module that pipes streams together
node-pumpify (2.0.1-1)
combine streams into a single duplex stream by pump and duplexify
node-punycode (2.1.1-3)
Nodejs robust Punycode converter fully RFC compliant
node-q (1.5.1-3)
Node.js module for promises (CommonJS/Promises/A,B,D)
node-qrcode-generator (1.4.4+dfsg-2)
QR Code Generator implementation in JavaScript
node-qs (6.9.4+ds-1+deb11u1)
Parse, stringify query strings for Node.js
node-querystring (0.2.0-4)
Node's querystring module for all engines
node-querystring-es3 (0.2.1-2)
Node's querystring module for all engines (ES3 compat fork)
node-querystringify (2.2.0-1)
Querystringify - Small, simple but powerful query string parser
node-queue-async (1.0.7-2)
asynchronous helper library for JavaScript - NodeJS
node-quick-lru (1.1.0-2)
Simple "Least Recently Used" (LRU) cache
node-quote-stream (1.0.2-6)
transform a stream into a quoted string
node-qw (1.0.1-1.1)
Quoted word literals
node-rai (0.1.12-4)
Request-Answer-Interface for generating text based command servers (SMTP,POP)
node-rails-actioncable (= 2:6.0.3.7+dfsg-2+deb11u2)
本虛擬套件由這些套件填實: ruby-actioncable
node-rainbow (= 2.1.4+ds-4)
本虛擬套件由這些套件填實: libjs-rainbow
node-ramda (0.27.1+dfsg-1)
practical functional library for JavaScript programmers
node-random-bytes (1.0.0-1.1)
generate strong pseudo-random bytes
node-randombytes (2.1.0-1)
random bytes from browserify stand alone
node-randomfill (1.0.4-3)
Pure javascript buffer filling with random value
node-range-parser (1.2.1-1)
HTTP Range header parser - Node.js module
node-raven-js (3.22.1+dfsg-2)
JavaScript client for Sentry
node-raw-body (2.4.1-2+deb11u1)
Request body length validation supporting streams - Node.js
node-raw-loader (4.0.2-2)
raw loader module for webpack
node-rc (1.2.8-1)
find, load, flatten json or ini application configuration
node-rdf-canonize (1.2.0-1)
RDF Dataset Normalization Algorithm - Node library
node-re2 (1.15.9+~cs1.8.18-1)
Node.js bindings for RE2, a fast and safe regular expression engine
node-react (17.0.1+dfsg+~cs106.58.5-5)
Node.js library for building user interfaces
node-react-art (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-react-audio-player (0.11.0-3)
Simple React wrapper on the HTML5 audio tag
node-react-cache (= 2.0.0-alpha.0)
本虛擬套件由這些套件填實: node-react
node-react-client (= 0.1.0)
本虛擬套件由這些套件填實: node-react
node-react-debug-tools (= 0.16.0)
本虛擬套件由這些套件填實: node-react
node-react-dom (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-react-fetch (= 0.0.0)
本虛擬套件由這些套件填實: node-react
node-react-is (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-react-noop-renderer (= 16.0.0)
本虛擬套件由這些套件填實: node-react
node-react-reconciler (= 0.26.0)
本虛擬套件由這些套件填實: node-react
node-react-refresh (= 0.9.0)
本虛擬套件由這些套件填實: node-react
node-react-server (= 0.1.0)
本虛擬套件由這些套件填實: node-react
node-react-shallow-renderer (= 16.14.1)
本虛擬套件由這些套件填實: node-react
node-react-test-renderer (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-react-transport-dom-webpack (= 0.1.0)
本虛擬套件由這些套件填實: node-react
node-read (1.0.7-2)
Read user input from stdin module for Node.js
node-read-file (0.2.0-1.1)
wrapper around fs.readFile and fs.readFileSync
node-read-only-stream (2.0.0-3)
Node.js read-only stream implementation
node-read-package-json (3.0.0-1)
Read package.json for npm module for Node.js
node-read-pkg (5.2.0-1)
Read a package.json file
node-read-pkg-up (7.0.1-1)
Read the closest package.json file
node-readable-stream (3.6.0-2)
stream compatibility library for Node.js and browser
node-readdirp (3.5.0-2)
Recursive version of Node.js's fs.readdir
node-recast (0.20.4-2)
Pretty printer and syntax tree transformer for JavaScript
node-rechoir (0.7.0-1)
Node module to require any supported file as a node module
node-redent (3.0.0-1)
Strip redundant indentation and indent the string
node-redis (3.0.2+~cs5.18.1-3)
redis client library for Node.js
node-redis-commands (= 1.6.0)
本虛擬套件由這些套件填實: node-redis
node-redis-errors (= 1.2.0)
本虛擬套件由這些套件填實: node-redis
node-redis-parser (= 2.6.0)
本虛擬套件由這些套件填實: node-redis
node-redux (4.0.5-4)
predictable state container for JavaScript apps
node-regenerate (1.4.2-2)
Unicode-aware regular expression generator (Node.js module)
node-regenerate-unicode-properties (8.2.0+ds-1)
Unicode properties and values as Regenerate sets for Node.js
node-regenerator-runtime (0.13.7-1)
Nodejs runtime for regenerator-compiled generator and async functions
node-regenerator-transform (0.14.5-4)
Explode async and generator functions into a state machine
node-regex-cache (0.4.3-1.1)
Memorize the results of a call to the RegExp constructor
node-regex-not (1.0.2-1)
regex for matching everything except for the given string
node-regexp-tree (= 0.1.21)
本虛擬套件由這些套件填實: node-to-regex
node-regexpp (3.1.0-4)
regular expression parser for ECMAScript - Node.js library
node-regexpu-core (4.7.1-2)
Core functionality for regexpu's functionality in Node.js
node-registry-auth-token (3.3.1-1.1)
Get the auth token set for an npm registry (if any)
node-registry-url (5.1.0-1)
Get the set npm registry URL
node-regjsgen (0.5.2+ds-1)
Regular expression from abstract syntax trees in Node.js
node-regjsparser (0.6.6+ds-1)
Parser for JavaScript's RegExp for Node.js
node-reinterval (1.1.0-2)
reschedulable setInterval for node.js
node-remove-trailing-separator (1.1.0-1)
Removes separators from the end of the string
node-repeat-element (1.1.3-1)
create an array by repeating the given value n times
node-repeat-string (1.6.1-1)
repeat the given string n times
node-repeating (3.0.0-1.1)
Repeat a string - fast
node-repl (= 0.1.3-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-replace-ext (2.0.0-1)
Node module to replace file extension with another one
node-request (2.88.1-5)
simplified HTTP request client module for Node.js
node-request-capture-har (1.2.2-1.1)
Save all traffic as a HAR file
node-request-promise-native (= 1.0.9)
本虛擬套件由這些套件填實: node-jsdom
node-require-all (3.0.0-1)
Require all Node.js module files within a directory
node-require-dir (1.2.0-1)
helper to require() directories
node-require-directory (2.1.1-1.1)
require all files in a directory
node-require-from-string (2.0.2-1)
Require module from string
node-require-inject (1.4.4-1)
simple mock injector
node-require-main-filename (2.0.0-1)
shim for require.main.filename() that works in as many environments as possible
node-require-package-name (= 2.0.1)
本虛擬套件由這些套件填實: node-babel-plugin-lodash
node-require-relative (0.8.7-3)
Relative require module import for Node.js
node-requirejs (2.3.6+ds-1)
JavaScript file and module loader - NodeJS
node-requirejs-text (2.0.16-2)
RequireJS/AMD loader plugin for text resources
node-requires-port (1.0.0-2)
checks if a protocol requires a certain port number to be added to an URL
node-reserved (0.1.2+20140511-4)
List of JavaScript reserved words (Node.js module)
node-resolve (1.19.0+~cs5.20.8-2)
Synchronous/Asynchronous require.resolve() algorithm
node-resolve-alpn (= 1.0.0)
本虛擬套件由這些套件填實: node-got
node-resolve-cwd (2.0.0-2)
Resolve the path of a module from current directory
node-resolve-dir (1.0.1-2.1)
Resolve a directory
node-resolve-from (5.0.0+~3.1.0+~3.3.0+~2.0.0-1)
Resolve the path of a module from a given path
node-resolve-pkg (2.0.0-1)
Resolve the path of a package regardless of it having an entry point
node-response-time (2.3.2-1)
X-Response-Time header manager for HTTP response - Node.js module
node-responselike (= 2.0.0)
本虛擬套件由這些套件填實: node-got
node-restore-cursor (3.1.0-1)
Gracefully restore the CLI cursor on exit
node-resumer (0.0.0-3)
through stream that starts paused and resumes on the next tick
node-retape (0.0.3-4)
CommonJS test to tape adapter
node-retry (0.12.0-1)
Retry strategies for failed operations module for Node.js
node-reusify (= 1.0.3)
本虛擬套件由這些套件填實: node-globby
node-rimraf (3.0.2-1)
Deep deletion (like rm -rf) module for Node.js
node-ripemd160 (2.0.2-4)
Pure javascript implementation of ripemd160 hash function
node-rollup (= 2.38.4)
本虛擬套件由這些套件填實: rollup
node-rollup-plugin-alias (3.1.1.repack-2)
Resolves aliases with Rollup
node-rollup-plugin-babel (5.2.2+repack-2)
Seamless integration between Rollup and Babel
node-rollup-plugin-buble (0.21.3+repack+~0.19.8-1)
Rollup plugin to convert ES2015 to more common javascript using buble
node-rollup-plugin-commonjs (17.0.0+repack-2)
Node.js plugin for rollup to convert CommonJS modules to ES6
node-rollup-plugin-inject (4.0.2+~3.0.2-1)
Scan modules for global variables and inject import statements
node-rollup-plugin-json (4.1.0+repack+~4.0.0-2)
rollup plugin to convert JSON files to ES6 modules
node-rollup-plugin-node-polyfills (0.2.1+dfsg-5)
node specific features for browsers
node-rollup-plugin-node-resolve (11.0.1-2)
rollup plugin to bundle third-party dependencies
node-rollup-plugin-replace (2.3.4+repack+~2.2.0-1)
Rollup plugin to make string substitutions while bundling
node-rollup-plugin-sourcemaps (0.6.3-5)
Rollup plugin for grabbing source maps from sourceMappingURLs
node-rollup-plugin-string (3.0.0-6)
Nodejs code to convert text files to modules
node-rollup-plugin-terser (7.0.2-5)
Rollup plugin to minify generated es bundle
node-rollup-plugin-typescript (6.0.0+~1.0.1-3)
Seamless integration between Rollup and TypeScript
node-rollup-pluginutils (4.1.0+~2.8.2-3)
Base functionality for rollup plugins
node-route-recognizer (0.3.4+git.20200411.3de84ec-4)
library that matches paths against registered routes
node-rsvp (= 4.8.5)
本虛擬套件由這些套件填實: node-sane
node-rtcpeerconnection-shim
本虛擬套件由這些套件填實: libjs-rtcpeerconnection-shim
node-run-async (2.4.1-1)
Run function synchronously or asynchronously
node-run-parallel (= 1.1.10)
本虛擬套件由這些套件填實: node-globby
node-run-queue (2.0.0-1)
promise based dynamic priority queue runner
node-rw (1.3.3-2)
provide file like interface to stdin and stdout
node-rx (4.1.0+dfsg1-1.1)
Reactive Extensions for JavaScript, Node.js module
node-safe-buffer (5.2.1+~cs2.1.2-1)
Safer Node.js Buffer API
node-safe-json-stringify (= 1.2.0)
本虛擬套件由這些套件填實: node-bunyan
node-safe-regex (= 2.1.1)
本虛擬套件由這些套件填實: node-to-regex
node-safer-buffer (= 2.1.2)
本虛擬套件由這些套件填實: node-safe-buffer
node-sane (4.1.0+~cs18.16.37-1)
Nodejs fast, small, and reliable file system watcher
node-sax (1.2.4+~1.2.1-2)
event-based streaming XML parser - Node.js module
node-saxes (= 5.0.1)
本虛擬套件由這些套件填實: node-jsdom
node-scheduler (= 0.20.0)
本虛擬套件由這些套件填實: node-react
node-schema-utils (3.0.0-3)
Webpack Schema Validation Utilities
node-schlock (0.2.1-1.1)
Poorly-crafted in-process lock broker
node-sdp
本虛擬套件由這些套件填實: libjs-sdp
node-sdp-jingle-json (3.1.0-2)
parser/serializer for SDP to JSON
node-sdp-transform (= 2.14.1~2.12.0+~2.14.1+~1.0.1-1)
本虛擬套件由這些套件填實: libjs-sdp
node-security (1.0.0-2)
Safely encoding and decoding methods for Node.js
node-seedrandom (2.4.3+dfsg-1.1)
Seeded random number generator for Javascript
node-select2 (4.0.13+dfsg1-4)
jQuery based replacement for select boxes (Node.js)
node-sellside-emitter (1.2.1-3)
Event emitter for node.js projects
node-semver (7.3.4-1)
Semantic Versioning for Node.js
node-semver-diff (3.1.1-1)
get the diff type of two semver versions
node-send (0.17.1-2)
Static file server with ranges and negotiation support for Node.js
node-seq (0.3.5-2)
Chainable asynchronous flow control
node-sequencify (0.0.7-1.1)
module for sequencing tasks and dependencies
node-serialize-javascript (5.0.1-2)
serialize JavaScript to a superset of JSON
node-serve-favicon (2.5.0-3)
favicon serving middleware with caching - Node.js module
node-serve-index (1.9.1-4)
directory listings middleware for Node.js
node-serve-static (1.14.1-3)
static files server module for Node.js
node-set-blocking (2.0.0-1.1)
set blocking stdio and stderr
node-set-getter (0.1.0-1.1)
Create nested getter properties and any intermediary dot notation
node-set-immediate-shim (2.0.0-1)
Simple setImmediate shim
node-set-value (3.0.1-2+deb11u1)
create nested values and any intermediaries using dot notation
node-setimmediate (1.0.5-5)
shim for the setImmediate efficient script yielding API
node-setprototypeof (1.2.0-1)
Small polyfill for Object.setprototypeof
node-sha (3.0.0-1)
Check and get file or stream hashes - module for Node.js
node-sha.js (2.4.11-2)
Streamable SHA hashes in pure javascript
node-shasum (1.0.2-3)
Pure javascript function that return the sha1sum
node-shebang-command (1.2.0-1.1)
Get the command from a shebang
node-shebang-regex (3.0.0-1)
Regular expression for matching a shebang line
node-shelljs (0.8.3-1)
Portable Unix shell commands for Node.js
node-shiny-server-client (1.0.0+git20180820.eba5e90+dfsg-4)
browser library for connecting to Shiny Server
node-should (13.2.3~dfsg-5)
behavior driven test assertions library - Node.js module
node-sigmund (1.0.1-1)
Quick and dirty signatures for Objects module for Node.js
node-signal-exit (3.0.3-1)
Fire an event no matter how a process exits
node-signedsource (= 2.0.0)
本虛擬套件由這些套件填實: node-fbjs
node-simple-is (0.2.0+20130421-3.1)
Maximally minimal type-testing library (Node.js module)
node-simple-swizzle (0.2.2-3)
Simply swizzle your arguments
node-sindresorhus-is (= 4.0.0)
本虛擬套件由這些套件填實: node-got
node-sink-test (1.0.2-4)
Asynchronous JavaScript Unit Testing Framework
node-sinon (9.2.4+ds+~cs58.20.26-1)
JavaScript test spies, stubs and mocks
node-sinon-chai (3.5.0-2)
Extends Chai with assertions for the Sinon.JS mocking framework
node-sinonjs-commons (= 1.8.2)
本虛擬套件由這些套件填實: node-sinon
node-sinonjs-fake-timers (= 7.0.2)
本虛擬套件由這些套件填實: node-sinon
node-sinonjs-formatio (= 6.0.0)
本虛擬套件由這些套件填實: node-sinon
node-sinonjs-referee (= 7.0.0)
本虛擬套件由這些套件填實: node-sinon
node-sinonjs-referee-sinon (= 8.0.1)
本虛擬套件由這些套件填實: node-sinon
node-sinonjs-samsam (= 5.3.1)
本虛擬套件由這些套件填實: node-sinon
node-sinonjs-text-encoding (= 0.7.1)
本虛擬套件由這些套件填實: node-sinon
node-sisteransi (= 1.0.5)
本虛擬套件由這些套件填實: node-prompts
node-sizzle
本虛擬套件由這些套件填實: libjs-sizzle
node-slash (3.0.0-1)
Node.js library to convert Windows backslash paths to slash paths
node-slice-ansi (1.0.0-1)
Slice a string with ANSI escape codes
node-slide (1.1.6-2)
Simple chain and asyncMap flow control module for Node.js
node-smash (0.0.15-3)
concatenate files together using import statements
node-snapdragon (0.12.0+repack-4)
Fast, pluggable and easy-to-use parser-renderer factory
node-snapdragon-capture (= 0.2.0)
本虛擬套件由這些套件填實: node-snapdragon
node-snapdragon-capture-set (= 1.0.1)
本虛擬套件由這些套件填實: node-snapdragon
node-snapdragon-node (3.0.0-2)
Snapdragon utility to create a new AST node in some node
node-snapdragon-token (4.0.0-1.1)
Create a snapdragon token
node-snapdragon-util (5.0.1-2)
Utilities for the snapdragon parser/compiler
node-sntp (4.0.0-1)
SNTP client
node-socket.io-parser (4.0.2-1)
socket.io protocol parser
node-solid-keychain (0.1.3-2)
keychain for use with Web Cryptography API in Node.js
node-solid-rest (1.1.3-2)
client-side multi-backend API for Solid requests - nodejs lib
node-sort-keys (2.0.0-2)
Sort the keys of an object
node-sorted-object (2.0.1-1.1)
Returns a copy of an object with its keys sorted
node-source-list-map (2.0.1+dfsg-1)
Fast line to line SourceMap generator
node-source-map (0.7.0++dfsg2+really.0.6.1-7)
Mozilla source map generator and consumer - Node.js module
node-source-map-resolve (0.6.0+~cs2.7.2-2)
Node module to resolve source map and/or sources for a generated file
node-source-map-support (0.5.19+ds+~0.5.3-1)
Fixes stack traces for files with source maps
node-source-map-url (= 0.4.0)
本虛擬套件由這些套件填實: node-source-map-resolve
node-sourcemap-codec (1.4.8-3)
Encode/decode sourcemap mappings
node-sparkles (1.0.1-1)
Namespaced global event emitter
node-spdx-correct (3.1.1-1)
correct invalid SPDX identifiers
node-spdx-exceptions (2.3.0-1)
list of SPDX standard license exceptions
node-spdx-expression-parse (3.0.1-1)
parse SPDX license expressions
node-spdx-license-ids (3.0.7-1)
List of SPDX license identifiers
node-sphericalmercator (1.0.1-2.1)
Spherical Mercator projection support for NodeJS
node-split (1.0.1-1)
split a Text Stream into a Line Stream
node-split-string (6.1.0-3)
node.js module to split a string on a character
node-split2 (3.2.2-2)
split a Text Stream into a Line Stream, using Stream 3
node-sprintf-js (1.1.2+ds1-2)
Node.js Pure JavaScript sprintf implementation
node-sqlite3 (5.0.0+ds1-1+deb11u2)
asynchronous, non-blocking SQLite3 bindings for Node.js
node-srs (1.2.0+~2.6.2-1)
spatial reference library for Node.js
node-sshpk (1.16.1+dfsg-2)
library for finding and using SSH public keys
node-ssri (8.0.1-2)
Utility for parsing, serializing, generating and verifying ssri metadata
node-stable (0.1.8-2)
stable array sort, as Array#sort() is not guaranteed to be stable
node-stack-utils (2.0.3-1)
Captures and cleans stack traces
node-standard-error (1.1.0~1.1.0+~2.0.1~ds+~6.1.0+~0~20180821-1)
subclassable standard errors - Node.js library
node-standard-http-error (2.0.1~1.1.0+~2.0.1~ds+~6.1.0+~0~20180821-1)
subclassable standard HTTP errors - Node.js library
node-starttls (1.0.1-2.1)
upgrade a regular `net.Stream` connection to a secure `tls` connection
node-static (0.7.9-1)
RFC2616 compliant HTTP static-file server module with caching
node-static-eval (2.1.0-1)
evaluate statically-analyzable javascript expressions
node-static-extend (0.1.2-3)
Adds a static `extend` method to a class, to simplify inheritance
node-static-module (2.1.1-1)
convert module usage to inline expressions
node-stats-webpack-plugin (0.7.0-2)
Write the stats of a build to a file
node-statuses (1.5.0-4)
HTTP status utility
node-stealthy-require (1.1.1-4)
require function that bypasses the require cache.
node-step (0.0.5+20111229-1.1)
simple control-flow library for Node
node-stream-array (1.1.2-2)
Pipe an Array through Node.js streams
node-stream-assert (2.0.3-1.1)
Assertion library for streams
node-stream-browserify (2.0.2-3)
stream module from Node.js for browsers
node-stream-combiner2 (1.1.1-2)
combine stream using stream3 Node.js API
node-stream-consume (0.1.1-1)
consume a stream to ensure it keeps flowing
node-stream-each (1.2.3-1)
Iterate all the data in a stream
node-stream-http (3.1.1+dfsg-1)
streaming http in browser context
node-stream-iterate (1.2.0-4)
Iterate through the values in a stream
node-stream-shift (1.0.1-1)
returns the next buffer/object in a stream's readable queue
node-stream-splicer (2.0.1-1)
streaming pipeline with a mutable configuration
node-stream-to-observable (0.2.0+repack-1)
Convert Node Streams into ECMAScript-Observables
node-streamtest (2.0.0-1)
Streams test library
node-strict-uri-encode (2.0.0-1.1)
stricter URI encode adhering to RFC 3986
node-string-decoder (1.3.0-2)
string_decoder module from Node core for browsers
node-string-length (= 4.0.1-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-string-width (4.2.0-1)
Get the visual width of a string
node-string.prototype.codepointat (1.0.0-1)
String.prototype.codePointAt polyfill based on ES6
node-stringmap (0.2.2+20130926-5)
fast and robust stringmap (Node.js module)
node-stringstream (1.0.0-1)
Encode and decode streams into string streams
node-strip-ansi (6.0.0-2)
Strip ANSI escape codes
node-strip-bom (4.0.0-1)
Strip UTF-8 byte order mark (BOM) from a string
node-strip-bom-stream (4.0.0-1)
Strip UTF-8 byte order mark (BOM) from a stream
node-strip-eof (1.0.0-2)
strip CR and LF characters from a string/buffer
node-strip-filename-increment
本虛擬套件由這些套件填實: node-write
node-strip-final-newline (= 2.0.0)
本虛擬套件由這些套件填實: node-execa
node-strip-indent (3.0.0+~1.0.1-1)
Strip leading whitespace from each line in a string
node-strip-json-comments (3.1.1-1)
Node.js module to strip comments from JSON
node-style-loader (2.0.0-2)
style loader module for webpack
node-stylus (0.54.8-1)
Robust, expressive, and feature-rich CSS superset - Node.js module
node-subarg (1.0.0-2)
parse arguments with recursive contexts
node-superagent (6.1.0-4)
HTTP client request with chainable API - Node.js module
node-supertest (6.1.3-1)
superagent driven library for testing HTTP servers
node-supports-color (8.1.0+~7.2.0-1)
Detect whether a terminal supports color in Node.js
node-supports-hyperlinks (= 2.1.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-symbol-observable (2.0.3-1)
Symbol.observable ponyfill
node-symbol-tree (= 3.2.4)
本虛擬套件由這些套件填實: node-jsdom
node-syntax-error (1.4.0-1)
detect and report syntax errors for javascript source
node-szmarczak-http-timer (= 4.0.5)
本虛擬套件由這些套件填實: node-got
node-tacks (1.3.0-1)
Generate fixture modules from folders
node-tap (12.0.1+ds-3)
Test-Anything-Protocol module for Node.js
node-tap-mocha-reporter (3.0.7+ds-1)
Format a TAP stream using Mocha's set of reporters
node-tap-parser (7.0.0+ds1-5)
Test anything protocol stream parser - Node.js module
node-tapable (1.0.0-4)
just a little module for plugins
node-tape (4.11.0-1)
tap-producing test harness for node and browsers
node-tar (6.0.5+ds1+~cs11.3.9-1+deb11u2)
read and write portable tar archives module for Node.js
node-tar-fs (2.1.1-2)
Node.js module that provides filesystem-like access to tar files
node-tar-stream (2.1.4+~cs3.1.0-1)
streaming tar parser and generator - Node.js module
node-telegram-bot-api (2.0.1-1)
node.js module for Telegram Bot API
node-temp (0.9.4-1)
Temporary files, directories, and streams for Node.js
node-temporary (1.1.0-1)
Easily create temporary files and directories
node-term-size (1.2.0+dfsg-2)
Reliably get the terminal window size
node-terminal-link (= 2.1.1-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-terser (4.1.2-8)
parser/mangler/compressor for ES6+ - Node.js library
node-test (0.6.0-9)
(Un)CommonJS test runner
node-test-exclude (= 6.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-text-encoding (0.7.0-3)
Polyfill for the Encoding Living Standard's API (Node.js module)
node-text-table (0.2.0-2)
borderless text tables with alignment
node-thenby (1.3.4+git20200720.0fd165a+ds-2)
library that helps sorting arrays on multiple keys
node-thenify (3.3.1-1)
Promisify a callback-based function
node-thenify-all (1.6.0-1.1)
Promisifies all the selected functions in an object
node-three (= 111+dfsg1-2)
本虛擬套件由這些套件填實: libjs-three
node-three-orbit-controls (82.1.0-3)
orbit controls for ThreeJS
node-three-stl-loader (1.0.6-3)
@aleeper's three.js stl-loader as a node module
node-throat (= 5.0.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-through (2.3.8+~cs0.0.30-1)
simplified stream construction
node-through2 (4.0.2-1)
Make a stream.Transform out of a function - Node.js module
node-through2-filter (3.0.0-1)
create an Array.prototype.filter analog for streams
node-tildify (2.0.0-1)
Convert an absolute path to a tilde path
node-tilejson (0.1.2-1.1)
tile source backend for online tile sources
node-tilelive (4.5.0-1.1)
Interface for tile backends modules for Node.js
node-time-stamp (2.2.0-1)
get a formatted timestamp
node-time-zone (1.0.0-2)
Pretty time zone: `+2` or `-9:30`
node-timeago.js (4.0.2-3)
format datetime with *** time ago statement
node-timed-out (5.0.0-1)
Emit `ETIMEDOUT` or `ESOCKETTIMEDOUT` when ClientRequest is hanged
node-timers-browserify (2.0.11+dfsg-1)
timers module for browserify
node-tinycolor (0.0.1-2)
No-fuzz, barebone, zero muppetry color module for Node.js
node-tippex (3.0.0+ds-6)
Erase comments and strings from JavaScript code for Node.js
node-tmatch (5.0.0-1)
Match an object against a "pattern" object - Node.js module
node-tmp (0.2.1+dfsg-1)
Temporary file and directory creator for Node.js
node-tmpl (= 1.0.4)
本虛擬套件由這些套件填實: node-sane
node-to-absolute-glob (2.0.2-1)
Make a glob pattern absolute
node-to-arraybuffer (1.0.1-2)
Get an ArrayBuffer from a Buffer as fast as possible
node-to-fast-properties (3.0.1-1)
Force V8 to use fast properties for an object
node-to-object-path (0.3.0-1.1)
Create an object path from a list of strings
node-to-regex (3.0.2+~cs2.2.22-1)
regex generator from string or array of strings
node-to-regex-range (5.0.1-2)
returns a regex-compatible range from two numbers, min and max
node-toidentifier (1.0.0-2)
Convert a string of words to a JavaScript identifier
node-tough-cookie (4.0.0-2+deb11u1)
RFC6265 Cookies and Cookie Jar for node.js
node-tr46 (= 2.0.2)
本虛擬套件由這些套件填實: node-jsdom
node-transformers (3.1.0-1)
String and data transformations using templates and compilers
node-traverse (0.6.6-2)
recursively traverse objects in Node.js
node-trim-newlines (3.0.0-1+deb11u1)
Trim newlines from start and/or end of a string
node-trivial-deferred (= 1.0.1)
本虛擬套件由這些套件填實: node-tap
node-trust-json-document (0.1.4~dfsg-8)
JSON Document manipulation library - Node.js library
node-trust-keyto (0.3.7-1)
utility for translating cryptographic keys between representations
node-trust-webcrypto (0.9.2-1)
WebCrypto API for Node.js
node-ts-jest (= 26.4.4)
本虛擬套件由這些套件填實: ts-jest
node-ts-node (= 9.1.1)
本虛擬套件由這些套件填實: ts-node
node-tsame (= 2.0.0)
本虛擬套件由這些套件填實: node-tap
node-tslib (2.1.0-2)
Implementation of tslib for javascript
node-tty-browserify (0.0.1-1)
tty library from node core for browsers
node-tunein (1.0.1-2)
nodejs wrapper for tunein web radios
node-tunnel-agent (0.6.1-2)
HTTP proxy tunneling agent module for Node.js
node-turbolinks (5.2.0+dfsg-2)
Turbolinks makes navigating your web application faster
node-tweetnacl (1.0.3+dfsg-1)
Port of TweetNaCl cryptographic library to JavaScript
node-type (= 2.1.0)
本虛擬套件由這些套件填實: node-es6-symbol
node-type-check (0.4.0+dfsg-1)
allows you to check the types of JavaScript values at runtime
node-type-detect (4.0.8-2)
Improved typeof detection for node.js and the browser
node-type-fest (= 0.18.0)
本虛擬套件由這些套件填實: node-read-pkg
node-type-is (1.6.19-1)
infer the content type from request
node-typedarray (0.0.6-1.1)
TypedArray polyfill for old browsers
node-typedarray-to-buffer (4.0.0-1)
JavaScript utility converting TypedArray to buffer without copy
node-types-babel-code-frame (= 7.0.2)
本虛擬套件由這些套件填實: node-babel7
node-types-babel-core (= 7.1.12)
本虛擬套件由這些套件填實: node-babel7
node-types-babel-generator (= 7.6.2)
本虛擬套件由這些套件填實: node-babel7
node-types-babel-preset-env (= 7.9.1)
本虛擬套件由這些套件填實: node-babel7
node-types-babel-standalone (= 7.1.3-1)
本虛擬套件由這些套件填實: node-babel7-standalone
node-types-babel-template (= 7.4.0)
本虛擬套件由這些套件填實: node-babel7
node-types-babel-traverse (= 7.11.0)
本虛擬套件由這些套件填實: node-babel7
node-types-babel-types (= 7.0.9)
本虛擬套件由這些套件填實: node-babel7
node-types-babylon (= 6.16.5)
本虛擬套件由這些套件填實: node-babylon
node-types-backbone (= 1.4.5~1.4.0~dfsg+~1.4.5-2)
本虛擬套件由這些套件填實: node-backbone
node-types-buble (= 0.19.2)
本虛擬套件由這些套件填實: node-buble
node-types-buffer (= 6.0.3-1)
本虛擬套件由這些套件填實: node-buffer
node-types-buffer-from (= 1.1.0)
本虛擬套件由這些套件填實: ts-jest
node-types-cacheable-request (= 6.0.1)
本虛擬套件由這些套件填實: node-got
node-types-ci-info (= 2.0.0)
本虛擬套件由這些套件填實: node-ci-info
node-types-co (= 4.6.2)
本虛擬套件由這些套件填實: node-co
node-types-codemirror (= 0.0.106)
本虛擬套件由這些套件填實: libjs-codemirror
node-types-color-name (= 1.1.1)
本虛擬套件由這些套件填實: node-color-name
node-types-color-string (= 1.5.0)
本虛擬套件由這些套件填實: node-color-string
node-types-component-emitter (= 1.2.10)
本虛擬套件由這些套件填實: node-component-emitter
node-types-convert-source-map (= 1.5.1)
本虛擬套件由這些套件填實: node-convert-source-map
node-types-create-subscription (= 16.4.2)
本虛擬套件由這些套件填實: node-react
node-types-dargs (= 7.0.0-1)
本虛擬套件由這些套件填實: node-dargs
node-types-debug (= 4.1.5)
本虛擬套件由這些套件填實: node-debug
node-types-dedent (= 0.7.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-types-es-abstract (= 1.17.2)
本虛擬套件由這些套件填實: node-es-abstract
node-types-es-to-primitive (= 1.2.4)
本虛擬套件由這些套件填實: node-debbundle-es-to-primitive
node-types-eslint (= 4.16.8~5.16.0~dfsg+~4.16.8-5)
本虛擬套件由這些套件填實: eslint
node-types-esprima (= 4.0.2)
本虛擬套件由這些套件填實: node-esprima
node-types-estree (= 0.0.45~2.0.0+~0.0.45-1)
本虛擬套件由這些套件填實: node-eslint-visitor-keys
node-types-exit (= 0.1.31)
本虛擬套件由這些套件填實: node-exit
node-types-expect.js (= 0.3.29)
本虛擬套件由這些套件填實: node-expect.js
node-types-extsprintf (= 1.4.1)
本虛擬套件由這些套件填實: node-extsprintf
node-types-fancy-log (= 1.3.1)
本虛擬套件由這些套件填實: node-fancy-log
node-types-fast-json-stable-stringify (= 2.0.0)
本虛擬套件由這些套件填實: node-json-stable-stringify
node-types-fb-watchman (= 2.0.0)
本虛擬套件由這些套件填實: node-sane
node-types-fs-extra (= 9.0.6)
本虛擬套件由這些套件填實: node-fs-extra
node-types-glob (= 7.1.3)
本虛擬套件由這些套件填實: node-glob
node-types-glob-stream (= 6.1.0)
本虛擬套件由這些套件填實: node-glob-stream
node-types-graceful-fs (= 4.1.4)
本虛擬套件由這些套件填實: node-graceful-fs
node-types-handlebars (= 4.1.0)
本虛擬套件由這些套件填實: handlebars
node-types-has-ansi (= 3.0.0)
本虛擬套件由這些套件填實: node-has-ansi
node-types-highlight.js (= 9.12.4)
本虛擬套件由這些套件填實: node-highlight.js
node-types-http-cache-semantics (= 4.0.0)
本虛擬套件由這些套件填實: node-got
node-types-invariant (= 2.2.34)
本虛擬套件由這些套件填實: node-invariant
node-types-is-ci (= 2.0.0-1)
本虛擬套件由這些套件填實: node-jest-debbundle
node-types-is-dotfile (= 2.0.0)
本虛擬套件由這些套件填實: node-is-dotfile
node-types-is-finite (= 1.0.0)
本虛擬套件由這些套件填實: node-is-finite
node-types-is-object (= 1.0.1)
本虛擬套件由這些套件填實: node-is-object
node-types-istanbul (= 0.4.30)
本虛擬套件由這些套件填實: node-istanbul
node-types-istanbul-lib-coverage (= 2.0.3)
本虛擬套件由這些套件填實: node-istanbul
node-types-istanbul-lib-instrument (= 1.7.4)
本虛擬套件由這些套件填實: node-istanbul
node-types-istanbul-lib-report (= 3.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-types-istanbul-lib-source-maps (= 4.0.1)
本虛擬套件由這些套件填實: node-istanbul
node-types-istanbul-reports (= 3.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-types-jest (= 26.0.20)
本虛擬套件由這些套件填實: jest
node-types-jquery (= 3.5.5)
本虛擬套件由這些套件填實: node-jquery
node-types-js-yaml (= 3.12.6)
本虛擬套件由這些套件填實: node-js-yaml
node-types-jsdom (= 16.2.5)
本虛擬套件由這些套件填實: node-jsdom
node-types-json-schema (= 7.0.6~0.3.0+~7.0.6-1+deb11u1)
本虛擬套件由這些套件填實: node-json-schema
node-types-json-stable-stringify (= 1.0.32)
本虛擬套件由這些套件填實: node-json-stable-stringify
node-types-keyv (= 3.1.1)
本虛擬套件由這些套件填實: node-got
node-types-lazy-property (= 1.0.0)
本虛擬套件由這些套件填實: node-lazy-property
node-types-lodash (= 4.17.21+dfsg+~cs8.31.173-1)
本虛擬套件由這些套件填實: node-lodash
node-types-marked (= 0.7.4)
本虛擬套件由這些套件填實: node-marked
node-types-merge-stream (= 1.1.2)
本虛擬套件由這些套件填實: node-merge-stream
node-types-micromatch (= 4.0.1)
本虛擬套件由這些套件填實: node-micromatch
node-types-mime-db (= 1.43.0)
本虛擬套件由這些套件填實: node-mime
node-types-mime-types (= 2.1.0)
本虛擬套件由這些套件填實: node-mime-types
node-types-minimatch (= 3.0.3)
本虛擬套件由這些套件填實: node-minimatch
node-types-minimist (= 1.2.1)
本虛擬套件由這些套件填實: node-minimist
node-types-mkdirp (= 1.0.1)
本虛擬套件由這些套件填實: node-mkdirp
node-types-mocha (= 8.2.0)
本虛擬套件由這些套件填實: mocha
node-types-ms (= 0.7.31)
本虛擬套件由這些套件填實: node-ms
node-types-natural-compare (= 1.4.0)
本虛擬套件由這些套件填實: jest
node-types-node (= 12.20.55~12.22.12~dfsg-1~deb11u4)
本虛擬套件由這些套件填實: nodejs
node-types-normalize-package-data (= 2.4.0)
本虛擬套件由這些套件填實: node-normalize-package-data
node-types-object-inspect (= 1.8.0)
本虛擬套件由這些套件填實: node-object-inspect
node-types-original (= 1.0.0)
本虛擬套件由這些套件填實: node-original
node-types-parse-json (= 4.0.0)
本虛擬套件由這些套件填實: node-parse-json
node-types-parse5 (= 5.0.3)
本虛擬套件由這些套件填實: node-jsdom
node-types-pbkdf2 (= 3.1.0)
本虛擬套件由這些套件填實: node-pbkdf2
node-types-prompts (= 2.4.0)
本虛擬套件由這些套件填實: node-prompts
node-types-prop-types (= 15.7.3)
本虛擬套件由這些套件填實: node-prop-types
node-types-react (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-types-react-cache (= 2.0.1)
本虛擬套件由這些套件填實: node-react
node-types-react-devtools (= 3.6.0)
本虛擬套件由這些套件填實: node-react
node-types-react-dom (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-types-react-is (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-types-react-reconciler (= 0.18.0)
本虛擬套件由這些套件填實: node-react
node-types-react-test-renderer (= 17.0.0)
本虛擬套件由這些套件填實: node-react
node-types-replace-ext (= 2.0.0)
本虛擬套件由這些套件填實: node-replace-ext
node-types-requirejs
本虛擬套件由這些套件填實: node-requirejs
node-types-resolve (= 1.17.1)
本虛擬套件由這些套件填實: node-resolve
node-types-responselike (= 1.0.0)
本虛擬套件由這些套件填實: node-got
node-types-rimraf (= 3.0.0)
本虛擬套件由這些套件填實: node-rimraf
node-types-sane (= 2.0.0)
本虛擬套件由這些套件填實: node-sane
node-types-sax
本虛擬套件由這些套件填實: node-sax
node-types-scheduler (= 0.16.1)
本虛擬套件由這些套件填實: node-react
node-types-semver (= 7.3.4)
本虛擬套件由這些套件填實: node-semver
node-types-sinon (= 9.0.10)
本虛擬套件由這些套件填實: node-sinon
node-types-sinonjs-fake-timers (= 6.0.2)
本虛擬套件由這些套件填實: node-sinon
node-types-sizzle
本虛擬套件由這些套件填實: libjs-sizzle
node-types-source-map (= 0.7.0++dfsg2+really.0.6.1-7)
本虛擬套件由這些套件填實: node-source-map
node-types-source-map-support (= 0.5.3)
本虛擬套件由這些套件填實: node-source-map-support
node-types-stack-utils (= 2.0.0)
本虛擬套件由這些套件填實: node-stack-utils
node-types-supports-color (= 7.2.0)
本虛擬套件由這些套件填實: node-supports-color
node-types-tar (= 4.0.4)
本虛擬套件由這些套件填實: node-tar
node-types-tar-stream (= 2.1.0)
本虛擬套件由這些套件填實: node-tar-stream
node-types-tern (= 0.23.3)
本虛擬套件由這些套件填實: libjs-codemirror
node-types-through (= 0.0.30)
本虛擬套件由這些套件填實: node-through
node-types-tough-cookie (= 4.0.0)
本虛擬套件由這些套件填實: node-tough-cookie
node-types-url-parse (= 1.4.3)
本虛擬套件由這些套件填實: node-url-parse
node-types-use-subscription (= 1.0.0)
本虛擬套件由這些套件填實: node-react
node-types-uuid (= 8.3.0~8.3.2+~8.3.0-4)
本虛擬套件由這些套件填實: node-uuid
node-types-vinyl (= 2.0.4)
本虛擬套件由這些套件填實: node-vinyl
node-types-which (= 1.3.2)
本虛擬套件由這些套件填實: node-which
node-types-write-file-atomic (= 3.0.1)
本虛擬套件由這些套件填實: node-write-file-atomic
node-types-yargs (= 15.0.9)
本虛擬套件由這些套件填實: node-yargs
node-types-yargs-parser (= 15.0.0)
本虛擬套件由這些套件填實: node-yargs-parser
node-typescript (4.1.3-1)
TypeScript is a language for application scale JavaScript development
node-typescript-types (20210110-3)
Transitional package
node-ua-parser-js (0.7.24+ds-1)
Lightweight JavaScript-based user-agent string parser
node-uglify (2.8.29-8)
JavaScript parser, mangler/compressor and beautifier toolkit
node-uglify-js (3.12.8-1)
JavaScript parser, mangler/compressor and beautifier - Nodejs library
node-uglify-save-license (0.4.1-1.1)
License detector for UglifyJS
node-uglifyjs-webpack-plugin (1.3.0-9)
UglifyJS v3 plugin for webpack
node-uid-number (0.0.6-2)
Convert a username/group name to a uid/gid number
node-uid-safe (2.1.5-1)
UID generator for cookie and URL
node-ultron (1.1.1-2.1)
event emitter enhancement for node.js
node-umd (3.0.3+ds-3)
Universal Module Definition for use in automated build systems
node-unbzip2-stream (1.4.2-3)
streaming unbzip2 implementation in pure javascript for node and browsers
node-unc-path-regex (0.1.2-1.1)
regex to test if a file path is windows UNC file path
node-undeclared-identifiers (= 1.1.3)
本虛擬套件由這些套件填實: node-debbundle-insert-module-globals
node-underscore (1.9.1~dfsg-3)
JavaScript's functional programming helper library - NodeJS
node-underscore.string (3.3.5+dfsg-1)
String manipulation extensions - node module
node-ungap-promise-all-settled (= 1.1.2)
本虛擬套件由這些套件填實: mocha
node-unicode-13.0.0 (0~20200315+gitfc57d75a-5)
Unicode 13.0.0 data for Node.js
node-unicode-canonical-property-names-ecmascript (1.0.4-3)
Unicode property names supported in ES RegExp in Node.js
node-unicode-lastest (= 0~20200315+gitfc57d75a-5)
本虛擬套件由這些套件填實: node-unicode-13.0.0
node-unicode-loose-match (2.5.0-1.1)
Unicode loose matching implementation for Node.js
node-unicode-match-property-ecmascript (1.0.4-1.1)
Match a Unicode property to its canonical name for Node.js
node-unicode-match-property-value-ecmascript (1.2.0+ds-1)
Match a Unicode property value to its canonical version in Node.js
node-unicode-property-aliases (1.5.0+ds-2)
Unicode property alias mappings in Javascript format for Node.js
node-unicode-property-aliases-ecmascript (1.1.0+ds-2)
Unicode property aliases mapping for property names in Node.js
node-unicode-property-value-aliases (3.5.0+ds-2)
Unicode property value alias mappings for Node.js
node-unicode-property-value-aliases-ecmascript (1.1.0+ds-2)
Unicode aliases in ECMAScript regular expressions for Node.js
node-union-value (2.0.1-2)
set an array of unique values as the property of an object
node-uniq (1.0.1-2)
Node.js module to remove duplicates from a sorted array in place
node-uniqid (5.2.0-1)
Unique ID Generator
node-uniqs (2.0.0-2)
Tiny utility to create unions and de-duplicated lists
node-unique-filename (1.1.1+ds-1)
unique filename for use in temporary directories or caches
node-unique-stream (2.3.1-1)
node.js through stream that emits a unique stream of objects based on criteria
node-unique-string (2.0.0-1)
Generate a unique random string
node-universalify (2.0.0-2)
Make a callback- or promise-based function support both promises and callbacks
node-unorm (1.6.0-2)
Common JS Unicode Normalizer (Node.js)
node-unpipe (1.0.0-1.1)
Unpipe a stream from all destinations
node-unset-value (1.0.0-1)
Delete nested properties from an object using dot notation
node-uri-js (4.4.0+dfsg-5)
URI/IRI parsing/validating/resolving library
node-uri-path (1.0.0-3)
Convert relative file system paths into safe URI paths
node-url (0.11.0-2)
core nodejs url packaged standalone for use with Browserify
node-url-join (0.0.1-1.1)
Join urls and normalize as in path.join
node-url-loader (4.1.1-3)
url loader module for webpack
node-url-parse (1.5.3-1+deb11u2)
Parse URL in node using the URL module and in the browser using the DOM
node-url-parse-lax (4.0.0-1)
url.parse() with support for protocol-less URLs & IPs
node-url-to-options (2.0.0-1)
Convert a WHATWG URL to an http(s).request options object
node-urlgrey (0.4.4+dfsg-2)
urlgrey is a library for url querying and manipulation
node-use (3.1.1-1)
Easily add plugin support to your node.js application
node-use-subscription (= 1.5.0)
本虛擬套件由這些套件填實: node-react
node-utf-8-validate (= 5.0.3)
本虛擬套件由這些套件填實: node-websocket
node-util (0.10.3-4)
NodeJS util module
node-util-deprecate (1.0.2-1)
Node.js's `util.deprecate()` function with browser support
node-utilities (1.0.5-1)
classic collection of JavaScript utilities
node-utils-merge (1.0.1-1)
provides a merge utility function
node-utml (0.2.0~gite9f7c3d-2)
Express compliant templating for underscore.js
node-uuid (8.3.2+~8.3.0-4)
simple and fast RFC4122 UUID generation - Node.js module
node-v8-to-istanbul (= 7.0.0)
本虛擬套件由這些套件填實: node-istanbul
node-v8flags (3.2.0-1)
Get available V8 JavaScript engine flags
node-vali-date (1.0.0-1.1)
Validate a date
node-validate-npm-package-license (3.0.4-1)
Tells if a string is a valid npm package license string
node-validate-npm-package-name (3.0.0-1.1)
Checks if a string is a valid npm package name
node-vary (1.1.2-2)
manage the Vary header of a HTTP response - Node.js module
node-vasync (2.2.0-2)
Utilities for observable asynchronous control flow
node-verror (1.10.0-1.1)
rich JavaScript errors
node-vhost (3.0.2-4)
connect middleware for domain request matching - Node.js module
node-vinyl (2.2.1+~2.0.4-1)
Virtual file format
node-vinyl-fs (3.0.3-5)
Vinyl adapter for the file system
node-vinyl-sourcemaps-apply (0.2.1-1.1)
Apply a source map to a vinyl file
node-vlq (1.0.1-3)
Variable-length quantity mapper for Node.js
node-vm-browserify (1.1.2+ds-1)
vm module for the browser
node-vows (0.8.3-5)
asynchronous BDD & continuous integration for Node
node-vue (2.6.12+dfsg-3)
Core library of the Vue JavaScript framework
node-vue-hot-reload-api (2.3.0-1.1)
hot reload api for *.vue components
node-vue-resource (1.5.1+dfsg-6)
HTTP client for Vue.js
node-vue-router (= 3.4.9+ds-2)
本虛擬套件由這些套件填實: libjs-vue-router
node-vue-server-renderer (= 2.6.12)
本虛擬套件由這些套件填實: node-vue
node-vue-style-loader (4.1.2-2)
Vue.js style loader module for webpack
node-vue-template-compiler (= 2.6.12)
本虛擬套件由這些套件填實: node-vue
node-w3c-hr-time (= 1.0.2)
本虛擬套件由這些套件填實: node-jsdom
node-w3c-xmlserializer (= 2.0.0)
本虛擬套件由這些套件填實: node-jsdom
node-walker (= 1.0.7)
本虛擬套件由這些套件填實: node-sane
node-wast-loader (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-watchpack (2.1.0-1)
Wrapper library for directory and file watching
node-wcwidth.js (1.0.0-1.1)
wcwidth.js is a javascript porting of C's wcwidth()
node-webassemblyjs (1.11.0+dfsg+~cs10.10.16-1)
Toolchain for WebAssembly
node-webassemblyjs-ast (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-cli (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-floating-point-hex-parser (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-api-error (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-buffer (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-code-frame (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-compiler (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-flatten-ast (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-fsm (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-numbers (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-test-framework (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-testsuite-runner (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-wasm-bytecode (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-helper-wasm-section (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-ieee754 (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-leb128 (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-repl (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-utf8 (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-validation (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wasm-edit (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wasm-gen (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wasm-opt (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wasm-parser (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wasm-text-gen (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wast-parser (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wast-printer (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webassemblyjs-wast-refmt (= 1.11.0)
本虛擬套件由這些套件填實: node-webassemblyjs
node-webfinger (0.4.2-1.1)
Client library for Host Meta (RFC 6415) and Webfinger
node-webidl-conversions (6.1.0~1.1.0+~2.0.1~ds+~6.1.0+~0~20180821-1)
web IDL type conversions on JavaScript values - Node.js library
node-webpack
本虛擬套件由這些套件填實: webpack
node-webpack-merge (2.2.0-4)
merge designed for Webpack
node-webpack-sources (1.4.3-1)
Source code handling classes for webpack
node-webpack-stats-plugin (1.0.2-2)
Webpack stats plugin
node-webrtc-adapter (7.7.0~ds-4)
shim to insulate apps from WebRTC quirks - Node.js library
node-websocket (1.0.33+~cs9.0.11-2)
WebSocket implementation for NodeJS
node-websocket-stream (5.4.0-3)
use websockets with the node streams API in browser and node
node-weex-template-compiler (= 2.4.2-weex.1)
本虛擬套件由這些套件填實: node-vue
node-weex-vue-framework (= 2.4.2-weex.1)
本虛擬套件由這些套件填實: node-vue
node-whatwg-encoding (= 1.0.5)
本虛擬套件由這些套件填實: node-jsdom
node-whatwg-fetch
本虛擬套件由這些套件填實: libjs-fetch
node-whatwg-mimetype (= 2.3.0)
本虛擬套件由這些套件填實: node-jsdom
node-whatwg-url (= 8.4.0)
本虛擬套件由這些套件填實: node-jsdom
node-when (3.7.8+ds-4)
Async tools and when() implementation for Node.js
node-which (2.0.2+~cs1.3.2-1)
Cross-platform 'which' module for Node.js
node-which-module (2.0.0-1)
Find the module object for something that was require()d
node-wide-align (1.1.3-1)
Wide-character aware text alignment function
node-widest-line (3.1.0-1)
Get the visual width of the widest line in a string -
node-wildemitter (1.2.1~ds-1)
lightweight event emitter that supports wildcard handlers
node-window-size
本虛擬套件由這些套件填實: window-size
node-with (3.0.0-3)
compile-time `with` statement - Node.js module
node-word-wrap (= 1.2.3)
本虛擬套件由這些套件填實: node-optionator
node-wordwrap (1.0.0-2)
word wrapping library for NodeJS
node-worker-loader (3.0.5-2)
worker loader module for webpack
node-workerpool (= 6.0.3)
本虛擬套件由這些套件填實: mocha
node-wrap-ansi (4.0.0-2)
Wordwrap a string with ANSI escape codes
node-wrappy (1.0.2-1.1)
Callback wrapping utility
node-write (2.0.0~6.0.0+~3.0.4+~2.0.0+~1.0.0+~2.0.1-1)
convenience wrapper for Node.js fs methods
node-write-file-atomic (3.0.3+~3.0.1-1)
Write files in an atomic fashion w/configurable ownership
node-write-file-promise (1.0.0-1.1)
Write a file creating intermediate directories
node-ws (7.4.2+~cs18.0.8-2)
RFC-6455 WebSocket implementation module for Node.js
node-wscat (= 4.0.1)
本虛擬套件由這些套件填實: node-ws
node-xdg-basedir (3.0.0-1)
Get XDG Base Directory paths
node-xml-name-validator (= 3.0.0)
本虛擬套件由這些套件填實: node-jsdom
node-xml2js (0.2.8-1.1+deb11u1)
simple XML to JavaScript object converter - Node.js module
node-xmlchars (= 2.2.0)
本虛擬套件由這些套件填實: node-jsdom
node-xmldom (0.5.0-1+deb11u2)
Standard XML DOM (Level2 CORE) implementation in pure javascript
node-xmlhttprequest (1.8.0-3)
XMLHttpRequest for Node
node-xoauth2 (1.2.0-1)
XOAuth2 token generation for accessing GMail SMTP and IMAP
node-xtend (4.0.2-1)
Node.js library to easily extend an object
node-xterm (3.8.1+~cs0.9.0-1)
terminal front-end component for the browser - NodeJS modules
node-xxhashjs (0.2.2+dfsg-2)
Javascript implementation of xxHash
node-y18n (4.0.0-4)
bare-bones internationalization library used by yargs
node-yaeti (= 0.0.6)
本虛擬套件由這些套件填實: node-websocket
node-yajsml (1.1.5+ds-2)
Yet another (Common)JS module loader
node-yallist (4.0.0-1)
Double linked list implementation for Node.js
node-yaml (1.10.0-4)
Nodejs parser and stringifier for YAML standard
node-yamlish (0.0.7-1)
Parser/encoder for the YAMLish format for Node.js
node-yapool (= 1.0.0)
本虛擬套件由這些套件填實: node-tap
node-yargs (15.3.1+repack-2)
command line parser for nodejs
node-yargs-parser (18.1.3+~15.0.0-1)
mighty option parser used by yargs
node-yargs-unparser (= 2.0.0)
本虛擬套件由這些套件填實: mocha
node-yarn
本虛擬套件由這些套件填實: yarnpkg
node-yauzl (2.10.0-2)
yet another unzip library - Node.js module
node-yazl (2.5.1-1)
yet another zip library - Node.js module
node-yn (4.0.0-1)
Parse yes/no like values - Node.js module
node-yocto-queue (= 0.1.0)
本虛擬套件由這些套件填實: node-p-limit
node-yoctodelay (= 1.1.0)
本虛擬套件由這些套件填實: node-beeper
node-ytdl-core (3.4.2+dfsg+~cs3.10.3-2)
YouTube video downloader - Node.js module
node-zen-observable (0.8.15-2)
Implementation of observables for javascript
node-zeparser (0.0.7+dfsg-3)
Javascript code parser module for Node.js
node-zipfile (0.5.12+ds-6)
library for handling zipfiles in Node.js
nodeenv (0.13.4-1.1)
Node.js virtual environment builder
nodejs (12.22.12~dfsg-1~deb11u4)
evented I/O for V8 javascript - runtime executable
nodejs-doc (12.22.12~dfsg-1~deb11u4)
API documentation for Node.js, the javascript platform
nodetypes-raw-body (= 2.4.1-2+deb11u1)
本虛擬套件由這些套件填實: node-raw-body
nodetypes-setprototypeof (= 1.2.0-1)
本虛擬套件由這些套件填實: node-setprototypeof
nodeunit (0.11.3+ds-1)
Unit test framework for Node.js and the browser
nodm (0.13-5)
automatic display manager
nohang (0.2.0-1)
sophisticated low memory handler for Linux
noiz2sa (0.51a-10.1)
abstract arcade shooter
noiz2sa-data (0.51a-10.1)
abstract arcade shooter, data files
nomarch (1.4-4)
Unpacks .ARC and .ARK MS-DOS archives
nopaste
本虛擬套件由這些套件填實: libapp-nopaste-perl
nordlicht (0.4.5-2)
create colorful video barcodes
nordugrid-arc-acix-cache
本虛擬套件由這些套件填實: nordugrid-arc-acix-scanner
nordugrid-arc-acix-core (6.10.2-1)
ARC cache index - core components
nordugrid-arc-acix-index (6.10.2-1)
ARC cache index - index server
nordugrid-arc-acix-scanner (6.10.2-1)
ARC cache index - scanner server
nordugrid-arc-arcctl (6.10.2-1)
ARC Resource-coupled EXecution service
nordugrid-arc-arcctl-service (6.10.2-1)
ARC Control Tool - service control modules
nordugrid-arc-archery-manage (6.10.2-1)
ARCHERY administration tool
nordugrid-arc-arex (6.10.2-1)
ARC Resource-coupled EXecution service
nordugrid-arc-arex-python-lrms (6.10.2-1)
ARC Resource-coupled EXecution service - Python LRMS backends
nordugrid-arc-aris
本虛擬套件由這些套件填實: nordugrid-arc-infosys-ldap
nordugrid-arc-cache-service
本虛擬套件由這些套件填實: nordugrid-arc-arex
nordugrid-arc-candypond
本虛擬套件由這些套件填實: nordugrid-arc-arex
nordugrid-arc-client (6.10.2-1)
ARC command line clients
nordugrid-arc-community-rtes (6.10.2-1)
ARC Resource-coupled EXecution service - Python LRMS backends
nordugrid-arc-datadelivery-service (6.10.2-1)
ARC data delivery service
nordugrid-arc-dev (6.10.2-1)
ARC development files
nordugrid-arc-gridftpd (6.10.2-1)
ARC GridFTP server
nordugrid-arc-gridmap-utils
本虛擬套件由這些套件填實: nordugrid-arc-nordugridmap
nordugrid-arc-hed (6.10.2-1)
ARC Hosting Environment Daemon
nordugrid-arc-infosys-ldap (6.10.2-1)
ARC LDAP-based information services
nordugrid-arc-ldap-infosys
本虛擬套件由這些套件填實: nordugrid-arc-infosys-ldap
nordugrid-arc-misc-utils
本虛擬套件由這些套件填實: nordugrid-arc-test-utils
nordugrid-arc-monitor (6.10.2-1)
ARC LDAP monitor web application
nordugrid-arc-nagios-plugins (2.0.0-1)
Nagios plugins for ARC
nordugrid-arc-nagios-plugins-doc (2.0.0-1)
HTML documentation for the ARC Nagios plugins
nordugrid-arc-nagios-plugins-egi (2.0.0-1)
EGI configuration and dependencies for the ARC Nagios plugins
nordugrid-arc-nordugridmap (6.10.2-1)
ARC's nordugridmap tool
nordugrid-arc-plugins-arcrest (6.10.2-1)
ARC REST plugin
nordugrid-arc-plugins-gfal (6.10.2-1)
ARC GFAL2 plugins
nordugrid-arc-plugins-globus (6.10.2-1)
ARC Globus plugins (transitional package)
nordugrid-arc-plugins-gridftp (6.10.2-1)
ARC Globus dependent DMCs
nordugrid-arc-plugins-gridftpjob (6.10.2-1)
ARC GRIDFTPJOB client plugin
nordugrid-arc-plugins-internal (6.10.2-1)
ARC internal plugin
nordugrid-arc-plugins-lcas-lcmaps (6.10.2-1)
ARC LCAS/LCMAPS plugins
nordugrid-arc-plugins-needed (6.10.2-1)
ARC base plugins
nordugrid-arc-plugins-python (6.10.2-1)
ARC Python dependent plugin
nordugrid-arc-plugins-xrootd (6.10.2-1)
ARC xrootd plugins
nordugrid-arc-test-utils (6.10.2-1)
ARC test tools
nordugrid-arc-wn (6.10.2-1)
ARC optional worker nodes components
normaliz (3.8.9+ds-0.1)
math computing tools for affine monoids, rational polytopes and cones
normaliz-bin (3.8.9+ds-0.1)
math computing tools for affine monoids, rational polytopes and cones - bin
normaliz-doc (3.8.9+ds-0.1)
math computing tools for affine monoids, rational polytopes and cones - doc
normalize-audio (0.7.7-16)
adjusts the volume of WAV, MP3 and OGG files to a standard volume level
norsnet (1.0.17-6)
tool to identify unstructured loops in proteins
norsp (1.0.6-6)
predictor of non-regular secondary structure
noshell
本虛擬套件由這些套件填實: titantools
notary (0.6.1~ds2-6+b6)
tool for running and interacting with trusted collections
notcurses-bin (2.2.3+dfsg.1-1)
Character graphics and TUI library demos
notcurses-data (2.2.3+dfsg.1-1)
Multimedia used by notcurses-bin
note (1.3.26-3)
small program managing notes from commandline
notification-daemon (3.20.0-4)
daemon for displaying passive pop-up notifications
notification-daemon
本虛擬套件由這些套件填實: plasma-workspace, phosh, cinnamon, awesome, notify-osd, dunst, mate-notification-daemon, xfce4-notifyd, gnome-shell, python3-jarabe, gnome-flashback, lxqt-notificationd
notify-osd (0.9.35+15.04.20150126-1+b1)
daemon that displays passive pop-up notifications
notion (4.0.2+dfsg-5)
tiling tabbed window manager designed for keyboard users
notion-api-4.0.2+dfsg
本虛擬套件由這些套件填實: notion
notion-dev (4.0.2+dfsg-5)
Notion development files
notmuch (0.31.4-2)
thread-based email index, search and tagging
notmuch-addrlookup (9-2)
Address lookup tool for Notmuch
notmuch-mutt (0.31.4-2)
thread-based email index, search and tagging (Mutt interface)
notmuch-vim (0.31.4-2)
thread-based email index, search and tagging (vim interface)
nova-ajax-console-proxy
本虛擬套件由這些套件填實: nova-consoleproxy
nova-api (2:22.0.1-2+deb11u1)
OpenStack Compute - compute API frontend
nova-common (2:22.0.1-2+deb11u1)
OpenStack Compute - common files
nova-compute (2:22.0.1-2+deb11u1)
OpenStack Compute - compute node
nova-compute-hypervisor
本虛擬套件由這些套件填實: nova-compute-qemu, nova-compute-ironic, nova-compute-lxc, nova-compute-kvm
nova-compute-ironic (2:22.0.1-2+deb11u1)
OpenStack Compute - compute node (Ironic)
nova-compute-kvm (2:22.0.1-2+deb11u1)
OpenStack Compute - compute node (KVM)
nova-compute-lxc (2:22.0.1-2+deb11u1)
OpenStack Compute - compute node (LXC)
nova-compute-qemu (2:22.0.1-2+deb11u1)
OpenStack Compute - compute node (QEmu)
nova-conductor (2:22.0.1-2+deb11u1)
OpenStack Compute - conductor service
nova-consoleproxy (2:22.0.1-2+deb11u1)
OpenStack Compute - NoVNC proxy
nova-doc (2:22.0.1-2+deb11u1)
OpenStack Compute - documentation
nova-novncproxy
本虛擬套件由這些套件填實: nova-consoleproxy
nova-scheduler (2:22.0.1-2+deb11u1)
OpenStack Compute - virtual machine scheduler
nova-spicehtml5proxy
本虛擬套件由這些套件填實: nova-consoleproxy
nova-spiceproxy
本虛擬套件由這些套件填實: nova-consoleproxy
nova-volume (2:22.0.1-2+deb11u1)
OpenStack Compute - storage metapackage
novnc (1:1.0.0-3)
HTML5 VNC client - daemon and programs
noweb (2.12-1)
WEB-like literate-programming tool
nowhere (110.79-6)
Translates programs from an extended Standard ML to Standard ML
nox (2019.5.30-2)
Flexible test automation
npd6 (1.1.0-3+b1 [amd64], 1.1.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
IPv6 neighbor proxy daemon
nplan
本虛擬套件由這些套件填實: netplan.io
npm (7.5.2+ds-2)
package manager for Node.js
npm2deb (0.3.0-6)
tool to help debianize Node.js modules
nq (0.3.1-4)
Lightweight queue system
nqc (3.1.r6-7+b1 [mips64el], 3.1.r6-7 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Not Quite C compiler for LEGO Mindstorms RCX
nqp (2020.12+dfsg-1)
Not Quite Perl compiler
nqp-data (2020.12+dfsg-1)
Data files for NQP compiler
nrefactory-samples (5.3.0+20130718.73b6d0f-4.1)
C# Parsing and Refactoring Library - Sample programs
nrg2iso (0.4-4+b2)
Extracts ISO9660 data from Nero ".nrg" files
nrpe-ng (0.2.0-1)
Next-generation Nagios remote plugin agent
ns2 (2.35+dfsg-3.1)
Discrete event simulator targeted at networking research
ns2-dbg (2.35+dfsg-3.1)
debug symbols of ns2
ns2-doc (2.35+dfsg-3.1)
docs of ns2
ns2-examples (2.35+dfsg-3.1)
examples of ns2
ns3 (3.31+dfsg-3)
discrete-event network simulator for Internet systems
ns3-doc (3.31+dfsg-3)
documentation for ns3
nsca (2.10.0-1)
Nagios service monitor agent
nsca-client (2.10.0-1)
Nagios service monitor agent - client package
nsca-ng-client (1.6-4)
Send monitoring commands to NSCA-ng server
nsca-ng-server (1.6-4)
Monitoring command acceptor
nscd (2.31-13+deb11u8)
GNU C Library: Name Service Cache Daemon
nscd
本虛擬套件由這些套件填實: unscd
nsd (4.3.5-1)
authoritative domain name server
nsf (2.3.0-1)
Next Scripting Framework (NSF): Object orientation for Tcl - shared library
nsf-dev (2.3.0-1)
Next Scripting Framework (NSF): Object orientation for Tcl - development files
nsf-shells (2.3.0-1)
Next Scripting Framework (NSF): Object orientation for Tcl - shells
nsight-compute (2020.3.1.4~11.2.2-3+deb11u3) [non-free]
NVIDIA Nsight Compute
nsight-compute-target (2020.3.1.4~11.2.2-3+deb11u3) [non-free]
NVIDIA Nsight Compute (target specific libraries)
nsight-systems (2020.4.3.7~11.2.2-3+deb11u3) [non-free]
NVIDIA Nsight Systems
nsight-systems-target (2020.4.3.7~11.2.2-3+deb11u3) [non-free]
NVIDIA Nsight Systems (target specific libraries)
nsis (3.06.1-1)
Nullsoft Scriptable Install System (modified for Debian)
nsis-common (3.06.1-1)
Nullsoft Scriptable Install System stubs and plugins
nsis-doc (3.06.1-1)
Nullsoft Scriptable Install System documentation
nsis-pluginapi (3.06.1-1)
Nullsoft Scriptable Install System plugin API
nslcd (0.9.11-1)
daemon for NSS and PAM lookups using LDAP
nslcd-2
本虛擬套件由這些套件填實: pynslcd, nslcd
nslcd-utils (0.9.11-1)
utilities for querying LDAP via nslcd
nslint (3.0a2-1.1+b2)
Lint for DNS files, checks integrity
nsnake (3.0.1-2+b4)
classic snake game on the terminal
nsntrace (4-1)
perform network trace of a single process by using network namespaces
nss-passwords (0.3-1)
read passwords from a Mozilla keyring
nss-plugin-pem (1.0.6-2)
PEM file reader for Network Security Services (NSS)
nss-tlsd (1.1-1)
encrypted DNS name resolution daemon
nss-updatedb (10-3+b2)
Cache name service directories in DB format
nsscache (0.42-1)
asynchronously synchronise local NSS databases with remote directory services
nstreams (1.0.4-1+b1)
network streams - a tcpdump output analyzer
nted (1.10.18-12+b1 [mips64el], 1.10.18-12 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Musical score editor
nted-doc (1.10.18-12)
NtEd documentation
ntfs-3g (1:2017.3.23AR.3-4+deb11u3)
read/write NTFS driver for FUSE
ntfs-3g-dev (1:2017.3.23AR.3-4+deb11u3)
read/write NTFS driver for FUSE (development)
ntfs-3g-udeb (1:2017.3.23AR.3-4+deb11u3)
read/write NTFS driver for FUSE
ntp (1:4.2.8p15+dfsg-1)
Network Time Protocol daemon and utility programs
ntp-doc (1:4.2.8p15+dfsg-1)
Network Time Protocol documentation
ntpdate (1:4.2.8p15+dfsg-1)
client for setting system time from NTP servers (deprecated)
ntpsec (1.2.0+dfsg1-4)
Network Time Protocol daemon and utility programs
ntpsec-doc (1.2.0+dfsg1-4)
Network Time Protocol documentation
ntpsec-ntpdate (1.2.0+dfsg1-4)
client for setting system time from NTP servers
ntpsec-ntpviz (1.2.0+dfsg1-4)
NTP statistics graphing utility
ntpstat (0.0.0.1-2+b1 [amd64], 0.0.0.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
show network time protocol (ntp) status
nttcp (1.47-13) [non-free]
New test TCP program
nudoku (1.0.0-1)
ncurses based sudoku games
nuget (2.8.7+md510+dhx1-1.1)
Package manager for NuGet repos - executable
nuitka (0.6.11.3+ds-1.2)
Python compiler with full language support and CPython compatibility
nulib2 (3.1.0-5)
NuFX and Binary II archive utility
nullidentd (1.0-5+b2)
small, fast identd daemon
nullmailer (1:2.2-3)
simple relay-only mail transport agent
num-utils (0.5-15)
programs for dealing with numbers from the command line
numactl (2.0.12-1+b1)
NUMA scheduling and memory placement tool
numad (0.5+20150602-7)
User-level daemon that monitors NUMA topology and usage
numatop (2.1-4)
NUMA runtime observation tool
numba-doc (0.52.0-4)
native machine code compiler for Python (docs)
numbers2ods (0.9.6-2)
Apple Numbers spreadsheet documents to OpenDocument converter
numconv (2.7-1.1+b2)
utility for conversion numbers as Unicode strings
numdiff (5.9.0-1+b1 [amd64], 5.9.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Compare similar files with numeric fields
numix-gtk-theme (2.6.7-5)
modern flat theme from the Numix project
numix-icon-theme (0~20190920-1)
modern icon theme from the Numix project
numix-icon-theme-circle (20.09.19-1)
Circle icon theme from the Numix project
numlockx (1.2-8)
enable NumLock in X11 sessions
numptyphysics (0.2+svn157-0.5)
crayon based physics puzzle game
numpy-stl (2.9.0-1)
stl file and 3d object handling (tools)
nunit (2.6.4+dfsg-1.1)
Unit test framework for CLI
nunit-console (2.6.4+dfsg-1.1)
Unit test framework for CLI - Console test runner
nunit-gui (2.6.4+dfsg-1.1)
Unit test framework for CLI - GUI test runner
nuntius (0.2.0-5+b1 [amd64], 0.2.0-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
share notifications from Android phone or tablet via Bluetooth or LAN
nupkg-newtonsoft.json.6.0.8 (6.0.8+dfsg-1.1)
high-performance JSON framework for .NET -- NuGet package
nupkg-nunit.2.6.4 (2.6.4+dfsg-1.1)
Unit test framework for CLI - NuGet package - Framework
nupkg-nunit.mocks.2.6.4 (2.6.4+dfsg-1.1)
Unit test framework for CLI - NuGet package - Mocks
nupkg-nunit.runners.2.6.4 (2.6.4+dfsg-1.1)
Unit test framework for CLI - NuGet package - Runners
nurpawiki (1.2.4-1+b6)
Wiki with integrated to-do list and scheduler
nuspell (4.2.0-1)
spell checker (program)
nut (2.7.4-13)
network UPS tools - metapackage
nut-cgi (2.7.4-13)
network UPS tools - web interface
nut-client (2.7.4-13)
network UPS tools - clients
nut-doc (2.7.4-13)
network UPS tools - documentation
nut-ipmi (2.7.4-13)
network UPS tools - IPMI driver
nut-powerman-pdu (2.7.4-13)
network UPS tools - PowerMan PDU driver
nut-server (2.7.4-13)
network UPS tools - core system
nut-snmp (2.7.4-13)
network UPS tools - SNMP driver
nut-xml (2.7.4-13)
network UPS tools - XML/HTTP driver
nutcracker (0.4.1+dfsg-1)
Fast, light-weight proxy for memcached and Redis
nutsqlite (2.0.6-3)
Dietary nutrition analysis software
nuttcp (6.1.2-4+b1)
network performance measurement tool
nvi (1.81.6-16)
4.4BSD 的 vi 重製版
nvi-doc (1.81.6-16)
4.4BSD re-implementation of vi - documentation files
nvidia-alternative (470.223.02-1) [non-free]
allows the selection of NVIDIA as GLX provider
nvidia-alternative--kmod-alias
本虛擬套件由這些套件填實: nvidia-alternative
nvidia-alternative-any
本虛擬套件由這些套件填實: nvidia-alternative, nvidia-legacy-390xx-alternative, nvidia-tesla-470-alternative, nvidia-tesla-418-alternative, nvidia-tesla-450-alternative, nvidia-tesla-460-alternative
nvidia-cg-dev (3.1.0013-5) [non-free]
Cg Toolkit - GPU Shader Authoring Language (headers)
nvidia-cg-doc (3.1.0013-5) [non-free]
Cg Toolkit - GPU Shader Authoring Language (documentation)
nvidia-cg-toolkit (3.1.0013-5) [non-free]
Cg Toolkit - GPU Shader Authoring Language
nvidia-cuda-dev (11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA development files
nvidia-cuda-gdb (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA Debugger (GDB)
nvidia-cuda-mps (470.223.02-2~deb11u1) [non-free]
NVIDIA CUDA Multi Process Service (MPS)
nvidia-cuda-toolkit (11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA development toolkit
nvidia-cuda-toolkit-doc (11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA and OpenCL documentation
nvidia-cuda-toolkit-gcc (11.2.2-3+deb11u3) [non-free]
NVIDIA CUDA development toolkit (GCC compatibility)
nvidia-detect (470.223.02-1) [non-free]
NVIDIA GPU detection utility
nvidia-driver (470.223.02-1) [non-free]
NVIDIA metapackage
nvidia-driver-any
本虛擬套件由這些套件填實: nvidia-tesla-418-driver, nvidia-driver, nvidia-tesla-470-driver, nvidia-legacy-390xx-driver, nvidia-tesla-450-driver
nvidia-driver-bin (470.223.02-1) [non-free]
NVIDIA driver support binaries
nvidia-driver-bin-390.157
本虛擬套件由這些套件填實: nvidia-legacy-390xx-driver-bin
nvidia-driver-bin-418.226.00
本虛擬套件由這些套件填實: nvidia-tesla-418-driver-bin
nvidia-driver-bin-450.248.02
本虛擬套件由這些套件填實: nvidia-tesla-450-driver-bin
nvidia-driver-bin-460.106.00
本虛擬套件由這些套件填實: nvidia-tesla-460-driver-bin
nvidia-driver-bin-470.223.02
本虛擬套件由這些套件填實: nvidia-tesla-470-driver-bin, nvidia-driver-bin
nvidia-driver-libs (470.223.02-1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries)
nvidia-driver-libs-any
本虛擬套件由這些套件填實: nvidia-legacy-390xx-driver-libs, nvidia-driver-libs, nvidia-tesla-450-driver-libs, nvidia-tesla-418-driver-libs-nonglvnd, nvidia-legacy-390xx-driver-libs-nonglvnd, nvidia-tesla-418-driver-libs, nvidia-tesla-470-driver-libs
nvidia-egl-common (470.223.02-1) [non-free]
NVIDIA binary EGL driver - common files
nvidia-egl-icd (470.223.02-1) [non-free]
NVIDIA EGL installable client driver (ICD)
nvidia-glx-any
本虛擬套件由這些套件填實: nvidia-tesla-450-driver, nvidia-driver, nvidia-tesla-418-driver, nvidia-tesla-470-driver, nvidia-legacy-390xx-driver
nvidia-installer-cleanup (20151021+13) [contrib]
cleanup after driver installation with the nvidia-installer
nvidia-kernel-470.223.02
本虛擬套件由這些套件填實: nvidia-kernel-dkms
nvidia-kernel-common (20151021+13) [contrib]
NVIDIA binary kernel module support files
nvidia-kernel-dkms (470.223.02-1) [non-free]
NVIDIA binary kernel module DKMS source
nvidia-kernel-dkms-any (= 450.248.02)
本虛擬套件由這些套件填實: nvidia-tesla-450-kernel-dkms
nvidia-kernel-dkms-any (= 470.223.02)
本虛擬套件由這些套件填實: nvidia-tesla-470-kernel-dkms, nvidia-kernel-dkms
nvidia-kernel-source (470.223.02-1) [non-free]
NVIDIA binary kernel module source
nvidia-kernel-support (470.223.02-1) [non-free]
NVIDIA binary kernel module support files
nvidia-kernel-support--v1
本虛擬套件由這些套件填實: nvidia-kernel-support
nvidia-kernel-support-any
本虛擬套件由這些套件填實: nvidia-tesla-450-kernel-support, nvidia-kernel-support, nvidia-tesla-418-kernel-support, nvidia-legacy-390xx-kernel-support, nvidia-tesla-470-kernel-support
nvidia-legacy-390xx-alternative (390.157-1~deb11u1) [non-free]
allows the selection of NVIDIA as GLX provider (390xx legacy version)
nvidia-legacy-390xx-alternative--kmod-alias
本虛擬套件由這些套件填實: nvidia-legacy-390xx-alternative
nvidia-legacy-390xx-driver (390.157-1~deb11u1) [non-free]
NVIDIA metapackage (390xx legacy version)
nvidia-legacy-390xx-driver-bin (390.157-1~deb11u1) [non-free]
NVIDIA driver support binaries (390xx legacy version)
nvidia-legacy-390xx-driver-libs (390.157-1~deb11u1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (390xx legacy version)
nvidia-legacy-390xx-driver-libs-i386 (390.157-1~deb11u1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES 32-bit libraries) (390xx legacy)
nvidia-legacy-390xx-driver-libs-nonglvnd (390.157-1~deb11u1) [non-free]
NVIDIA metapackage (non-GLVND OpenGL/GLX/EGL/GLES libraries) (390xx legacy)
nvidia-legacy-390xx-driver-libs-nonglvnd-i386 (390.157-1~deb11u1) [non-free]
NVIDIA metapackage (non-GLVND OpenGL/EGL etc. 32-bit libraries) (390xx legacy)
nvidia-legacy-390xx-egl-icd (390.157-1~deb11u1) [non-free]
NVIDIA EGL installable client driver (ICD)
nvidia-legacy-390xx-kernel-390.157
本虛擬套件由這些套件填實: nvidia-legacy-390xx-kernel-dkms
nvidia-legacy-390xx-kernel-dkms (390.157-1~deb11u1) [non-free]
NVIDIA binary kernel module DKMS source (390xx legacy version)
nvidia-legacy-390xx-kernel-source (390.157-1~deb11u1) [non-free]
NVIDIA binary kernel module source (390xx legacy version)
nvidia-legacy-390xx-kernel-support (390.157-1~deb11u1) [non-free]
NVIDIA binary kernel module support files (390xx legacy version)
nvidia-legacy-390xx-kernel-support--v1
本虛擬套件由這些套件填實: nvidia-legacy-390xx-kernel-support
nvidia-legacy-390xx-nonglvnd-vulkan-icd (390.157-1~deb11u1) [non-free]
NVIDIA Vulkan ICD (non-GLVND variant) (390xx legacy version)
nvidia-legacy-390xx-opencl-icd (390.157-1~deb11u1) [non-free]
NVIDIA OpenCL installable client driver (ICD) (390xx legacy version)
nvidia-legacy-390xx-smi (390.157-1~deb11u1) [non-free]
NVIDIA System Management Interface (390xx legacy version)
nvidia-legacy-390xx-vdpau-driver (390.157-1~deb11u1) [non-free]
Video Decode and Presentation API for Unix - NVIDIA driver (390xx legacy)
nvidia-legacy-390xx-vulkan-icd (390.157-1~deb11u1) [non-free]
NVIDIA Vulkan installable client driver (ICD) (390xx legacy version)
nvidia-legacy-check (470.223.02-1) [non-free]
check for NVIDIA GPUs requiring a legacy driver
nvidia-libopencl1 (470.223.02-1) [non-free]
NVIDIA OpenCL ICD Loader library
nvidia-modprobe (470.182.03-1) [contrib]
utility to load NVIDIA kernel modules and create device nodes
nvidia-nonglvnd-vulkan-common (470.223.02-1) [non-free]
NVIDIA Vulkan driver - common files (non-GLVND variant)
nvidia-opencl-common (470.223.02-1) [non-free]
NVIDIA OpenCL driver - common files
nvidia-opencl-dev (11.2.2-3+deb11u3) [non-free]
NVIDIA OpenCL development files
nvidia-opencl-icd (470.223.02-1) [non-free]
NVIDIA OpenCL installable client driver (ICD)
nvidia-openjdk-8-jre (9.+8u332-ga-1~~deb9u1~11.2.2-3+deb11u3 [amd64], 9.+8u312-b07-1~11.2.2+8u302-b08-1~11.2.2-3+deb11u3 [ppc64el]) [non-free]
Obsolete OpenJDK Java runtime, for NVIDIA applications
nvidia-persistenced (470.103.01-2~deb11u1) [contrib]
daemon to maintain persistent software state in the NVIDIA driver
nvidia-primus-vk-common (1.6.1-1) [contrib]
thin wrapper for the NVIDIA binary Vulkan ICD (common files)
nvidia-primus-vk-wrapper (1.6.1-1) [contrib]
thin wrapper for using the NVIDIA binary Vulkan ICD with bumblebee
nvidia-profiler (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Profiler for CUDA and OpenCL
nvidia-settings (470.141.03-1~deb11u1) [contrib]
tool for configuring the NVIDIA graphics driver
nvidia-settings-gtk-390.144
本虛擬套件由這些套件填實: nvidia-settings-legacy-390xx
nvidia-settings-gtk-418.113
本虛擬套件由這些套件填實: nvidia-settings-tesla-418
nvidia-settings-gtk-450.119.03
本虛擬套件由這些套件填實: nvidia-settings-tesla-450
nvidia-settings-gtk-460.91.03
本虛擬套件由這些套件填實: nvidia-settings-tesla-460
nvidia-settings-gtk-470.141.03
本虛擬套件由這些套件填實: nvidia-settings, nvidia-settings-tesla-470
nvidia-settings-legacy-390xx (390.144-1) [contrib]
tool for configuring the NVIDIA graphics driver (390xx legacy version)
nvidia-settings-tesla-418 (418.113-6) [contrib]
tool for configuring the NVIDIA graphics driver (Tesla 418 version)
nvidia-settings-tesla-450 (450.119.03-1) [contrib]
tool for configuring the NVIDIA graphics driver (Tesla 450 version)
nvidia-settings-tesla-460 (460.91.03-1) [contrib]
tool for configuring the NVIDIA graphics driver (Tesla 460 version)
nvidia-settings-tesla-470 (470.141.03-1~deb11u1) [contrib]
tool for configuring the NVIDIA graphics driver (Tesla 470 version)
nvidia-smi (470.223.02-1) [non-free]
NVIDIA System Management Interface
nvidia-support (20151021+13) [contrib]
NVIDIA binary graphics driver support files
nvidia-tesla-418-alternative (418.226.00-6~deb11u1) [non-free]
allows the selection of NVIDIA as GLX provider (Tesla 418 version)
nvidia-tesla-418-alternative--kmod-alias
本虛擬套件由這些套件填實: nvidia-tesla-418-alternative
nvidia-tesla-418-driver (418.226.00-6~deb11u1) [non-free]
NVIDIA metapackage (Tesla 418 version)
nvidia-tesla-418-driver-bin (418.226.00-6~deb11u1) [non-free]
NVIDIA driver support binaries (Tesla 418 version)
nvidia-tesla-418-driver-libs (418.226.00-6~deb11u1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (Tesla 418 version)
nvidia-tesla-418-driver-libs-nonglvnd (418.226.00-6~deb11u1) [non-free]
NVIDIA metapackage (non-GLVND OpenGL/GLX/EGL/GLES libraries) (Tesla 418)
nvidia-tesla-418-egl-icd (418.226.00-6~deb11u1) [non-free]
NVIDIA EGL installable client driver (ICD)
nvidia-tesla-418-kernel-418.226.00
本虛擬套件由這些套件填實: nvidia-tesla-418-kernel-dkms
nvidia-tesla-418-kernel-dkms (418.226.00-6~deb11u1) [non-free]
NVIDIA binary kernel module DKMS source (Tesla 418 version)
nvidia-tesla-418-kernel-source (418.226.00-6~deb11u1) [non-free]
NVIDIA binary kernel module source (Tesla 418 version)
nvidia-tesla-418-kernel-support (418.226.00-6~deb11u1) [non-free]
NVIDIA binary kernel module support files (Tesla 418 version)
nvidia-tesla-418-kernel-support--v1
本虛擬套件由這些套件填實: nvidia-tesla-418-kernel-support
nvidia-tesla-418-nonglvnd-vulkan-icd (418.226.00-6~deb11u1) [non-free]
NVIDIA Vulkan ICD (non-GLVND variant) (Tesla 418 version)
nvidia-tesla-418-opencl-icd (418.226.00-6~deb11u1) [non-free]
NVIDIA OpenCL installable client driver (ICD) (Tesla 418 version)
nvidia-tesla-418-smi (418.226.00-6~deb11u1) [non-free]
NVIDIA System Management Interface (Tesla 418 version)
nvidia-tesla-418-vdpau-driver (418.226.00-6~deb11u1) [non-free]
Video Decode and Presentation API for Unix - NVIDIA driver (Tesla 418)
nvidia-tesla-418-vulkan-icd (418.226.00-6~deb11u1) [non-free]
NVIDIA Vulkan installable client driver (ICD) (Tesla 418 version)
nvidia-tesla-450-alternative (450.248.02-1~deb11u1) [non-free]
allows the selection of NVIDIA as GLX provider (Tesla 450 version)
nvidia-tesla-450-alternative--kmod-alias
本虛擬套件由這些套件填實: nvidia-tesla-450-alternative
nvidia-tesla-450-driver (450.248.02-1~deb11u1) [non-free]
NVIDIA metapackage (Tesla 450 version)
nvidia-tesla-450-driver-bin (450.248.02-1~deb11u1) [non-free]
NVIDIA driver support binaries (Tesla 450 version)
nvidia-tesla-450-driver-libs (450.248.02-1~deb11u1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (Tesla 450 version)
nvidia-tesla-450-egl-icd (450.248.02-1~deb11u1) [non-free]
NVIDIA EGL installable client driver (ICD)
nvidia-tesla-450-kernel-450.248.02
本虛擬套件由這些套件填實: nvidia-tesla-450-kernel-dkms
nvidia-tesla-450-kernel-dkms (450.248.02-1~deb11u1) [non-free]
NVIDIA binary kernel module DKMS source (Tesla 450 version)
nvidia-tesla-450-kernel-source (450.248.02-1~deb11u1) [non-free]
NVIDIA binary kernel module source (Tesla 450 version)
nvidia-tesla-450-kernel-support (450.248.02-1~deb11u1) [non-free]
NVIDIA binary kernel module support files (Tesla 450 version)
nvidia-tesla-450-kernel-support--v1
本虛擬套件由這些套件填實: nvidia-tesla-450-kernel-support
nvidia-tesla-450-opencl-icd (450.248.02-1~deb11u1) [non-free]
NVIDIA OpenCL installable client driver (ICD) (Tesla 450 version)
nvidia-tesla-450-smi (450.248.02-1~deb11u1) [non-free]
NVIDIA System Management Interface (Tesla 450 version)
nvidia-tesla-450-vdpau-driver (450.248.02-1~deb11u1) [non-free]
Video Decode and Presentation API for Unix - NVIDIA driver (Tesla 450)
nvidia-tesla-450-vulkan-icd (450.248.02-1~deb11u1) [non-free]
NVIDIA Vulkan installable client driver (ICD) (Tesla 450 version)
nvidia-tesla-460-alternative (460.106.00-6~deb11u1) [non-free]
allows the selection of NVIDIA as GLX provider (Tesla 460 version)
nvidia-tesla-460-alternative--kmod-alias
本虛擬套件由這些套件填實: nvidia-tesla-460-alternative
nvidia-tesla-460-driver (460.106.00-6~deb11u1) [non-free]
NVIDIA metapackage (Tesla 460 version)
nvidia-tesla-460-driver-bin (460.106.00-6~deb11u1) [non-free]
NVIDIA driver support binaries (Tesla 460 version)
nvidia-tesla-460-driver-libs (460.106.00-6~deb11u1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (Tesla 460 version)
nvidia-tesla-460-egl-icd (460.106.00-6~deb11u1) [non-free]
NVIDIA EGL installable client driver (ICD)
nvidia-tesla-460-kernel-dkms (460.106.00-6~deb11u1) [non-free]
NVIDIA binary kernel module DKMS source (Tesla 460 version)
nvidia-tesla-460-kernel-source (460.106.00-6~deb11u1) [non-free]
NVIDIA binary kernel module source (Tesla 460 version)
nvidia-tesla-460-kernel-support (460.106.00-6~deb11u1) [non-free]
NVIDIA binary kernel module support files (Tesla 460 version)
nvidia-tesla-460-kernel-support--v1
本虛擬套件由這些套件填實: nvidia-tesla-460-kernel-support
nvidia-tesla-460-opencl-icd (460.106.00-6~deb11u1) [non-free]
NVIDIA OpenCL installable client driver (ICD) (Tesla 460 version)
nvidia-tesla-460-smi (460.106.00-6~deb11u1) [non-free]
NVIDIA System Management Interface (Tesla 460 version)
nvidia-tesla-460-vdpau-driver (460.106.00-6~deb11u1) [non-free]
Video Decode and Presentation API for Unix - NVIDIA driver (Tesla 460)
nvidia-tesla-460-vulkan-icd (460.106.00-6~deb11u1) [non-free]
NVIDIA Vulkan installable client driver (ICD) (Tesla 460 version)
nvidia-tesla-470-alternative (470.223.02-2~deb11u1) [non-free]
allows the selection of NVIDIA as GLX provider (Tesla 470 version)
nvidia-tesla-470-alternative--kmod-alias
本虛擬套件由這些套件填實: nvidia-tesla-470-alternative
nvidia-tesla-470-driver (470.223.02-2~deb11u1) [non-free]
NVIDIA metapackage (Tesla 470 version)
nvidia-tesla-470-driver-bin (470.223.02-2~deb11u1) [non-free]
NVIDIA driver support binaries (Tesla 470 version)
nvidia-tesla-470-driver-libs (470.223.02-2~deb11u1) [non-free]
NVIDIA metapackage (OpenGL/GLX/EGL/GLES libraries) (Tesla 470 version)
nvidia-tesla-470-egl-icd (470.223.02-2~deb11u1) [non-free]
NVIDIA EGL installable client driver (ICD)
nvidia-tesla-470-kernel-470.223.02
本虛擬套件由這些套件填實: nvidia-tesla-470-kernel-dkms
nvidia-tesla-470-kernel-dkms (470.223.02-2~deb11u1) [non-free]
NVIDIA binary kernel module DKMS source (Tesla 470 version)
nvidia-tesla-470-kernel-source (470.223.02-2~deb11u1) [non-free]
NVIDIA binary kernel module source (Tesla 470 version)
nvidia-tesla-470-kernel-support (470.223.02-2~deb11u1) [non-free]
NVIDIA binary kernel module support files (Tesla 470 version)
nvidia-tesla-470-kernel-support--v1
本虛擬套件由這些套件填實: nvidia-tesla-470-kernel-support
nvidia-tesla-470-opencl-icd (470.223.02-2~deb11u1) [non-free]
NVIDIA OpenCL installable client driver (ICD) (Tesla 470 version)
nvidia-tesla-470-smi (470.223.02-2~deb11u1) [non-free]
NVIDIA System Management Interface (Tesla 470 version)
nvidia-tesla-470-vdpau-driver (470.223.02-2~deb11u1) [non-free]
Video Decode and Presentation API for Unix - NVIDIA driver (Tesla 470)
nvidia-tesla-470-vulkan-icd (470.223.02-2~deb11u1) [non-free]
NVIDIA Vulkan installable client driver (ICD) (Tesla 470 version)
nvidia-texture-tools
本虛擬套件由這些套件填實: libnvtt-bin
nvidia-vdpau-driver (470.223.02-1) [non-free]
Video Decode and Presentation API for Unix - NVIDIA driver
nvidia-visual-profiler (11.2.152~11.2.2-3+deb11u3) [non-free]
NVIDIA Visual Profiler for CUDA and OpenCL
nvidia-vulkan-common (470.223.02-1) [non-free]
NVIDIA Vulkan driver - common files
nvidia-vulkan-icd (470.223.02-1) [non-free]
NVIDIA Vulkan installable client driver (ICD)
nvidia-vulkan-icd-any
本虛擬套件由這些套件填實: nvidia-tesla-470-vulkan-icd, nvidia-tesla-418-vulkan-icd, nvidia-tesla-418-nonglvnd-vulkan-icd, nvidia-legacy-390xx-nonglvnd-vulkan-icd, nvidia-vulkan-icd, nvidia-tesla-450-vulkan-icd, nvidia-legacy-390xx-vulkan-icd
nvidia-xconfig (470.103.01-1~deb11u1) [contrib]
deprecated X configuration tool for non-free NVIDIA drivers
nvme-cli (1.12-5)
userspace tooling to control NVMe drives
nvptx-tools (0.20180301-1)
collection of tools for use with nvptx-none GCC toolchains
nvpy (2.0.0-2)
Simplenote-syncing note-taking tool
nvram-wakeup (1.1-4+b1)
Read/write the WakeUp time from/to the BIOS
nvtop (1.1.0-1) [contrib]
Interactive NVIDIA GPU process monitor
nvtv (0.4.7-8.1)
tool to control TV chips on NVidia cards under Linux
nwall (1.32+debian-5)
version of wall that uses GNU readline
nwchem (7.0.2-1)
High-performance computational chemistry software
nwchem-data (7.0.2-1)
High-performance computational chemistry software (data files)
nwipe (0.30-1+b2 [amd64], 0.30-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Utility to securely erase disks
nwrite (1.9.2-20.1+b1)
Enhanced replacement for the write command
nx-x11-common (2:3.5.99.26-2)
nx-X11 (common files)
nx-x11proto-composite-dev (2:3.5.99.26-2)
nx-X11 Composite extension wire protocol
nx-x11proto-core-dev (2:3.5.99.26-2)
nx-X11 core wire protocol and auxiliary headers
nx-x11proto-damage-dev (2:3.5.99.26-2)
nx-X11 Damage extension wire protocol
nx-x11proto-randr-dev (2:3.5.99.26-2)
nx-X11 RandR extension wire protocol
nx-x11proto-render-dev (2:3.5.99.26-2)
nx-X11 Render extension wire protocol
nx-x11proto-scrnsaver-dev (2:3.5.99.26-2)
nx-X11 Screen Saver extension wire protocol
nx-x11proto-xext-dev (2:3.5.99.26-2)
nx-X11 miscellaneous extension wire protocol
nx-x11proto-xfixes-dev (2:3.5.99.26-2)
nx-X11 'xfixes' extension wire protocol
nx-x11proto-xinerama-dev (2:3.5.99.26-2)
nx-X11 Xinerama extension wire protocol
nxagent (2:3.5.99.26-2)
Nested Xserver (aka NX Agent) supporting the NX compression protocol
nxdialog (2:3.5.99.26-2)
Dialogs for NX Agent
nxproxy (2:3.5.99.26-2)
NX proxy
nyacc (1.00.2-3)
Not Yet Another Compiler Compiler
nyancat (1.5.2-0.1)
Animated terminal Nyancat
nyancat-server (1.5.2-0.1)
Animated terminal Nyancat server configurations
nyquist (3.12+ds-4)
language for music composition and sound synthesis
nyx (2.1.0-2.1)
terminal status monitor for tor
nzbget (21.0+dfsg-2)
command-line based binary newsgrabber for nzb files
o-saft (19.01.19-3)
SSL advanced forensic tool
oaklisp (1.3.7-2.1)
Object-oriented dialect of Scheme
oaklisp-doc (1.3.7-2.1)
Object-oriented dialect of Scheme, documentation
oar-common (2.5.9-1)
OAR batch scheduler common package
oar-doc (2.5.9-1)
OAR batch scheduler documentation package
oar-node (2.5.9-1)
OAR batch scheduler node package
oar-restful-api (2.5.9-1)
OAR web services
oar-server (2.5.9-1)
OAR batch scheduler server package
oar-server-mysql (2.5.9-1)
OAR batch scheduler MySQL server backend package
oar-server-pgsql (2.5.9-1)
OAR batch scheduler PostgreSQL server backend package
oar-user (2.5.9-1)
OAR batch scheduler user package
oar-user-mysql (2.5.9-1)
OAR batch scheduler MySQL user backend package
oar-user-pgsql (2.5.9-1)
OAR batch scheduler PostgreSQL user backend package
oar-web-status (2.5.9-1)
OAR batch scheduler visualization tool package
oasis (0.4.11-1+b2)
Build-system generation for OCaml projects -- binaries
oathtool (2.6.6-3)
OATH Toolkit oathtool command line tool
obconf (1:2.0.4+git20150213-2)
preferences manager for Openbox window manager
obconf-qt (0.16.0-1)
Openbox configuration with a Qt interface
obconf-qt-l10n (0.16.0-1)
Language package for obconf-qt
obexfs (0.11-2+b1)
mount filesystem of ObexFTP capable devices
obexftp (0.24-6+b4)
file transfer utility for devices that use the OBEX protocol
obfs4proxy (0.0.8-1+b6)
pluggable transport proxy for Tor, implementing obfs4
obitools (1.2.13+dfsg-3+b1)
programs to analyze NGS data in a DNA metabarcoding context
objc++-compiler
本虛擬套件由這些套件填實: gobjc++, gobjc++-10, gobjc++-9
objc++-compiler-aarch64-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-aarch64-linux-gnu, gobjc++-9-aarch64-linux-gnu
objc++-compiler-alpha-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-alpha-linux-gnu, gobjc++-10-alpha-linux-gnu
objc++-compiler-arm-linux-gnueabi
本虛擬套件由這些套件填實: gobjc++-9-arm-linux-gnueabi, gobjc++-10-arm-linux-gnueabi
objc++-compiler-arm-linux-gnueabihf
本虛擬套件由這些套件填實: gobjc++-10-arm-linux-gnueabihf, gobjc++-9-arm-linux-gnueabihf
objc++-compiler-hppa-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-hppa-linux-gnu, gobjc++-10-hppa-linux-gnu
objc++-compiler-i686-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-i686-linux-gnu, gobjc++-9-i686-linux-gnu
objc++-compiler-m68k-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-m68k-linux-gnu, gobjc++-9-m68k-linux-gnu
objc++-compiler-mips-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-mips-linux-gnu
objc++-compiler-mips64-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc++-10-mips64-linux-gnuabi64
objc++-compiler-mips64el-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc++-10-mips64el-linux-gnuabi64
objc++-compiler-mipsel-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-mipsel-linux-gnu
objc++-compiler-mipsisa32r6-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-mipsisa32r6-linux-gnu
objc++-compiler-mipsisa32r6el-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-mipsisa32r6el-linux-gnu
objc++-compiler-mipsisa64r6-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc++-10-mipsisa64r6-linux-gnuabi64
objc++-compiler-mipsisa64r6el-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc++-10-mipsisa64r6el-linux-gnuabi64
objc++-compiler-powerpc-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-powerpc-linux-gnu, gobjc++-10-powerpc-linux-gnu
objc++-compiler-powerpc64-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-powerpc64-linux-gnu, gobjc++-9-powerpc64-linux-gnu
objc++-compiler-powerpc64le-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-powerpc64le-linux-gnu, gobjc++-10-powerpc64le-linux-gnu
objc++-compiler-riscv64-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-riscv64-linux-gnu, gobjc++-10-riscv64-linux-gnu
objc++-compiler-s390x-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-s390x-linux-gnu, gobjc++-10-s390x-linux-gnu
objc++-compiler-sh4-linux-gnu
本虛擬套件由這些套件填實: gobjc++-10-sh4-linux-gnu, gobjc++-9-sh4-linux-gnu
objc++-compiler-sparc64-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-sparc64-linux-gnu, gobjc++-10-sparc64-linux-gnu
objc++-compiler-x86-64-linux-gnu
本虛擬套件由這些套件填實: gobjc++-9-x86-64-linux-gnu, gobjc++-10-x86-64-linux-gnu
objc++-compiler-x86-64-linux-gnux32
本虛擬套件由這些套件填實: gobjc++-10-x86-64-linux-gnux32, gobjc++-9-x86-64-linux-gnux32
objc-compiler
本虛擬套件由這些套件填實: gobjc-9, gobjc, clang-11, clang-16, clang-9, gobjc-10, clang-13
objc-compiler-aarch64-linux-gnu
本虛擬套件由這些套件填實: gobjc-9-aarch64-linux-gnu, gobjc-10-aarch64-linux-gnu
objc-compiler-alpha-linux-gnu
本虛擬套件由這些套件填實: gobjc-9-alpha-linux-gnu, gobjc-10-alpha-linux-gnu
objc-compiler-arm-linux-gnueabi
本虛擬套件由這些套件填實: gobjc-9-arm-linux-gnueabi, gobjc-10-arm-linux-gnueabi
objc-compiler-arm-linux-gnueabihf
本虛擬套件由這些套件填實: gobjc-10-arm-linux-gnueabihf, gobjc-9-arm-linux-gnueabihf
objc-compiler-hppa-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-hppa-linux-gnu, gobjc-9-hppa-linux-gnu
objc-compiler-i686-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-i686-linux-gnu, gobjc-9-i686-linux-gnu
objc-compiler-m68k-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-m68k-linux-gnu, gobjc-9-m68k-linux-gnu
objc-compiler-mips-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-mips-linux-gnu
objc-compiler-mips64-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc-10-mips64-linux-gnuabi64
objc-compiler-mips64el-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc-10-mips64el-linux-gnuabi64
objc-compiler-mipsel-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-mipsel-linux-gnu
objc-compiler-mipsisa32r6-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-mipsisa32r6-linux-gnu
objc-compiler-mipsisa32r6el-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-mipsisa32r6el-linux-gnu
objc-compiler-mipsisa64r6-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc-10-mipsisa64r6-linux-gnuabi64
objc-compiler-mipsisa64r6el-linux-gnuabi64
本虛擬套件由這些套件填實: gobjc-10-mipsisa64r6el-linux-gnuabi64
objc-compiler-powerpc-linux-gnu
本虛擬套件由這些套件填實: gobjc-9-powerpc-linux-gnu, gobjc-10-powerpc-linux-gnu
objc-compiler-powerpc64-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-powerpc64-linux-gnu, gobjc-9-powerpc64-linux-gnu
objc-compiler-powerpc64le-linux-gnu
本虛擬套件由這些套件填實: gobjc-9-powerpc64le-linux-gnu, gobjc-10-powerpc64le-linux-gnu
objc-compiler-riscv64-linux-gnu
本虛擬套件由這些套件填實: gobjc-9-riscv64-linux-gnu, gobjc-10-riscv64-linux-gnu
objc-compiler-s390x-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-s390x-linux-gnu, gobjc-9-s390x-linux-gnu
objc-compiler-sh4-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-sh4-linux-gnu, gobjc-9-sh4-linux-gnu
objc-compiler-sparc64-linux-gnu
本虛擬套件由這些套件填實: gobjc-10-sparc64-linux-gnu, gobjc-9-sparc64-linux-gnu
objc-compiler-x86-64-linux-gnu
本虛擬套件由這些套件填實: gobjc-9-x86-64-linux-gnu, gobjc-10-x86-64-linux-gnu
objc-compiler-x86-64-linux-gnux32
本虛擬套件由這些套件填實: gobjc-10-x86-64-linux-gnux32, gobjc-9-x86-64-linux-gnux32
objcryst-fox (1.9.6.0-2.2)
Free Objects for Xtallography
obs-build (20190710-1)
scripts for building RPM/debian packages for multiple distributions
obs-plugins (26.1.2+dfsg1-2)
recorder and streamer for live video content (plugins)
obs-studio (26.1.2+dfsg1-2)
recorder and streamer for live video content
obsession (20140608-2+b1)
Session management helpers for lightweight desktop environments
obsidian-icon-theme (3.5-1.1)
Intuitive Faenza-like icon theme
ocaml (4.11.1-4)
ML language implementation with a class-based object system
ocaml-4.11.1
本虛擬套件由這些套件填實: ocaml
ocaml-base (4.11.1-4)
Runtime system for OCaml bytecode executables
ocaml-base-4.11.1
本虛擬套件由這些套件填實: ocaml-base
ocaml-base-nox (4.11.1-4)
Runtime system for OCaml bytecode executables (no X)
ocaml-base-nox-4.11.1
本虛擬套件由這些套件填實: ocaml-base-nox
ocaml-batteries-included (3.1.0-2)
Batteries included: OCaml development platform - metapackage
ocaml-best-compilers (= 4.11.1-4)
本虛擬套件由這些套件填實: ocaml-nox
ocaml-compiler-libs (4.11.1-4)
OCaml interpreter and standard libraries
ocaml-compiler-libs-4.11.1
本虛擬套件由這些套件填實: ocaml-compiler-libs
ocaml-core (4.08.1.2)
OCaml core tools (metapackage)
ocaml-doc (4.11-2) [non-free]
Documentation for OCaml
ocaml-dune (2.7.1-2)
composable build system for OCaml projects
ocaml-findlib (1.8.1-2)
management tool for OCaml libraries
ocaml-interp (4.11.1-4)
OCaml interactive interpreter and standard libraries
ocaml-interp-4.11.1
本虛擬套件由這些套件填實: ocaml-interp
ocaml-libs (4.08.1.2)
OCaml core libraries (metapackage)
ocaml-man (4.11.1-4)
OCaml interpreter and standard libraries (lib manpages)
ocaml-mode (4.06-2)
transitional package to elpa-caml
ocaml-native-compilers (= 4.11.1-4)
本虛擬套件由這些套件填實: ocaml-nox
ocaml-nox (4.11.1-4)
ML implementation with a class-based object system (no X)
ocaml-nox-4.11.1
本虛擬套件由這些套件填實: ocaml-nox
ocaml-obuild (0.1.10-3+b2)
simple package build system for OCaml
ocaml-odoc (1.5.2+dfsg2-1)
documentation generator for OCaml (standalone tool)
ocaml-qtest (2.11.1-1)
Inline (Unit) Tests for OCaml (Executable)
ocaml-source (4.11.1-4)
Sources for Objective Caml
ocaml-source-4.11.1
本虛擬套件由這些套件填實: ocaml-source
ocaml-tools (20120103-7)
tools for OCaml developers
ocaml-ulex (1.2-1+b1)
OCaml lexer generator with Unicode support
ocaml-ulex-2xwx0
本虛擬套件由這些套件填實: ocaml-ulex
ocaml-ulex-gdjg2
本虛擬套件由這些套件填實: ocaml-ulex
ocaml-ulex-sruz0
本虛擬套件由這些套件填實: ocaml-ulex
ocaml-ulex-vj451
本虛擬套件由這些套件填實: ocaml-ulex
ocaml-ulex08 (1.2-1+b2)
OCaml lexer generator with Unicode support - CamlP5 version
ocaml-ulex08-0bx72
本虛擬套件由這些套件填實: ocaml-ulex08
ocaml-ulex08-f17n7
本虛擬套件由這些套件填實: ocaml-ulex08
ocaml-ulex08-qikw4
本虛擬套件由這些套件填實: ocaml-ulex08
ocaml-ulex08-wj392
本虛擬套件由這些套件填實: ocaml-ulex08
ocamlbuild (0.14.0-2+b1)
Build tool for building OCaml libraries and programs
ocamldsort (0.16.0-7+b1)
dependency sorter for OCaml source files
ocamlify (0.0.2-8)
include files in OCaml code
ocamlmakefile (6.39.2-1)
general makefile for the Objective Caml programming language
ocamlmod (0.0.9-2+b2)
generate OCaml modules from source files
ocamlviz (1.01-5)
real-time profiling tools for Objective Caml (clients)
ocamlwc (0.3-17)
count the lines of code and comments in OCaml sources
ocamlweb (1.41-4+b1)
Literate programming tool for Objective Caml
occt-draw (7.5.1+dfsg1-2)
Open CASCADE Technology command interpreter and graphical test system
occt-misc (7.5.1+dfsg1-2)
OCCT CAE platform shared library miscellaneous files
oce-draw (0.18.3-1)
OpenCASCADE Community Edition CAE platform shared library
ocfs2-tools (1.8.6-6)
tools for managing OCFS2 cluster filesystems
ocfs2-tools-dev (1.8.6-6)
tools for managing OCFS2 cluster filesystems - development files
oci-image-tool (1.0.0~rc2+really.rc1+dfsg-2+b6)
OCI image tooling
ocl-icd-dev (2.2.14-2)
Development files to build an OpenCL ICD
ocl-icd-libopencl1 (2.2.14-2)
Generic OpenCL ICD Loader
ocl-icd-opencl-dev (2.2.14-2)
OpenCL development files
oclgrind (19.10-4)
OpenCL device simulator
ocp-indent (1.8.2-1+b1)
OCaml indentation tool for emacs and vim - runtime
ocplib-simplex-ocaml-dev (0.4-2+b1)
simplex library for solving linear inequalities
ocproxy (1.60-1+b3 [mips64el], 1.60-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
SOCKS proxy for openconnect
ocrad (0.27-2)
optical character recognition program
ocrfeeder (0.8.3-3)
Document layout analysis and optical character recognition system
ocrmypdf (10.3.1+dfsg-1)
add an OCR text layer to PDF files
ocrmypdf-doc (10.3.1+dfsg-1)
add an OCR text layer to PDF files - documentation
ocserv (1.1.2-2+b1 [amd64], 1.1.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OpenConnect VPN server compatible with Cisco AnyConnect VPN
ocsigenserver (2.16.1-1+b3)
web server of the Ocsigen project
ocsigenserver-doc (2.16.1-1)
web server of the Ocsigen project (documentation)
ocsinventory-agent (2:2.8-1)
Hardware and software inventory tool (client)
ocsinventory-reports (2.8.1+dfsg1-1)
Hardware and software inventory tool (Administration Console)
ocsinventory-server (2.8.1+dfsg1-1)
Hardware and software inventory tool (Communication Server)
octave (6.2.0-1)
GNU Octave language for numerical computations
octave-abi-55
本虛擬套件由這些套件填實: liboctave8
octave-arduino (0.6.0-1)
Octave Arduino Toolkit
octave-audio (2.0.2-1+b2)
MIDI functions for Octave
octave-bart (0.6.00-3)
Octave bindings for BART
octave-bim (1.1.5-7)
PDE solver using a finite element/volume approach in Octave
octave-biosig (2.1.2-4)
Octave bindings for BioSig library
octave-bsltl (1.3.1-3)
biospeckle laser tool library for Octave
octave-cgi (0.1.2-3)
Common Gateway Interface for Octave
octave-common (6.2.0-1)
architecture-independent files for octave
octave-communications (1.2.2-4)
communications package for Octave
octave-communications-common (1.2.2-4)
communications package for Octave (arch-indep files)
octave-control (3.2.0-5+b1)
computer-aided control system design (CACSD) for Octave
octave-data-smoothing (1.3.0-8)
functions to do data smoothing on noisy data
octave-database (2.4.4-3.1+b1)
interface to SQL databases in Octave
octave-dataframe (1.2.0-5)
manipulate data in Octave similar to R data.frame
octave-dicom (0.4.0-1+b1)
manipulate DICOM files in Octave
octave-divand (1.1.2+dfsg-5)
n-dimensional interpolation for Octave
octave-doc (6.2.0-1)
documentation of the GNU Octave language
octave-doctest (0.7.0-4)
documentation tests for Octave
octave-econometrics (1:1.1.2-3+b2 [amd64], 1:1.1.2-3+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
econometrics functions for Octave
octave-financial (0.5.3-3)
financial package for Octave
octave-fits (1.0.7-6+b2)
manipulation of FITS files in Octave
octave-fpl (1.3.5-6)
plot data on unstructured triangular and tetrahedral meshes in Octave
octave-fuzzy-logic-toolkit (0.4.5-4)
fuzzy logic toolkit for Octave
octave-ga (0.10.2-1)
genetic optimization code for Octave
octave-gdf (0.1.3-8)
IO library for the GDF -- Octave interface
octave-general (2.1.1-3+b1)
provide extra general functions for Octave
octave-geometry (4.0.0-2+b1)
extension of MatGeom functionality in Octave
octave-gsl (2.1.1-5+b1)
GSL binding for Octave
octave-image (2.12.0-10)
image manipulation for Octave
octave-image-acquisition (0.2.2-4+b1)
image acquisition package for Octave
octave-instrument-control (0.6.0-2+b1)
instrument control for Octave
octave-interval (3.2.0-7)
real-valued interval arithmetic for Octave
octave-interval-doc (3.2.0-7)
real-valued interval arithmetic for Octave (arch-indep files)
octave-io (2.6.3-1+b1)
input/output data functions for Octave
octave-jnifti (0.6-2)
fast NIfTI-1/2 reader and NIfTI-to-JNIfTI converter
octave-jsonlab (2.0-1.1)
native JSON/UBJSON/MassagePack encoder/decoder for Octave
octave-level-set (0.3.1~git.2019.04.13-2)
level-set toolbox for Octave
octave-linear-algebra (2.2.3-3)
additional linear-algebra functions for Octave
octave-lssa (0.1.4-1+b1)
least squares spectral analysis for Octave
octave-ltfat (2.3.1+dfsg-8)
Large Time/Frequency Analysis Toolbox
octave-ltfat-common (2.3.1+dfsg-8)
Large Time/Frequency Analysis Toolbox (arch-indep files)
octave-mapping (1.4.1-1+b2)
geographical mapping functions for Octave
octave-matgeom (1.2.2-3)
computational geometry for Octave
octave-miscellaneous (1.3.0-3+b1)
miscellaneous tools for Octave
octave-missing-functions (1.0.2-10)
finds functions that are in Matlab but not in Octave
octave-mpi (3.1.0-1+b1)
Octave toolbox for parallel computing using MPI
octave-msh (1.0.10-11)
create and manage meshes for FE or FV solvers in Octave
octave-mvn (1.1.0-3)
multivariate normal distribution clustering for Octave
octave-nan (3.5.2-1+b1)
handles data with and without missing values in Octave
octave-ncarray (1.0.4-3)
access NetCDF files as a multi-dimensional array in Octave
octave-netcdf (1.0.14-1+b1)
Matlab compatible NetCDF interface for Octave
octave-nlopt (2.7.0-4+b2)
nonlinear optimization library -- GNU Octave package
octave-nurbs (1.3.13-10)
non-uniform rational B-splines for Octave
octave-octclip (2.0.1-1+b1)
boolean operations with polygons in Octave
octave-octproj (2.0.1-1+b1)
GNU Octave bindings to PROJ.4
octave-openems (0.0.35+git20190103.6a75e98+dfsg.1-3)
Octave interface for openems
octave-optics (0.1.4-3)
optics functions for Octave
octave-optim (1.6.0-6)
unconstrained non-linear optimization toolkit for Octave
octave-optiminterp (0.3.6-3+b1)
optimal interpolation package for Octave
octave-parallel (4.0.0-2+b1)
parallel execution of Octave in clusters of computers
octave-psychtoolbox-3 (3.0.17.9.dfsg1-2)
toolbox for vision research -- Octave bindings
octave-quaternion (2.4.0-9)
quaternion package for Octave
octave-queueing (1.2.7-3)
Queueing Networks and Markov chains analysis for Octave
octave-secs1d (0.0.9-7)
semi conductor simulator in 1D for Octave
octave-secs2d (0.0.8-15)
semi conductor simulator in 2D for Octave
octave-secs3d (0.0.1-3)
Drift-Diffusion simulator for 3d semiconductor devices in Octave
octave-signal (1.4.1-4+b1)
signal processing functions for Octave
octave-sockets (1.2.1-1+b1)
communication through Internet sockets in Octave
octave-sparsersb (1.0.8-3)
RSB sparse matrix manipulation for Octave
octave-specfun (1.1.0-7)
special mathematical functions for Octave
octave-splines (1.3.3-2)
cubic spline functions for Octave
octave-statistics (1.4.2-2)
additional statistical functions for Octave
octave-stk (2.6.1-5)
(not so) Small Toolbox for Kriging
octave-strings (1.2.0-10)
additional string manipulation functions for Octave
octave-struct (1.0.16-8)
additional structure manipulation functions for Octave
octave-tsa (4.6.2-2)
time series analysis in Octave
octave-vibes (0.2.0-7)
VIBes API to easily display results in Octave
octave-video (2.0.0-2+b1)
video file reader/writer for Octave
octave-vlfeat (0.9.21+dfsg0-6+deb11u1)
Computer vision library focussing on visual features and clustering
octave-vrml (1.0.13-6)
VRML functions for Octave
octave-zenity (0.5.7-12)
simple graphical user interfaces using zenity in Octave
octave-zeromq (1.5.2-1+b1)
ZeroMQ binding for Octave
octave-zmat (0.9.8+ds-3)
in-memory data compression for Octave
octavia-agent (7.1.0-2)
OpenStack Load Balancer as a Service - Agent
octavia-api (7.1.0-2)
OpenStack Load Balancer as a Service - API frontend
octavia-common (7.1.0-2)
OpenStack Load Balancer as a Service - Common files
octavia-doc (7.1.0-2)
OpenStack Load Balancer Service - documentation
octavia-driver-agent (7.1.0-2)
OpenStack Load Balancer as a Service - Driver Agent
octavia-health-manager (7.1.0-2)
OpenStack Load Balancer Service - Health manager
octavia-housekeeping (7.1.0-2)
OpenStack Load Balancer Service - Housekeeping manager
octavia-tempest-plugin (1.5.0-2)
OpenStack Integration Test Suite - Octavia plugin
octavia-worker (7.1.0-2)
OpenStack Load Balancer Service - Worker
octocatalog-diff (1.5.4-2)
compile and compare Puppet catalogs from 2 branches, versions, etc
octomap-tools (1.9.5+dfsg-1)
Tools for 3D occupancy grid mapping
octorpki (1.4.2-1~deb11u1)
Cloudflare's RPKI validator
octovis (1.9.5+dfsg-1)
Visualization tool for OctoMap
odb (2.4.0-14)
C++ Object-Relational Mapping (ORM)
odbc-mariadb (3.1.9-1)
ODBC driver for MariaDB
odbc-mdbtools (0.9.1-1)
MDB tools ODBC driver
odbc-postgresql (1:13.00.0000-1)
ODBC driver for PostgreSQL
odbcinst (2.3.6-0.1+b1)
Helper program for accessing odbc ini files
odbcinst1debian2 (2.3.6-0.1+b1)
Support library for accessing odbc ini files
odc (1.2.0-1)
Tools for the Observational Data Processing (ODB)
oddjob (0.34.6-1)
D-Bus service which runs odd jobs -- daemon
oddjob-mkhomedir (0.34.6-1)
Oddjob helper which creates and populates home directories
odil (0.12.1-1)
C++11 library for the DICOM standard (application)
odin (2.0.4-3)
develop, simulate and run magnetic resonance sequences
odoo
本虛擬套件由這些套件填實: odoo-14
odoo-14 (14.0.0+dfsg.2-7+deb11u1)
Open Source Apps To Grow Your Business
ods2tsv (0.7.11-1)
ODS to TSV file converter
odt2txt (0.5-7)
simple converter from OpenDocument Text to plain text
office2003-schemas (1.0-1) [non-free]
Office 2003 XML Schemas - xsd
offlineimap (7.3.3+dfsg1-1+0.0~git20210225.1e7ef9e+dfsg-4)
transitional package
offlineimap3 (0.0~git20210225.1e7ef9e+dfsg-4)
IMAP/Maildir synchronization and reader support
ofono (1.31-3)
Mobile telephony stack (daemon)
ofono-dev (1.31-3)
Mobile telephony stack (development files)
ofono-scripts (1.31-3)
Mobile telephony stack (test and maintenance script files)
ofx (1:0.9.15-3)
Open Financial Exchange programs
ofxstatement (0.7.1-1)
tool to convert proprietary bank statement to OFX format
ofxstatement-plugins (20210310)
set of plugins for ofxstatement
ogamesim (1.18-3.1)
Console Ogame Simulator
ogamesim-www (0.34-3.1)
WWW GUI for ogamesim
ogdi-bin (4.1.0+ds-5)
Open Geographic Datastore Interface Library -- utilities
oggfwd (0.2-6+b2)
basic icecast source client for audio and video streaming
oggvideotools (0.9.1-5.1)
toolbox for manipulating and creating Ogg video files
oggvideotools-dbg (0.9.1-5.1)
toolbox for manipulating and creating Ogg video files (debug symbols)
oggz-tools (1.1.1-7)
utilities for mangling Ogg streams
ogmrip (1.0.1-3.1)
Application for ripping and encoding DVD
ogmrip-doc (1.0.1-3.1)
Application for ripping and encoding DVD - Documentation files
ogmrip-plugins (1.0.1-3.1)
Application for ripping and encoding DVD - plugins
ogmtools (1:1.5-4+b3)
Tools for manipulating Ogg multimedia streams
ogre-1.12-doc (1.12.10+dfsg2-1.2)
3D Object-Oriented Graphics Rendering Engine (documentation)
ogre-1.12-tools (1.12.10+dfsg2-1.2)
3D Object-Oriented Graphics Rendering Engine (tools)
ogre-1.9-doc (1.9.0+dfsg1-12.1)
3D Object-Oriented Graphics Rendering Engine (documentation)
ogre-1.9-tools (1.9.0+dfsg1-12.1)
3D Object-Oriented Graphics Rendering Engine (tools)
ohcount (4.0.0-1)
Source code line counter
ohcount-doc (4.0.0-1)
Source code line counter - Documentation
oidentd (2.5.0-1)
replacement ident daemon
oinkmaster (2.0-4.1)
Snort rules manager
okteta (5:0.26.5-2)
hexadecimal editor for binary files
okteta-dev (5:0.26.5-2)
Development files for Okteta
okular (4:20.12.3-2)
universal document viewer
okular-backend-odp (1:3.2.1+dfsg-2+b5)
Okular backend for ODP documents
okular-backend-odt (1:3.2.1+dfsg-2+b5)
Okular backend for ODT documents
okular-dev (4:20.12.3-2)
development files for the Okular libraries
okular-extra-backends (4:20.12.3-2)
additional document format support for Okular
okular-mobile (4:20.12.3-2)
mobile support for Okular
okumura-clsfiles
本虛擬套件由這些套件填實: texlive-lang-japanese
ola (0.10.8.nojsmin-2)
Open Lighting Architecture
ola-python (0.10.8.nojsmin-2)
Open Lighting Architecture - Python Classes
oldsys-preseed (3.22)
Preseed debian-installer from an existing device
olive-editor (20200620-2)
Professional open-source NLE video editor
olpc-kbdshim (27-1+b2)
OLPC XO keyboard support daemon
olpc-kbdshim-common
本虛擬套件由這些套件填實: olpc-kbdshim
olpc-kdbshim-hal
本虛擬套件由這些套件填實: olpc-kbdshim
olpc-powerd (23-2+b2)
OLPC XO power management support daemon
olpc-xo1-hw (0.4+nmu1)
Support for OLPC XO-1 hardware
omake (0.10.3-2)
build system with automated dependency analysis
omake-doc (0.10.3-2)
documentation for OMake
omega-rpg (1:0.90-pa9-16+b2)
text-based roguelike game
omegat (3.6.0.10+dfsg-3)
Computer Assisted Translation (CAT) tool
omins (0.2.0-8)
collection of LADSPA plugins aimed at modular synthesizers
omnidb-common (3.0.3b+ds-1)
Web tool for database management (shared files)
omnidb-server (3.0.3b+ds-1)
Web tool for database management
omnievents (1:2.6.2-5.1+deb11u1)
omniORB event service
omnievents-doc (1:2.6.2-5.1+deb11u1)
omniORB event service documentation
omniidl (4.2.2-1+b1)
omniORB IDL to C++ and Python compiler
omniorb (4.2.2-1+b1)
IOR and naming service utilities for omniORB
omniorb-doc (4.2.2-1)
omniORB documentation
omniorb-idl (4.2.2-1)
omniORB CORBA services idl files
omniorb-nameserver (4.2.2-1+b1)
omniORB naming service
ompl-demos (1.5.2+ds1-1)
Open Motion Planning Library (OMPL) demos
ompl-plannerarena (1.5.2+ds1-1)
Open Motion Planning Library (OMPL) plannerarena
onak (0.6.1-1)
OpenPGP Key Server
onboard (1.4.1-5+b3)
Simple On-screen Keyboard
onboard-common (1.4.1-5)
Simple On-screen Keyboard (common files)
onboard-data (1.4.1-5)
Language model files for the word suggestion feature of Onboard
ondir (0.2.3+git0.55279f03-1+b1 [amd64], 0.2.3+git0.55279f03-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Automate tasks specific to certain directories in the shell
onednn-doc (2.0+ds-2)
oneAPI Deep Neural Network Library (oneDNN) (doc)
onedrive (2.4.10-1)
folder synchronization with OneDrive
oneisenough (0.40-6)
2D platform game about the epic struggle of balls
oneko (1.2.sakura.6-15)
cat chases the cursor (now a mouse) around the screen
oneliner-el (0.3.6-9.1)
extensions of Emacs standard shell-mode
onesixtyone (0.3.3~git20190328-2)
fast and simple SNMP scanner
onionbalance (0.2.0-5)
Tor hidden service load balancer
onioncircuits (0.7-2)
GTK+ application to display Tor circuits and streams
onionshare (2.2-3+deb11u1)
Share a file over Tor Hidden Services anonymously and securely
only (0.0.6.0-2+b2 [armel], 0.0.6.0-2+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
grep-like tool for filtering on words or lines
onscripter (20200722-1)
Visual novel games engine compatible to NScripter
ontospy (1.9.8.3~dfsg-2)
query, inspect and visualize RDF/OWL ontologies - CLI tool
oomd (0.4.0-1+b1)
userspace Out-Of-Memory (OOM) killer for Linux systems
ooo-thumbnailer (0.2-5.2)
thumbnailer for OpenOffice.org documents
ooohg (09.2013-2) [non-free]
Set of 1600 free of charge maps for libreoffice/openoffice.org
opa-address-resolution (10.10.3.0.11-1)
Omni-Path fabric address resolution manager
opa-basic-tools (10.10.3.0.11-1)
Tools to manage an Omni-Path Architecture fabric
opa-fastfabric (10.10.3.0.11-1)
Management node tools for an Omni-Path Architecture fabric
opa-fm (10.10.3.0.11-1)
Intel Omni-Path Fabric Management Software
opal-prd (6.7.1+dfsg-1)
OPAL Processor Recovery Diagnostics daemon
opal-utils (6.7.1+dfsg-1)
OPAL firmware utilities
opalmod (0.2.2+nmu1)
A set of Perl modules for various tasks
opam (2.0.8-1)
package manager for OCaml
opam-doc (2.0.8-1)
package manager for OCaml (documentation)
opam-installer (2.0.8-1)
Utility handling (un)installation of Opam .install files
opari (1.1+dfsg-9)
OpenMP Pragma And Region Instrumentor - translation tool
opari2 (2.0.5-2)
OpenMP Pragma And Region Instrumentor - translation tool
open-adventure (1.9-1)
colossal cave adventure, the 1995 430-point version
open-font-design-toolkit (1.8)
metapackage for open font design
open-infrastructure-apache-icons (20190301-lts1-2)
Additional utilities for Apache (transitional package)
open-infrastructure-apache-tools (20190301-lts1-2)
Additional utilities for Apache
open-infrastructure-ceph-tools (20190301-lts1-2)
Additional utilities to manage Ceph
open-infrastructure-container-tools (20210101-1)
manage systemd-nspawn containers
open-infrastructure-storage-tools (20190301-lts1-2)
Additional utilities to manage Ceph (transitional package)
open-infrastructure-system-boot (20190301-lts1-2)
Boot Debian-based system images
open-infrastructure-system-build (20190301-lts1-2)
Build Debian-based system images
open-infrastructure-system-config (20190301-lts1-2)
Configure Debian-based system images
open-infrastructure-system-images (20190301-lts1-2)
Debian-based system images
open-invaders (0.3-5)
Space Invaders clone
open-invaders-data (0.3-5)
Space Invaders clone (data package)
open-iscsi (2.1.3-5)
iSCSI initiator tools
open-iscsi-udeb (2.1.3-5)
Configure iSCSI
open-isns-discoveryd (0.100-3)
Internet Storage Name Service - iSNS discovery daemon
open-isns-server (0.100-3)
Internet Storage Name Service - iSNS server
open-isns-utils (0.100-3)
Internet Storage Name Service - client utilities
open-jtalk (1.11-1.1)
Japanese text-to-speech system
open-jtalk-mecab-naist-jdic (1.11-1.1)
NAIST Japanese Dictionary for Open JTalk
open-vm-tools (2:11.2.5-2+deb11u3)
Open VMware Tools for virtual machines hosted on VMware (CLI)
open-vm-tools-desktop (2:11.2.5-2+deb11u3)
Open VMware Tools for virtual machines hosted on VMware (GUI)
open-vm-tools-dev (2:11.2.5-2+deb11u3)
Open VMware Tools for virtual machines hosted on VMware (development)
open-vm-tools-sdmp (2:11.2.5-2+deb11u3)
Open VMware Tools for VMs hosted on VMware (Service Discovery Plugin)
open3d-doc (0.9.0+ds-5+deb11u1)
Library for 3D data processing - API Documentation
openafs-client (1.8.6-5)
AFS distributed filesystem client support
openafs-dbserver (1.8.6-5)
AFS distributed filesystem database server
openafs-doc (1.8.6-5)
AFS distributed filesystem documentation
openafs-fileserver (1.8.6-5)
AFS distributed filesystem file server
openafs-fuse (1.8.6-5)
AFS distributed file system experimental FUSE client
openafs-krb5 (1.8.6-5)
AFS distributed filesystem Kerberos 5 integration
openafs-modules-dkms (1.8.6-5)
AFS distributed filesystem kernel module DKMS source
openafs-modules-source (1.8.6-5)
AFS distributed filesystem kernel module source
openal-info (1:1.19.1-2)
Informational utility for the OpenAL audio API
openapi-specification (3.0.3-2)
community-driven open specification within the OpenAPI Initiative
openaptx-utils (0.2.0-5)
Audio Processing Technology codec (aptX), tools
openarena (0.8.8+dfsg-5)
fast-paced 3D first-person shooter
openarena-081-maps (0.8.5split-12)
OpenArena game data - maps from 0.8.1
openarena-081-misc (0.8.5split-12)
OpenArena game data - miscellaneous files from 0.8.1
openarena-081-players (0.8.5split-12)
OpenArena game data - player graphics from 0.8.1
openarena-081-players-mature (0.8.5split-12)
OpenArena game data - "mature" player graphics from 0.8.1
openarena-081-textures (0.8.5split-12)
OpenArena game data - textures from 0.8.1
openarena-085-data (0.8.5split-12)
OpenArena game data - 0.8.5 update
openarena-088-data (0.8.8-10)
OpenArena game data
openarena-data (0.8.5split-12)
OpenArena game data
openarena-oacmp1 (3-5)
OpenArena Community Map Pack 1
openarena-server (0.8.8+dfsg-5)
server and game logic for the game OpenArena
openbabel (3.1.1+dfsg-6)
Chemical toolbox utilities (cli)
openbabel-gui (3.1.1+dfsg-6)
Chemical toolbox utilities (graphical user interface)
openbios-ppc
本虛擬套件由這些套件填實: qemu-system-data
openbios-sparc
本虛擬套件由這些套件填實: qemu-system-data
openboard (1.5.4+dfsg1-2+deb11u1)
Interactive White Board Application
openboard-common (1.5.4+dfsg1-2+deb11u1)
Interactive White Board Application (common files)
openboard-contrib (1.5.4+dfsg1-2+deb11u1) [contrib]
Interactive White Board Application (contrib extras, metapackage)
openboard-fonts-nonfree (1.5.4+nonfree1-1) [non-free]
Interactive White Board Application (non-free fonts)
openbox (3.6.1-9+deb11u1)
standards-compliant, fast, light-weight and extensible window manager
openbox-dev (3.6.1-9+deb11u1)
development files for the openbox window manager
openbox-gnome-session (3.6.1-9+deb11u1)
command line utility to run Openbox as GNOME session
openbox-kde-session (3.6.1-9+deb11u1)
command line utility to run Openbox as KDE SC session
openbox-lxde-session (0.99.2-4)
LXDE session manager and configuration files
openbox-menu (0.8.0+hg20161009-3.1)
openbox pipe-menu to display entries in *.desktop files
openbsc-dev (1.4.0+dfsg1-1)
Header file needed by tools tightly integrated
openbsd-inetd (0.20160825-5)
OpenBSD Internet Superserver
opencc (1.1.1+git20200624+ds2-10)
simplified-traditional Chinese conversion tool
opencfu (4.0.0-1+b1)
count cell colonies (CFUs) on agar plates by processing digital pictures
openchrome-tool (1:0.6.0-4)
Tool for debugging the OpenChrome display driver
opencity (0.0.6.5stable-5)
3D city simulator game
opencity-data (0.0.6.5stable-5)
data for opencity, a 3D city simulator game
opencl-1.2-html-doc (1.0~svn33624-5)
OpenCL 1.2 documentation - html pages
opencl-1.2-man-doc (1.0~svn33624-5)
OpenCL 1.2 documentation - man pages (experimental)
opencl-c-headers (3.0~2020.12.18-1)
OpenCL (Open Computing Language) C header files
opencl-clhpp-headers (3.0~2.0.13-1)
C++ headers for OpenCL development
opencl-clhpp-headers-doc (3.0~2.0.13-1)
documentation for C++ OpenCL headers
opencl-dev
本虛擬套件由這些套件填實: ocl-icd-opencl-dev
opencl-headers (3.0~2020.12.18-1)
OpenCL (Open Computing Language) header files
opencl-icd
本虛擬套件由這些套件填實: mesa-opencl-icd, pocl-opencl-icd, beignet-opencl-icd, intel-opencl-icd, nvidia-tesla-470-opencl-icd, nvidia-tesla-450-opencl-icd, nvidia-opencl-icd, nvidia-tesla-418-opencl-icd, nvidia-legacy-390xx-opencl-icd
opencl-icd-1.1-1
本虛擬套件由這些套件填實: pocl-opencl-icd
opencl-icd-1.2-1
本虛擬套件由這些套件填實: pocl-opencl-icd
openclipart (1:0.18+dfsg-19)
Open Clip Art Library
openclipart-libreoffice (1:0.18+dfsg-19)
clip art for OpenOffice.org/LibreOffice gallery
openclipart-png (1:0.18+dfsg-19)
clip art in PNG format
openclipart-svg (1:0.18+dfsg-19)
clip art in SVG format
openclonk (8.1-2)
multiplayer game of strategy, action and skill
openclonk-data (8.1-2)
multiplayer game of strategy, action and skill - data
opencollada-dev (0.1.0~20180719.619d942+dfsg0-2)
Stream based reader and writer library for COLLADA files (development files)
opencollada-tools (0.1.0~20180719.619d942+dfsg0-2)
Command line tool for validating COLLADA files
opencolorio-doc (1.1.1~dfsg0-7)
complete color management solution - documentation
opencolorio-tools (1.1.1~dfsg0-7)
complete color management solution - utilities
openconnect (8.10-2+b1)
open client for Cisco AnyConnect, Pulse, GlobalProtect VPN
opencpn (5.2.4+dfsg-1)
Open Source Chartplotter and Marine GPS Navigation Software
opencpn-data (5.2.4+dfsg-1)
Open Source Chartplotter and Marine GPS Navigation Software (data)
opencryptoki (3.8.1+dfsg-3.2)
PKCS#11 implementation (daemon)
openctm-doc (1.0.3+dfsg1-2.1)
Documentation for OpenCTM library and tools
openctm-tools (1.0.3+dfsg1-2.1)
Tools for compression of 3D triangle meshes
opencubicplayer (1:0.2.2+ds-1+b1)
UNIX port of Open Cubic Player
opencubicplayer-doc (1:0.2.2+ds-1)
Documentation for UNIX port of Open Cubic Player
opencv-data (4.5.1+dfsg-5)
development data for opencv
opencv-doc (4.5.1+dfsg-5)
OpenCV documentation and examples
opendbx-doc (1.4.6-15)
Opendbx documentation
opendbx-utils (1.4.6-15)
Utility applications using libopendbx
opendbx-utils
本虛擬套件由這些套件填實: opendbx-utils
opendkim (2.11.0~beta2-4+deb11u1)
DomainKeys Identified Mail (DKIM) signing and verifying milter
opendkim-tools (2.11.0~beta2-4+deb11u1)
utilities for administering the OpenDKIM milter
opendmarc (1.4.0~beta1+dfsg-6+deb11u1)
Milter implementation of DMARC
opendnssec (1:2.1.7-2)
dependency package to install full OpenDNSSEC suite
opendnssec-common (1:2.1.7-2)
common configuration files for OpenDNSSEC suite
opendnssec-doc (1:2.1.7-2)
documentation for OpenDNSSEC suite
opendnssec-enforcer (1:2.1.7-2)
tool to prepare DNSSEC keys (common package)
opendnssec-enforcer-backend
本虛擬套件由這些套件填實: opendnssec-enforcer-mysql, opendnssec-enforcer-sqlite3
opendnssec-enforcer-mysql (1:2.1.7-2)
tool to prepare DNSSEC keys (MySQL backend)
opendnssec-enforcer-sqlite3 (1:2.1.7-2)
tool to prepare DNSSEC keys (sqlite3 backend)
opendnssec-signer (1:2.1.7-2)
daemon to sign DNS zone files periodically
opendrop (3.1.7dev0-2)
fully-featured pendant drop tensiometry software
opendrop-doc (3.1.7dev0-2)
fully-featured pendant drop tensiometry software (documentation)
openems (0.0.35+git20190103.6a75e98+dfsg.1-3)
Electromagnetic simulator
openexr (2.5.4-2+deb11u1)
command-line tools for the OpenEXR image format
openexr-doc (2.5.4-2+deb11u1)
documentation and examples for the OpenEXR image format
openexr-viewers (2.3.0-1+b1)
viewer for images in the OpenEXR format
openfoam (1912.200626-1)
Open source toolbox for Computational Fluid Dynamics (CFD) - binaries
openfoam-examples (1912.200626-1)
Open source toolbox for Computational Fluid Dynamics (CFD) - examples
openfortivpn (1.15.0-1)
Fortinet client for PPP+SSL VPN tunnel services
opengl-4-html-doc (1.0~svn33624-1)
OpenGL 4 documentation - html pages
opengl-4-man-doc (1.0~svn33624-1)
OpenGL 4 documentation - man pages (experimental)
openguides (0.84-1)
web application for managing a collaboratively-written city guide
openhpi (3.8.0-2.1)
SAF's HPI: Abstracted interface for managing computer hardware
openhpi-clients (3.8.0-2.1)
OpenHPI example client programs
openhpi-plugin-dynamic-simulator (3.8.0-2.1)
OpenHPI plugin module for a dynamic simulator
openhpi-plugin-ilo2-ribcl (3.8.0-2.1)
OpenHPI plugin module for HP's ProLiant rackmount servers
openhpi-plugin-ipmi (3.8.0-2.1)
OpenHPI plugin module for OpenIPMI
openhpi-plugin-ipmidirect (3.8.0-2.1)
OpenHPI plugin module for direct IPMI over LAN (RMCP) or SMI
openhpi-plugin-oa-soap (3.8.0-2.1)
OpenHPI plugin module for HPE's BladeSystem c-Class
openhpi-plugin-ov-rest (3.8.0-2.1)
OpenHPI plugin module for HPE's Synergy enclosures
openhpi-plugin-simulator (3.8.0-2.1)
OpenHPI plugin module for a simulator that works without hardware
openhpi-plugin-slave (3.8.0-2.1)
OpenHPI plugin module for slave plugin
openhpi-plugin-snmp-bc (3.8.0-2.1)
OpenHPI plugin module for IBM's BladeCenter or RSA over SNMP
openhpi-plugin-sysfs (3.8.0-2.1)
OpenHPI plugin module for the sysfs filesystem
openhpi-plugin-test-agent (3.8.0-2.1)
OpenHPI plugin module for test agent plugin
openhpi-plugin-watchdog (3.8.0-2.1)
OpenHPI plugin module for the Linux watchdog interface
openhpid (3.8.0-2.1)
OpenHPI daemon, supports gathering of manageability information
openid-connect-provider
本虛擬套件由這些套件填實: lemonldap-ng
openid-connect-relying-party
本虛擬套件由這些套件填實: lemonldap-ng
openigtlink-doc (1.11.0-4)
Open IGT Link is a simple network protocol - documentation
openigtlink-examples (1.11.0-4)
Open IGT Link is a simple network protocol - examples
openimageio-tools (2.2.10.1+dfsg-1+deb11u1)
Library for reading and writing images - command line tools
openipmi (2.0.29-0.1+b1)
Intelligent Platform Management Interface (for servers)
openjade (1.4devel1-22)
Implementation of the DSSSL language
openjazz (20190106-3) [contrib]
Jazz Jackrabbit™ game engine reimplementation
openjdk-11-dbg (11.0.22+7-1~deb11u1)
Java runtime based on OpenJDK (debugging symbols)
openjdk-11-demo (11.0.22+7-1~deb11u1)
Java runtime based on OpenJDK (demos and examples)
openjdk-11-doc (11.0.22+7-1~deb11u1)
OpenJDK Development Kit (JDK) documentation
openjdk-11-jdk (11.0.22+7-1~deb11u1)
OpenJDK Development Kit (JDK)
openjdk-11-jdk-headless (11.0.22+7-1~deb11u1)
OpenJDK Development Kit (JDK) (headless)
openjdk-11-jre (11.0.22+7-1~deb11u1)
OpenJDK Java runtime, using Hotspot JIT
openjdk-11-jre-dcevm (11.0.12+7-1)
Alternative VM for OpenJDK 11 with enhanced class redefinition
openjdk-11-jre-headless (11.0.22+7-1~deb11u1)
OpenJDK Java runtime, using Hotspot JIT (headless)
openjdk-11-jre-zero (11.0.22+7-1~deb11u1)
Alternative JVM for OpenJDK, using Zero
openjdk-11-jre-zero
本虛擬套件由這些套件填實: openjdk-11-jre-headless
openjdk-11-source (11.0.22+7-1~deb11u1)
OpenJDK Development Kit (JDK) source files
openjdk-17-dbg (17.0.10+7-1~deb11u1)
Java runtime based on OpenJDK (debugging symbols)
openjdk-17-demo (17.0.10+7-1~deb11u1)
Java runtime based on OpenJDK (demos and examples)
openjdk-17-doc (17.0.10+7-1~deb11u1)
OpenJDK Development Kit (JDK) documentation
openjdk-17-jdk (17.0.10+7-1~deb11u1)
OpenJDK Development Kit (JDK)
openjdk-17-jdk-headless (17.0.10+7-1~deb11u1)
OpenJDK Development Kit (JDK) (headless)
openjdk-17-jre (17.0.10+7-1~deb11u1)
OpenJDK Java runtime, using Hotspot JIT
openjdk-17-jre-headless (17.0.10+7-1~deb11u1)
OpenJDK Java runtime, using Hotspot JIT (headless)
openjdk-17-jre-zero (17.0.10+7-1~deb11u1)
Alternative JVM for OpenJDK, using Zero
openjdk-17-jre-zero
本虛擬套件由這些套件填實: openjdk-17-jre-headless
openjdk-17-source (17.0.10+7-1~deb11u1)
OpenJDK Development Kit (JDK) source files
openjfx (11.0.11+0-1)
JavaFX/OpenJFX - Rich client application platform for Java
openjfx-source (11.0.11+0-1)
JavaFX/OpenJFX - Rich client application platform for Java (sources)
openkim-models (1:2021.01.28-2)
Models and model-drivers for KIM-API
openldap-utils
本虛擬套件由這些套件填實: ldap-utils
openlp (2.4.6-1)
Church lyrics projection application
openmcdf (1.5.4-3)
Structured Storage Explorer
openmolcas (20.10-2)
Quantum chemistry software package
openmolcas-data (20.10-2)
Quantum chemistry software package (data files)
openmotor (0.4.0-4)
internal ballistics simulator for rocket motor experimenters
openmpi-bin (4.1.0-10)
high performance message passing library -- binaries
openmpi-common (4.1.0-10)
high performance message passing library -- common files
openmpi-doc (4.1.0-10)
high performance message passing library -- man pages
openmpt123 (0.4.11-1)
module music library based on OpenMPT -- music player
openms (2.6.0+cleaned1-3)
package for LC/MS data management and analysis
openms-common (2.6.0+cleaned1-3)
package for LC/MS data management and analysis - shared data
openms-doc (2.6.0+cleaned1-3)
package for LC/MS data management and analysis - documentation
openmsx (16.0-1)
MSX emulator that aims for perfection
openmsx-catapult (16.0-1)
GUI for openMSX
openmsx-data (16.0-1)
datafiles for openMSX, an MSX emulator
openmsx-debugger (0.1~git20200913-1)
Graphical debugger for openMSX
openmw (0.46.0-3)
Open-world RPG game engine
openmw-cs (0.46.0-3)
Content editor for use with OpenMW
openmw-data (0.46.0-3)
Resources for the OpenMW engine
openmw-launcher (0.46.0-3)
Launcher for OpenMW using the Qt-Gui-Toolkit
openni-doc (1.5.4.0+dfsg-4)
developer documentation for OpenNI frameworks
openni-utils (1.5.4.0+dfsg-4)
debug and test utilities OpenNI framework
openni2-doc (2.2.0.33+dfsg-15)
developer documentation for OpenNI frameworks
openni2-utils (2.2.0.33+dfsg-15)
debug and test utilities OpenNI2 framework
opennlp (1.9.3-1)
wrapper for Apache OpenNLP natural language text processing toolkit
openntpd (1:6.2p3-4.2)
OpenBSD NTP daemon
openobex-apps (1.7.2-1+b1)
Applications for OpenOBEX
openocd (0.11.0~rc2-1)
Open on-chip JTAG/SWD debug solution for embedded target devices
openoffice.org-hyphenation-pl
本虛擬套件由這些套件填實: hyphen-pl
openoffice.org-hyphenation-ru
本虛擬套件由這些套件填實: hyphen-ru
openoffice.org-spellcheck-an
本虛擬套件由這些套件填實: hunspell-an
openoffice.org-spellcheck-ca
本虛擬套件由這些套件填實: hunspell-ca
openoffice.org-spellcheck-eo
本虛擬套件由這些套件填實: myspell-eo
openoffice.org-spellcheck-es
本虛擬套件由這些套件填實: myspell-es
openoffice.org-spellcheck-eu
本虛擬套件由這些套件填實: hunspell-eu
openoffice.org-spellcheck-fo
本虛擬套件由這些套件填實: myspell-fo
openoffice.org-spellcheck-fr-fr
本虛擬套件由這些套件填實: myspell-fr-gut
openoffice.org-spellcheck-nb
本虛擬套件由這些套件填實: myspell-nb
openoffice.org-spellcheck-nn
本虛擬套件由這些套件填實: myspell-nn
openoffice.org-spellcheck-tl
本虛擬套件由這些套件填實: myspell-tl
openoffice.org-spellcheck-uz
本虛擬套件由這些套件填實: hunspell-uz
openoffice.org-thesaurus-de
本虛擬套件由這些套件填實: mythes-de
openoffice.org-thesaurus-de-ch
本虛擬套件由這些套件填實: mythes-de-ch
openoffice.org-thesaurus-en-au
本虛擬套件由這些套件填實: mythes-en-au
openoffice.org-thesaurus-pl
本虛擬套件由這些套件填實: mythes-pl
openoffice.org-updatedicts
本虛擬套件由這些套件填實: dictionaries-common
openoffice.org2-thesaurus
本虛擬套件由這些套件填實: mythes-en-au
openorienteering-mapper (0.9.4-2)
Orienteering map drawing application
openoverlayrouter (1.3.0+ds1-3)
deploy programmable overlay networks
openpace (1.1.0+ds-1+b1)
cryptographic library for EAC version 2 (tools)
openpgp-applet (1.1-3)
GNOME applet for OpenPGP text encryption
openpref (0.1.3-3+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.1.3-3 [armel, armhf])
card game against two virtual players
openprinting-ppds (20200820-1)
OpenPrinting printer support - PostScript PPD files
openrazer-daemon (2.9.0+dfsg-1)
OpenRazer peripheral drivers (daemon)
openrazer-doc (2.9.0+dfsg-1)
OpenRazer peripheral drivers (documentation)
openrazer-driver-dkms (2.9.0+dfsg-1)
OpenRazer peripheral drivers (DKMS)
openrazer-kernel-modules-dkms
本虛擬套件由這些套件填實: openrazer-driver-dkms
openrazer-meta (2.9.0+dfsg-1)
OpenRazer peripheral drivers (metapackage)
openrc (0.42-2.1)
dependency based service manager (runlevel change mechanism)
openresolv (3.12.0-1)
management framework for resolv.conf
opensaml-schemas (3.2.0-2)
Security Assertion Markup Language library (XML schemas)
opensaml-tools (3.2.0-2)
Security Assertion Markup Language command-line tools
opensbi (0.9-1)
RISC-V Open Source Supervisor Binary Interface
opensc (0.21.0-1)
Smart card utilities with support for PKCS#15 compatible cards
opensc-pkcs11 (0.21.0-1)
Smart card utilities with support for PKCS#15 compatible cards
openscad (2021.01-1)
script file based graphical CAD environment
openscad-mcad (2019.05-1)
library for the OpenSCAD 3D modeling software
openscad-testing (2021.01-1)
script file based graphical CAD environment (test suite)
openscad-testing-data (2021.01-1)
script file based graphical CAD environment (test suite data)
openscenegraph (3.6.5+dfsg1-7+b1)
3D scene graph, utilities and examples (binaries)
openscenegraph-doc (3.6.5+dfsg1-7)
3D scene graph, documentation
openscenegraph-examples (3.6.5+dfsg1-7)
3D scene graph, examples (sources)
opense-basic (1:3.2.1-1)
Free software ROM for the Sinclair ZX Spectrum
openshift-imagebuilder (1.1.6-2+b8)
Builds container images using Dockerfile as imput
openshot (2.5.1+dfsg1-1)
create and edit videos and movies (transitional package)
openshot-doc (2.5.1+dfsg1-1)
documentation for openshot-qt (transitional package)
openshot-qt (2.5.1+dfsg1-1)
create and edit videos and movies
openshot-qt-doc (2.5.1+dfsg1-1)
documentation for openshot-qt
openslide-tools (3.4.1+dfsg-5)
Manipulation and conversion tools for OpenSlide
opensm (3.3.23-2)
InfiniBand subnet manager
opensm-doc (3.3.23-2)
Documentation for the InfiniBand subnet manager
opensmtpd (6.8.0p2-3)
secure, reliable, lean, and easy-to configure SMTP server
opensmtpd-extras (6.7.1-2)
addons for the OpenSMTPD SMTP server
opensmtpd-filter-rspamd (0.1.7-1+b5)
OpenSMTPD filter integration for the Rspamd daemon
opensmtpd-filter-senderscore (0.1.1-2+b6)
OpenSMTPD filter integration for SenderScore reputation
opensp (1.5.2-13+b2)
OpenJade group's SGML parsing tools
openssh-client (1:8.4p1-5+deb11u3)
secure shell (SSH) client, for secure access to remote machines
openssh-client-ssh1 (1:7.5p1-12)
secure shell (SSH) client for legacy SSH1 protocol
openssh-client-udeb (1:8.4p1-5+deb11u3)
secure shell client for the Debian installer
openssh-known-hosts (0.6.2-1.1)
download, filter and merge known_hosts for OpenSSH
openssh-server (1:8.4p1-5+deb11u3)
secure shell (SSH) server, for secure access from remote machines
openssh-server-udeb (1:8.4p1-5+deb11u3)
secure shell server for the Debian installer
openssh-sftp-server (1:8.4p1-5+deb11u3)
secure shell (SSH) sftp server module, for SFTP access from remote machines
openssh-tests (1:8.4p1-5+deb11u3)
OpenSSH regression tests
openssl (1.1.1w-0+deb11u1)
Secure Sockets Layer toolkit - cryptographic utility
openssl-ibmca (1.4.0-1+b1)
libica engine for OpenSSL
openssn (1.4-3)
modern submarine tactical simulator
openssn-data (1.4-3)
modern submarine tactical simulator (data)
opensta (0~20191111gitc018cb2+dfsg-1)
Gate-level Static Timing Analyzer
opensta-dev (0~20191111gitc018cb2+dfsg-1)
Gate-level Static Timing Analyzer - development files
openstack-clients (0.31)
Metapackage to install all Openstack clients
openstack-cloud-identity (0.31)
Metapackage to install an Openstack keystone
openstack-cloud-services (0.31)
Metapackage to install all Openstack service dependencies
openstack-cluster-installer (40.1)
automatic PXE and puppet-master installer for OpenStack
openstack-cluster-installer-agent (40.1)
automatic PXE and puppet-master installer for OpenStack - agent
openstack-cluster-installer-cli (40.1)
automatic PXE and puppet-master installer for OpenStack - API client
openstack-cluster-installer-common (40.1)
automatic PXE and puppet-master installer for OpenStack - agent
openstack-cluster-installer-poc (40.1)
automatic PXE and puppet-master installer for OpenStack - PoC
openstack-cluster-installer-utils (40.1)
automatic PXE and puppet-master installer for OpenStack - utils
openstack-compute-node (0.31)
Metapackage to install an Openstack compute node
openstack-dashboard (3:18.6.2-5+deb11u2)
web application to control an OpenStack cloud
openstack-dashboard-apache (3:18.6.2-5+deb11u2)
web application to control an OpenStack cloud - Apache support
openstack-debian-images (1.60)
script to build a Debian image for OpenStack
openstack-deploy (0.31)
Tools to deploy OpenStack
openstack-pkg-tools (117)
Tools and scripts for building Openstack packages in Debian
openstack-proxy-node (0.31)
Metapackage to install an Openstack proxy node
openstack-puppet-modules (0.31)
Metapackage to install all OpenStack puppet modules
openstack-tempest-ci (0.31)
validate OpenStack Debian packages using tempest and openstack-deploy
openstack-tempest-ci-live-booter (0.31)
configures a server to boot a custom live image to test OpenStack
openstack-toaster (0.31)
Metapackage to install all of Openstack services at once
openstereogram (0.1+20080921-3)
Stereogram ("Magic Eye") generator with GUI
openstructure (2.2.0-6)
Open-Source Computational Structural Biology Framework
opensubdiv-tools (3.4.3-3)
high performance subdivision surface (subdiv) library - tools
opensurgsim-doc (0.7.0-11)
Free platform for surgical simulation - documentation
opensysusers (0.6-2)
processes sysusers.d directory to create system users
openthesaurus-de-text (20160424-4)
German Text Thesaurus for e.g. ding
openttd (1.10.3-1)
reimplementation of Transport Tycoon Deluxe with enhancements
openttd-data (1.10.3-1)
common data files for the OpenTTD game
openttd-opengfx (0.6.0-1)
free graphics set for use with the OpenTTD game
openttd-openmsx (0.3.1-6)
free music set for use with the OpenTTD game
openttd-opensfx (0.2.3-6) [non-free]
sound set for use with the OpenTTD game
opentype-sanitizer (8.1.3+dfsg-1)
tools to validate and sanitize OTF/TTF/WOFF/WOFF2 font files
opentyrian (2.1.20130907+dfsg-4) [contrib]
open-source port of the DOS shoot-em-up Tyrian
openuniverse (1.0beta3.1+dfsg-6.1)
3D Universe Simulator
openuniverse-common (1.0beta3.1+dfsg-6.1)
3D Universe Simulator data files
openvanilla-imgeneric (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method
openvanilla-imgeneric-data-all (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - data files meta package
openvanilla-imgeneric-data-ja (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Japanese data files
openvanilla-imgeneric-data-ko (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Korean data files
openvanilla-imgeneric-data-th (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Thai data files
openvanilla-imgeneric-data-vi (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Vietnamese data files
openvanilla-imgeneric-data-zh-cn (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Chinese (Simplified) data files
openvanilla-imgeneric-data-zh-hk (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Chinese (Hongkong) data files
openvanilla-imgeneric-data-zh-tw (0.9.0a1.3+dfsg1-3)
libraries of openvanilla input method - Chinese (Traditional) data files
openvpn (2.5.1-3)
virtual private network daemon
openvpn-auth-ldap (2.0.4-1)
OpenVPN LDAP authentication module
openvpn-auth-radius (2.1-7+deb11u1)
OpenVPN RADIUS authentication module
openvpn-systemd-resolved (1.3.0-3.1)
integrates OpenVPN with systemd-resolved
openvswitch-common (2.15.0+ds1-2+deb11u5) [security]
Open vSwitch common components
openvswitch-dbg (2.15.0+ds1-2+deb11u5) [security]
Debug symbols for Open vSwitch packages
openvswitch-dev (2.15.0+ds1-2+deb11u5) [security]
Open vSwitch development package
openvswitch-ipsec (2.15.0+ds1-2+deb11u5) [security]
Open vSwitch IPsec tunneling support
openvswitch-pki (2.15.0+ds1-2+deb11u5) [security]
Open vSwitch public key infrastructure dependency package
openvswitch-switch (2.15.0+ds1-2+deb11u5) [security]
Open vSwitch switch implementations
openvswitch-switch-dpdk (2.15.0+ds1-2+deb11u5) [security]
DPDK enabled Open vSwitch switch implementation
openvswitch-test
本虛擬套件由這些套件填實: openvswitch-common
openvswitch-testcontroller (2.15.0+ds1-2+deb11u5) [security]
Simple controller for testing OpenFlow setups
openvswitch-vtep (2.15.0+ds1-2+deb11u5) [security]
Open vSwitch VTEP utilities
openwince-include (0.3.2-4)
Common include files for the open wince project
openwince-jtag (0.5.1-8)
allows programming jtag capable devices such as CPUs or FPGAs
openxr-layer-apidump (1.0.14~dfsg1-1)
OpenXR software development kit -- API dump layer
openxr-layer-corevalidation (1.0.14~dfsg1-1)
OpenXR software development kit -- validation layer
openyahtzee (1.9.3-4)
classic dice game of Yahtzee
openzwave (1.6.1545+ds-2)
Sample Program for libopenzwave
opgpcard (0.3.0-2)
tool to create printable business cards including OpenPGP
ophcrack (3.8.0-3)
Microsoft Windows password cracker using rainbow tables (gui)
ophcrack-cli (3.8.0-3)
Microsoft Windows password cracker using rainbow tables (cmdline)
oping (1.10.0-4+b1)
sends ICMP_ECHO requests to network hosts
opl3-soundfont (1.0-4)
OPL3 SoundFont that simulates the sound of an OPL3 chip
opt (3.19-1.3+b1)
Options Parsing Tool library
optgeo (2.25-2)
simulator for geometrical optics
opticalraytracer (9.6-1)
Virtual lens/mirror design workshop
optimir (1.0-3)
Integrating genetic variations in miRNA alignment
optipng (0.7.7-1+b1 [amd64], 0.7.7-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
advanced PNG (Portable Network Graphics) optimizer
opus-tools (0.1.10-1+b1 [amd64], 0.1.10-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Opus codec command line tools
opus-tools-dbg (0.1.10-1+b1 [amd64], 0.1.10-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
debugging symbols for opus-tools
ora2pg (21.0-2)
Oracle/MySQL to PostgreSQL database schema converter
orbital-eunuchs-sniper (1.30+svn20070601-4.1)
anti-terrorist, pro-Eunuchs, satellite sniping game
orbital-eunuchs-sniper-data (1.30+svn20070601-4.1)
game data files for the game Orbital Eunuchs Sniper
orca (3.38.2-2)
Scriptable screen reader
orca-sops (1.0.2-2)
simple orca plugin system
oregano (0.84.41+dfsg.1-1)
tool for schematical capture of electronic circuits
org-mode (9.4.0+dfsg-1+deb11u1)
Transition Package, org-mode to elpa-org
org-mode
本虛擬套件由這些套件填實: elpa-org
org-mode-doc (9.4.0-2) [non-free]
keep notes, maintain ToDo lists, and do project planning in emacs
org-roam-doc (1.2.3-2)
non-hierarchical note-taking with Emacs Org-mode -- documentation
original-awk (2018-08-27-1)
The original awk described in "The AWK Programming Language"
ormolu (0.1.2.0-1)
formatter for Haskell source code
oroborus (2.0.20+nmu1)
A lightweight themeable windowmanager for X
orphan-sysvinit-scripts (0.07)
Orphaned System-V-like init scripts
orpie (1.6.1-1)
RPN calculator for the terminal
orthanc (1.9.2+really1.9.1+dfsg-1+deb11u1)
Lightweight, RESTful DICOM server for medical imaging
orthanc-dev (1.9.2+really1.9.1+dfsg-1+deb11u1)
Orthanc development files
orthanc-dicomweb (1.5+dfsg-3)
Plugin to extend Orthanc with support of WADO and DICOMweb
orthanc-doc (1.9.2+really1.9.1+dfsg-1+deb11u1)
Documentation of Orthanc
orthanc-gdcm (1.2-1)
DICOM transcoder/decoder for Orthanc using GDCM (notably for JPEG2k)
orthanc-imagej (1.2+dfsg-3)
ImageJ plugin to import images from Orthanc
orthanc-mysql (3.0-1)
Plugins to use MySQL or MariaDB as a database back-end to Orthanc
orthanc-postgresql (3.3-1)
Plugins to use PostgreSQL as a database back-end to Orthanc
orthanc-python (3.1+ds-1)
Develop plugins for Orthanc using the Python programming language
orthanc-webviewer (2.7-4)
Web viewer of medical images for Orthanc
orthanc-wsi (1.0-3)
Whole-slide imaging support for Orthanc (digital pathology)
os-autoinst (4.6.1604525166.912dfbd-0.3)
automated testing of Operating Systems
os-brick-common (4.0.1-2)
Library for managing local volume attaches - common files
os-prober (1.79)
utility to detect other OSes on a set of drives
os-prober
本虛擬套件由這些套件填實: os-prober-udeb
os-prober-udeb (1.79)
utility to detect other OSes on a set of drives
os8 (2.1-7) [non-free]
DEC OS8 images for a PDP-8 emulator
osc (0.169.1-1)
Open Build Service commander
osc-plugin-dput (20210202.0-1)
dput plugin for OpenSUSE (buildsystem) commander
osc-plugins-dput (20210202.0-1)
dput plugin for osc (transitional package)
osc-plugins-dput
本虛擬套件由這些套件填實: osc-plugin-dput
oscar (1.2.0-1)
Open Source CPAP Analysis Reporter (OSCAR)
osdclock (0.5-24)
Clock using the XOSD library
osdlyrics (0.5.5~rc2+dfsg1-2)
Show synchronized lyrics with various media players
osdsh (0.7.0-10.5)
overlays your screen with various system information
osinfo-db (0.20210215-1)
Operating system database files
osinfo-db-tools (1.1.0-1+b1)
libosinfo database tools
osk-sdl (0.62.1-2)
Lightweight On-Screen-Keyboard based on SDL2
osm2navit
本虛擬套件由這些套件填實: maptool
osm2pgrouting (2.3.7-1)
Tool to import OpenStreetMap data into a pgRouting database
osm2pgsql (1.4.1+ds-2)
OpenStreetMap data to PostgreSQL converter
osmcoastline (2.3.0-1+deb11u1)
Extract coastline data from OpenStreetMap planet file
osmctools (0.9-3)
Some tools to manipulate OpenStreetMap files
osmid (0.8.0~repack-2)
tool to convert MIDI to OSC and OSC to MIDI
osmium-tool (1.13.1-1)
Command line tool for working with OpenStreetMap data
osmo (0.4.4-1)
personal organizer for GTK+
osmo-bsc (1.6.1+dfsg1-4)
GSM Base Station Controller
osmo-bsc-bs11-utils (1.6.1+dfsg1-4)
Command line utilities for Siemens BS-11 BTS
osmo-bsc-ipaccess-utils (1.6.1+dfsg1-4)
Command line utilities for ip.access nanoBTS
osmo-bsc-meas-utils (1.6.1+dfsg1-4)
Command line utilities to manage measurement reports
osmo-bts (1.2.2+dfsg1-2)
Base Transceiver Station for GSM
osmo-fl2k (0.1.1-1)
use a VGA USB adapter as DAC and SDR transmitter
osmo-gbproxy (1.6.2+dfsg1-3)
GPRS Gb Interface Proxy
osmo-ggsn (1.6.0-2)
Osmocom Gateway GPRS Support Node (GGSN)
osmo-gtphub (1.6.2+dfsg1-3)
Proxy for GTP traffic between multiple SGSNs and GGSNs
osmo-hlr (1.2.1+dfsg1-1)
Osmocom Home Location Register
osmo-hnbgw (0.6.1+dfsg1-2)
osmocom Home Node B Gateway
osmo-libasn1c-dev (0.9.32-1+b1)
asn1c runtime code as shared library - development files
osmo-libasn1c1 (0.9.32-1+b1)
asn1c runtime code as shared library
osmo-mgw (1.7.0+dfsg1-2)
Osmocom's Media Gateway for 2G and 3G circuit-switched mobile networks
osmo-msc (1.6.3+dfsg1-2)
Osmocom's Mobile Switching Center for 2G and 3G mobile networks
osmo-pcu (0.8.0-3)
Osmocom GPRS/EDGE Packet Control Unit (PCU)
osmo-sdr (0.1.8.effcaa7-7+b1)
Software defined radio support for OsmoSDR hardware (tools)
osmo-sgsn (1.6.2+dfsg1-3)
Serving GPRS Support Node for 2G and 3G networks
osmo-stp (1.3.0+dfsg1-3)
Osmocom SIGTRAN STP (Signaling Transfer Point)
osmo-trx (1.2.0-2+b1)
SDR transceiver that implements Layer 1 of a GSM BTS
osmocom-bs11-utils (1.4.0+dfsg1-1)
Command line utilities for Siemens BS-11 BTS
osmocom-bsc (1.4.0+dfsg1-1)
GSM Base Station Controller
osmocom-bsc-nat (1.4.0+dfsg1-1)
Osmocom Base Station Controller Network Address Translation
osmocom-nitb (1.4.0+dfsg1-1)
GSM Network-in-a-Box, implements BSC, MSC, SMSC, HLR, VLR
osmose-emulator (1.6-1)
Sega Master System and Game Gear console emulator
osmosis (0.48.3-1)
Command line OpenStreetMap data processor
osmpbf-bin (1.5.0-1+b1)
OpenStreetMap PBF file format library - tools
ospics (0.73-10)
Some images of operating system logos/mascots
osptoolkit (4.13.0-1)
Open source client side development kit for Open Settlement Protocol
oss-compat (7)
Open Sound System (OSS) compatibility package
oss-compat
本虛擬套件由這些套件填實: osspd
oss-preserve (1.1-6.1)
Program to save/restore OSS mixer settings
ossim-core (2.9.1-3+b1)
OSSIM core utilities
osslsigncode (2.1-1)
Authenticode signing tool
osspd (1.3.2-12.2)
OSS Proxy Daemon: Userland OSS emulation
osspd-alsa (1.3.2-12.2)
OSS Proxy Daemon: ALSA backend (experimental)
osspd-backend
本虛擬套件由這些套件填實: osspd-pulseaudio, osspd-alsa
osspd-pulseaudio (1.3.2-12.2)
OSS Proxy Daemon: PulseAudio backend
ostinato (1.1-1+b1)
Packet/Traffic Generator and Analyzer
ostree (2020.8-2+deb11u1)
content-addressed filesystem for operating system binaries
ostree-boot (2020.8-2+deb11u1)
libostree boot loader and initramfs integration
ostree-grub2 (= 2020.8-2+deb11u1)
本虛擬套件由這些套件填實: ostree-boot
ostree-push (0.20170708+gitabc601f-2)
push commits from local OSTree repo to a remote
ostree-tests (2020.8-2+deb11u1)
content-addressed filesystem for operating system binaries - tests
otags (4.05.1-2+b2)
tags file generator for OCaml
otb-bin (7.2.0+dfsg-1+b3)
ORFEO Toolbox command line applications
otb-bin-qt (7.2.0+dfsg-1+b3)
ORFEO Toolbox graphical user interface applications
otb-i18n (7.2.0+dfsg-1)
ORFEO Toolbox translations
otb-qgis (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - otbQgisDescriptor
otb-testdriver (7.2.0+dfsg-1+b3)
ORFEO Toolbox library - OTBTestDriver
otcl-dbg (1.14+dfsg-4+b1)
debug symbol of OTcl (libotcl1 and otcl-shells)
otcl-shells (1.14+dfsg-4+b1)
OTcl shells
otf-stix
本虛擬套件由這些套件填實: fonts-stix
otf-trace (1.12.5+dfsg-8)
Open Trace Format support library - development files
otf2bdf (3.1-4.1)
generate BDF bitmap fonts from OpenType outline fonts
othman (0.6.0-2) [non-free]
electronic Quran browser
otp (1:1.2.2-1+b1)
Generator for One Time Pads or Passwords
otpclient (2.4.2-1)
Simple GTK+ software to generate OTPs (TOTP and HOTP)
otpclient-cli (2.4.2-1)
command-line for OTPClient
otpw-bin (1.5-2+b1 [amd64], 1.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
OTPW programs for generating OTPW lists
otrs (6.0.32-6) [non-free]
Open Ticket Request System (Znuny 6)
otrs2 (6.0.32-6) [non-free]
Open Ticket Request System Znuny
ots (0.5.0-6)
Open Text Summarizer
out-of-order (1.0-3) [non-free]
comedy science fiction adventure game
outguess (1:0.2.2-5)
universal steganographic tool
overgod (1.0-6)
bi-directional scrolling arcade game
overgod-data (1.0-6)
graphics and audio data for overgod
ovmf (2020.11-2+deb11u2) [security]
UEFI firmware for 64-bit x86 virtual machines
ovmf-ia32 (2020.11-2+deb11u2) [security]
UEFI firmware for 32-bit x86 virtual machines
ovn-common
本虛擬套件由這些套件填實: openvswitch-common
ovn-docker
本虛擬套件由這些套件填實: openvswitch-common
ow-shell (3.2p4+dfsg1-4+b1)
shell utilities to talk to an 1-Wire owserver
ow-tools (3.2p4+dfsg1-4)
tools to monitor or inspect a ow-server link
owfs (3.2p4+dfsg1-4)
Dallas 1-wire support
owfs-common (3.2p4+dfsg1-4)
common files used by any of the OWFS programs
owfs-doc (3.2p4+dfsg1-4)
Dallas 1-wire support: Documentation for owfs
owfs-fuse (3.2p4+dfsg1-4+b1)
1-Wire filesystem
owftpd (3.2p4+dfsg1-4+b1)
FTP daemon providing access to 1-Wire networks
owhttpd (3.2p4+dfsg1-4+b1)
HTTP daemon providing access to 1-Wire networks
owserver (3.2p4+dfsg1-4+b1)
Backend server for 1-Wire control
owslib-doc (0.23.0-1+deb11u1)
Client library for Open Geospatial (OGC) web services (Documentation)
owx (0~20110415-3.1+b2)
utility to program Wouxun dual-band handheld radios
oxref (2.00.00-2+b1)
cross reference utility
oxygen-icon-theme (5:5.78.0-2)
Oxygen icon theme
oxygen-sounds (4:5.20.5-1)
Sounds for the Oxygen desktop theme
oxygencursors (0.0.2012-06-kde4.8-4)
Oxygen mouse cursor theme
oysttyer (2.10.0-1) [non-free]
console Twitter client
oz (0.17.0-4)
Install virtual machine guest OSs with minimal input from the user
p0f (3.09b-3)
Passive OS fingerprinting tool
p10cfgd (1.1-1)
Remote configuration daemon for Gracilis Packeten
p11-kit (0.23.22-1)
p11-glue utilities
p11-kit-modules (0.23.22-1)
p11-glue proxy and trust modules
p7zip (16.02+dfsg-8)
7zr file archiver with high compression ratio
p7zip-full (16.02+dfsg-8)
7z and 7za file archivers with high compression ratio
p7zip-rar (16.02-3) [non-free]
non-free rar module for p7zip
p910nd (0.97-1+b1)
small printer daemon intended for diskless workstations
pacemaker (2.0.5-2)
cluster resource manager
pacemaker-cli-utils (2.0.5-2)
cluster resource manager command line utilities
pacemaker-common (2.0.5-2)
cluster resource manager common files
pacemaker-dev (2.0.5-2)
cluster resource manager development
pacemaker-doc (2.0.5-2)
cluster resource manager HTML documentation
pacemaker-remote (2.0.5-2)
cluster resource manager proxy daemon for remote nodes
pacemaker-resource-agents (2.0.5-2)
cluster resource manager general resource agents
pachi (1:1.0-10)
Platform game featuring Pachi el marciano
pachi-data (1:1.0-10)
Platform game featuring Pachi el marciano (data files)
package-update-indicator (7-1)
Notify about available software updates
packagekit (1.2.2-2)
Provides a package management service
packagekit-command-not-found (1.2.2-2)
Offer to install missing programs automatically
packagekit-docs (1.2.2-2)
Documentation for PackageKit
packagekit-gtk3-module (1.2.2-2)
Install fonts automatically using PackageKit
packagekit-tools (1.2.2-2)
Provides PackageKit command-line tools
packagesearch (2.7.11+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.7.11+b1 [armel, armhf])
GUI for searching packages and viewing package information
packaging-dev (0.8+nmu1)
convenient tools to develop packages
packaging-tutorial (0.27)
introduction to Debian packaging
packer (1.6.6+ds1-2+b3)
tool for creating machine images for multiple platforms
packeth (1.6.5-2.1)
Ethernet packet generator
packetsender (7.0.5-1)
Network utility for sending and receiving TCP, UDP, SSL packets
packit (1.7-2)
network packet generator and capture tool
packmol (20.010-1)
Initial configurations for Molecular Dynamics Simulations
packup (0.6-3)
CUDF solver based on pseudo-Boolean constraints
pacman (10-18)
Chase Monsters in a Labyrinth
pacman4console (1.3-1+b4)
ncurses-based pacman game
pacpl (6.1.2-2)
multi-purpose audio converter/ripper/tagger script
pacvim (1.1.1-1)
pacman game concept with vim command
pads (1.2-12)
Passive Asset Detection System
padthv1 (0.9.20-1)
old-school polyphonic additive synthesizer - standalone app
padthv1-common (0.9.20-1)
old-school polyphonic additive synthesizer - common files
padthv1-lv2 (0.9.20-1)
old-school polyphonic additive synthesizer - LV2 plugin
paexec (1.1.1-1)
execute tasks in parallel
page-crunch (1.0.1-3.1)
PDF and PS manipulation for printing needs
pageedit (1.4.0+dfsg-1)
ePub visual XHTML editor based on Sigil's Deprecated BookView
pagein (0.01.05-1)
tool to force swapped out pages to be resident in memory
pagekite (1.5.2.200603-2)
Make localhost servers publicly visible
pagemon (0.01.21-1)
interactive memory/page monitoring tool
pages2epub (0.9.6-2)
Apple Pages to EPUB converter
pages2odt (0.9.6-2)
Apple Pages text documents to OpenDocument converter
pagetools (0.1-3)
Automatic deskew and bounding box determination for scanned page images
pagure (5.11.3+dfsg-1)
git-centered forge using pygit2
pagure-ci (5.11.3+dfsg-1)
git-centered forge using pygit2 - CI integration server
pagure-doc (5.11.3+dfsg-1)
git-centered forge using pygit2 (documentation)
pagure-ev-server (5.11.3+dfsg-1)
git-centered forge using pygit2 - EventSource server
pagure-loadjson (5.11.3+dfsg-1)
git-centered forge using pygit2 - JSON load server
pagure-logcom (5.11.3+dfsg-1)
git-centered forge using pygit2 - commit log server
pagure-milters (5.11.3+dfsg-1)
git-centered forge using pygit2 - milters (mail filters)
pagure-mirror (5.11.3+dfsg-1)
git-centered forge using pygit2 - mirror
pagure-webhook (5.11.3+dfsg-1)
git-centered forge using pygit2 - web-hook server
paho.mqtt.c-examples (1.3.8-1)
Eclipse Paho MQTT C client - example files
painintheapt (0.20181201-2)
Pester people about available package updates by email or jabber
paje.app (1.98-1+b8)
generic visualization tool (Gantt chart and more)
pajeng (1.3.6-2)
space-time view and associated tools for Paje trace files
pakcs (3.3.0-1)
Portland Aachen Kiel Curry Compiler
pal (0.4.3-8.1+b5)
command-line calendar program that can keep track of events
pal2nal (14.1-3)
converts proteins to genomic DNA alignment
palapeli (4:20.12.3-1)
jigsaw puzzle game
palapeli-data (4:20.12.3-1)
data files for palapeli jigsaw puzzle game
palbart (2.13-2)
Enhanced version of the PAL PDP8 assembler
paleomix (1.3.2-1)
pipelines and tools for the processing of ancient and modern HTS data
palo (2.15)
Linux boot loader for HP PA-RISC
palp (2.20-1)
Package for Analyzing Lattice Polytopes
pam-doc
本虛擬套件由這些套件填實: libpam-doc
pamix (1.6~git20180112.ea4ab3b-3+b1 [amd64], 1.6~git20180112.ea4ab3b-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Pulseaudio terminal mixer based in pavucontrol
paml (4.9j+dfsg-3)
Phylogenetic Analysis by Maximum Likelihood (PAML)
paml-doc (4.9j+dfsg-3)
Documentation for PAML
pamtester (0.1.2-4)
utility program to test the PAM facility
pamu2fcfg (1.1.0-1.1)
universal 2nd factor (U2F) PAM module command-line helper tool
pan (0.146-2)
newsreader based on GTK2, which looks like Forte Agent
pandoc (2.9.2.1-1+deb11u1)
general markup converter
pandoc-abi (= 1.20-1)
本虛擬套件由這些套件填實: pandoc
pandoc-citeproc (0.17.0.1-1+b2)
Pandoc support for Citation Style Language - tools
pandoc-citeproc-preamble (1.6-1)
insert a preamble before pandoc-citeproc's bibliography
pandoc-data (2.9.2.1-1+deb11u1)
general markup converter - data files
pandoc-plantuml-filter (0.1.1-2)
Pandoc filter: converts PlantUML code blocks to PlantUML images
pandoc-sidenote (0.20.0-1+b3 [amd64, arm64, ppc64el, s390x], 0.20.0-1+b2 [armel, armhf, i386, mips64el, mipsel])
footnotes-to-sidenotes converter for Pandoc
pandora-build (0.98-1.2)
Build macros for libdrizzle, libmemcached, drizzle and gearmand
pandorafms-agent (4.1-1.1)
Pandora FMS - The Flexible Monitoring System (agent)
pango1.0-tests (1.46.2-3)
Layout and rendering of internationalized text - installed tests
pango1.0-tools (1.46.2-3)
Development utilities for Pango
pangoterm (0~bzr613-1)
GTK/Pango-based terminal
pangzero (1.4.1+git20121103-5)
action game that involves popping balloons with a harpoon
panicparse (1.3.0-4+b6)
Crash your app in style (Golang)
panko-api (9.0.0-1)
Event storage dispatcher for Ceilometer - API server
panko-common (9.0.0-1)
Event storage dispatcher for Ceilometer - common files
panko-doc (9.0.0-1)
Event storage dispatcher for Ceilometer - Documentation
panoramisk (1.0-1.2)
asyncio based library to play with asterisk (Python 3 binary)
paper-icon-theme (1.5.0+git20200312.aa3e8af-3)
simple and modern icon and cursor theme
paperkey (1.6-1)
extract just the secret information out of OpenPGP secret keys
paperwork-backend (1.3.1-3)
Personal document manager
paperwork-gtk (1.3.1-3)
Paperwork is a personal document manager - GTK3 frontend
paperwork-gtk-l10n-de (1.3.1-3)
Gui for paperwork-backend - German localization
paperwork-gtk-l10n-en (1.3.1-3)
Gui for paperwork-backend - English localization
paperwork-gtk-l10n-es (1.3.1-3)
Gui for paperwork-backend - Spanish localization
paperwork-gtk-l10n-fr (1.3.1-3)
Gui for paperwork-backend - French localization
paperwork-gtk-l10n-uk (1.3.1-3)
Gui for paperwork-backend - Ukrainian localization
papi-examples (6.0.0~dfsg-2)
PAPI example files and test programs
papi-tools (6.0.0~dfsg-2)
PAPI utilities
papirus-icon-theme (20210201-1)
Papirus open source icon theme for Linux
paprefs (1.1-2)
PulseAudio Preferences
paps (0.6.8-7.1+b1)
UTF-8 to PostScript converter using Pango
par (1.52-5)
Paragraph reformatter
par2 (0.8.1-1)
PAR 2.0 compatible file verification and repair tool
paraclu (9-3)
Parametric clustering of genomic and transcriptomic features
parafly (0.1.0-2)
parallel command processing using OpenMP
parallel (20161222-1.1)
build and execute command lines from standard input in parallel
parallel-fastq-dump (0.6.6-3)
parallel fastq-dump wrapper
paramiko-doc (2.7.2-1)
Make ssh v2 connections with Python (Documentation)
parasail (2.4.3+dfsg-2)
Aligner based on libparasail3
paraview (5.9.0-2)
Parallel Visualization Application
paraview-dev (5.9.0-2)
Parallel Visualization Application. Development header files
paraview-doc (5.9.0-2)
Parallel Visualization Application. Comprehensive documentation
parcellite (1.2.1-4)
lightweight GTK+ clipboard manager
parchive (1.1-4.1+b1)
Use PAR files to reconstruct missing parts of multi-part archives
parchives (3.0.2-1)
Peony qt plugin for file compress and uncompress
parcimonie (0.12.0-2)
privacy-friendly helper to refresh a GnuPG keyring
paredit-el
本虛擬套件由這些套件填實: elpa-paredit
pari-doc (2.13.1-1)
PARI/GP Computer Algebra System documentation
pari-elldata (0.20190911-1)
PARI/GP Computer Algebra System elliptic curves (elldata)
pari-galdata (0.20080411-3)
PARI/GP Computer Algebra System Galois resolvents (galdata)
pari-galpol (4.0-1.1)
PARI/GP Computer Algebra System Galois polynomial database
pari-gp (2.13.1-1)
PARI/GP Computer Algebra System binaries
pari-gp2c (0.0.12-2)
PARI/GP GP to C compiler
pari-seadata (0.20090618-2)
PARI/GP Computer Algebra System modular polynomials (seadata)
parl-data (1.9.27+deb11u1)
recipes to install DebianParl blends
parl-desktop (1.9.27+deb11u1)
DebianParl desktop for parliamentary work
parl-desktop-eu (1.9.27+deb11u1)
DebianParl desktop for parliamentary work - EU
parl-desktop-strict (1.9.27+deb11u1)
DebianParl desktop for parliamentary work - strict
parl-desktop-world (1.9.27+deb11u1)
DebianParl desktop for parliamentary work - global
parlatype (2.1-1)
Minimal audio player for manual speech transcription
parley (4:20.12.1-1)
vocabulary trainer
parley-data (4:20.12.1-1)
data files for the Parley vocabulary trainer
parmetis-doc (4.0.3-5) [non-free]
Parallel Graph Partitioning and Sparse Matrix Ordering Lib - Docs
parmetis-test (4.0.3-5+b1) [non-free]
Parallel Graph Partitioning and Sparse Matrix Ordering Tests
parole (4.16.0-1)
media player based on GStreamer framework
parole-dev (4.16.0-1)
development files for Parole media player
parprouted (0.70-3+b1 [amd64], 0.70-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
transparent IP (Layer 3) proxy ARP bridging tool
parsec47 (0.2.dfsg1-9+b2)
retromodern hispeed shmup
parsec47-data (0.2.dfsg1-9)
retromodern hispeed shmup - game data
parser3 (3.4.6-2)
Parser 3, HTML-embedded scripting language (metapackage)
parser3-cgi (3.4.6-2)
Parser 3, HTML-embedded scripting language (CGI binary)
parser3-common (3.4.6-2)
Common files for packages built from the Parser 3 source
parser3-dev (3.4.6-2)
Files for Parser 3 module development
parser3-mysql (10.8-3)
MySQL driver for Parser 3
parsero (0.0+git20140929.e5b585a-4)
Audit tool for robots.txt of a site
parsewiki (0.4.3-2)
Documentation System Based on ASCII Text
parsinsert (1.04-10)
Parsimonious Insertion of unclassified sequences into phylogenetic trees
parsinsert-testdata (1.04-10)
Test data for parsinsert
parsnp (1.5.4+dfsg-1)
rapid core genome multi-alignment
partclone (0.3.13+dfsg-5)
Utility to clone and restore a partition
partconf-find-partitions (1.52)
debian-installer utility for finding partitions
partconf-mkfstab (1.52)
debian-installer utility for creating fstab file
parted (3.4-1)
disk partition manipulator
parted-doc (3.4-1)
disk partition manipulator - documentation
parted-udeb (3.4-1)
Manually partition a hard drive (parted)
partimage (0.6.9-8)
backup partitions into a compressed image file
partimage-doc (20050720-4.1)
Partition Image User Documentation
partimage-server (0.6.9-8)
server to use partimage across a network
partitioned-harddrives
本虛擬套件由這些套件填實: partman-base
partitionmanager (20.12.2-2)
file, disk and partition management for KDE
partman-auto (157)
Automatically partition storage devices (partman)
partman-auto-crypto (33)
Automatically partition storage devices using crypto and LVM
partman-auto-lvm (85)
Automatically partition storage devices using LVM
partman-auto-raid (47)
Allow preseeded RAID installs
partman-base (217)
Partition the storage devices (partman)
partman-basicfilesystems (156)
Add to partman support for ext2, linux-swap, fat16, fat32 and ntfs
partman-basicmethods (72)
Basic partition usage methods for partman
partman-btrfs (54)
Add to partman support for btrfs
partman-crypto (114)
Add to partman support for block device encryption
partman-crypto-dm (114)
Add to partman support for dm-crypt encryption
partman-efi (94)
Add to partman support for EFI System Partitions
partman-ext3 (107)
Add to partman support for ext3 and ext4
partman-filesystem
本虛擬套件由這些套件填實: partman-jfs, partman-btrfs, partman-basicfilesystems, partman-xfs, partman-ext3
partman-iscsi (70)
Adds support for iSCSI to partman
partman-jfs (61)
Add support for jfs to partman
partman-lvm (140)
Adds support for LVM to partman
partman-md (100)
Add to partman support for MD
partman-method
本虛擬套件由這些套件填實: partman-basicmethods
partman-multipath (6)
Adds support for multipath block devices to partman
partman-nbd (0.66)
Adds support for NBD to partman
partman-partitioning (140)
Partitioning operations for partman
partman-prep (36)
Add partman support for PPC PReP boot partitions
partman-target (122)
Provides partman with ability to prepare /target
partman-utils (217)
Utilities related to partitioning
partman-xfs (68)
Add to partman support for xfs
pasco (20040505-4)
Internet Explorer cache forensic analysis tool
pasdoc (0.15.0-2)
documentation tool for Pascal source code
pasmo (0.5.3-7)
easy to use Z80 cross-assembler
pass (1.7.3-2)
lightweight directory-based password manager
pass-extension-otp (1.2.0-2)
pass extension for managing one-time-password tokens
pass-extension-tail (1.1.1-2.1)
password-store extension to avoid printing the password
pass-extension-tomb (1.1-4.1)
lightweight directory-based password manager (tomb extension)
pass-extension-tomb-basic (0.0.1+20170729+7512ad6-3.1)
lightweight directory-based password manager (tomb extension)
pass-git-helper (1.1.1-0.1)
Git credential helper interfacing with pass
passage (4+dfsg1-3)
game about the passage through life
passenger (5.0.30-1.2+deb11u1)
Rails and Rack support
passenger-doc (5.0.30-1.2+deb11u1)
Rails and Rack support for Apache2 - Documentation
passwd (1:4.8.1-1)
更動、管理密碼及群組資料
passwdqc (1.4.0-1)
password strength checking and policy enforcement toolset
password-gorilla (1.6.0~git20180203.228bbbb-1)
cross-platform password manager
passwordmaker-cli (1.5+dfsg-4)
creates unique, secure passwords - CLI version
passwordsafe (1.12.0+dfsg-1)
Simple & Secure Password Management
passwordsafe-common (1.12.0+dfsg-1)
architecture independent files for Password Safe
pastebinit (1.5.1-1)
command-line pastebin client
pasystray (0.7.1-1)
PulseAudio controller for the system tray
pata-modules
本虛擬套件由這些套件填實: pata-modules-5.10.0-27-4kc-malta-di, pata-modules-5.10.0-25-686-di, pata-modules-5.10.0-27-amd64-di, pata-modules-5.10.0-25-4kc-malta-di, pata-modules-5.10.0-26-4kc-malta-di, pata-modules-5.10.0-28-armmp-di, pata-modules-5.10.0-28-686-di, pata-modules-5.10.0-27-octeon-di, pata-modules-5.10.0-26-armmp-di, pata-modules-5.10.0-27-armmp-di, pata-modules-5.10.0-26-686-pae-di, pata-modules-5.10.0-26-amd64-di, pata-modules-5.10.0-25-armmp-di, pata-modules-5.10.0-28-686-pae-di, pata-modules-5.10.0-25-5kc-malta-di, pata-modules-5.10.0-28-loongson-3-di, pata-modules-5.10.0-27-686-pae-di, pata-modules-5.10.0-27-5kc-malta-di, pata-modules-5.10.0-28-5kc-malta-di, pata-modules-5.10.0-26-686-di, pata-modules-5.10.0-25-octeon-di, pata-modules-5.10.0-28-4kc-malta-di, pata-modules-5.10.0-25-686-pae-di, pata-modules-5.10.0-27-686-di, pata-modules-5.10.0-25-amd64-di, pata-modules-5.10.0-28-amd64-di, pata-modules-5.10.0-26-octeon-di, pata-modules-5.10.0-28-octeon-di, pata-modules-5.10.0-26-5kc-malta-di, pata-modules-5.10.0-27-loongson-3-di, pata-modules-5.10.0-26-loongson-3-di, pata-modules-5.10.0-25-loongson-3-di
pata-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-686-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
PATA drivers
pata-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-686-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-686-pae-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-amd64-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-armmp-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-loongson-3-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-26-octeon-di (5.10.197-1)
PATA drivers
pata-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-686-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
PATA drivers
pata-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-686-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-686-pae-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-amd64-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-armmp-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-loongson-3-di (5.10.209-2)
PATA drivers
pata-modules-5.10.0-28-octeon-di (5.10.209-2)
PATA drivers
patat (0.8.6.1-1)
Terminal-based presentations using Pandoc
patator (0.9-3)
Multi-purpose brute-forcer
patch (2.7.6-7)
在原始檔案套用 diff 檔
patchelf (0.12-1)
modify properties of ELF executables
patchutils (0.4.2-1)
Utilities to work with patches
pathogen (1.1.1-5)
Puzzle game about matching 3D model structures
pathological (1.1.3-16)
puzzle game involving paths and marbles
pathological-music
本虛擬套件由這些套件填實: pathological
patman (1.2.2+dfsg-7)
rapid alignment of short sequences to large databases
patool (1.12-5)
command line archive file manager
patroni (2.0.2-1)
PostgreSQL High Availability with ZooKeeper, etcd, Consul, or Kubernetes
patroni-doc (2.0.2-1)
PostgreSQL High Availability (documentation)
paulstretch (2.2-2-4.1)
Extreme sound time-stretch
pavucontrol (4.0-2)
PulseAudio Volume Control
pavucontrol-qt (0.16.0-1)
Qt port of volume control pavucontrol
pavucontrol-qt-l10n (0.16.0-1)
Language package for pavucontrol-qt
pavumeter (0.9.3-4+b3)
PulseAudio Volume Meter
pax (1:20201030-1)
Portable Archive Interchange (cpio, pax, tar)
pax-britannica (1.0.0-3)
one-button multi-player real-time strategy game
pax-britannica-data (1.0.0-3)
data files for pax-britannica game
pax-utils (1.2.9-1)
Security-focused ELF files checking tool
paxctl (0.9-1+b1)
new PaX control program for using the PT_PAX_FLAGS marking
paxtest (1:0.9.15-2)
Test suite for the PaX kernel patch
pbbamtools (1.6.0+dfsg-2)
processing Pacific Biosciences binary alignment/map files
pbdagcon (0.3+git20180411.c14c422+dfsg-1+b1)
sequence consensus using directed acyclic graphs
pbhoney (15.8.24+dfsg-7)
genomic structural variation discovery
pbjelly (15.8.24+dfsg-7)
genome assembly upgrading tool
pbmwbmp
本虛擬套件由這些套件填實: netpbm
pbsim (1.0.3+git20180330.e014b1d+dfsg-2)
simulator for PacBio sequencing reads
pbsuite (15.8.24+dfsg-7)
software for Pacific Biosciences sequencing data
pbuilder (0.231)
personal package builder for Debian packages
pbzip2 (1.1.13-1)
parallel bzip2 implementation
pcal (4.11.0-3+b1)
generate Postscript calendars without X
pcalendar (3.4.1-4)
track menstrual cycles and predict fertility periods
pcapfix (1.1.4-4)
repairs broken pcap and pcapng files
pcaputils (0.8-1+b2)
specialized libpcap utilities
pcb (1:4.2.2-1)
printed circuit board (pcb) design program - metapackage
pcb-common (1:4.2.2-1)
printed circuit board (pcb) design program - common files
pcb-gtk (1:4.2.2-1)
printed circuit board (pcb) design program - GTK+ interface
pcb-lesstif (1:4.2.2-1)
printed circuit board (pcb) design program - LessTif interface
pcb-rnd (2.3.1-1)
Standard installation of pcb-rnd
pcb-rnd-auto (2.3.1-1)
Autoroute and autoplace.
pcb-rnd-cloud (2.3.1-1)
Networking plugins.
pcb-rnd-core (2.3.1-1)
pcb-rnd executable with the core functionality
pcb-rnd-debug (2.3.1-1)
Debug and diagnostics.
pcb-rnd-doc (2.3.1-1)
Documentation for pcb-rnd
pcb-rnd-export (2.3.1-1)
Common export plugins.
pcb-rnd-export-extra (2.3.1-1)
Export formats: special/extra
pcb-rnd-export-sim (2.3.1-1)
Export plugins to simulators
pcb-rnd-extra (2.3.1-1)
Extra action commands and optional functionality.
pcb-rnd-hid-gtk2-gdk (2.3.1-1)
GUI: gtk2, software render
pcb-rnd-hid-gtk2-gl (2.3.1-1)
GUI: gtk2, opengl
pcb-rnd-hid-lesstif (2.3.1-1)
GUI: motif/lesstif, software render
pcb-rnd-import-geo (2.3.1-1)
Geometry import plugins.
pcb-rnd-import-net (2.3.1-1)
Netlist/schematics import plugins.
pcb-rnd-io-alien (2.3.1-1)
File format compatibility with other PCB layout designers.
pcb-rnd-io-standard (2.3.1-1)
Commonly used non-native board and footprint file formats
pcb-rnd-lib-gl (2.3.1-1)
Support library for rendering with opengl.
pcb-rnd-lib-gtk (2.3.1-1)
Support library for building the GUI with gtk.
pcb-rnd-lib-gui (2.3.1-1)
Support library for building the GUI.
pcb-rnd-lib-io (2.3.1-1)
Support library for alien file formats.
pcb2gcode (1.1.4-git20120902-1.1+b4)
command-line tool for engraving PCBs using CNCs
pcb2gcode-dbg (1.1.4-git20120902-1.1+b4)
debugging symbols for pcb2gcode
pcc (1.2.0~DEVEL+20200630-2)
Portable C Compiler
pccts (1.33MR33-6+b2)
The Purdue Compiler Construction Tool Set (PCCTS).
pcf2bdf (1.06-1)
convert X11 font from PCF to BDF format
pchar (1.5-4)
Characterize the bandwidth, latency and loss on network links
pci.ids (0.0~2021.02.08-1)
PCI ID Repository
pciutils (1:3.7.0-5)
PCI utilities
pciutils-udeb (1:3.7.0-5)
PCI Utilities (udeb)
pcl-ros-tools (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- Tools
pcl-tools (1.11.1+dfsg-1+b1)
Point Cloud Library - point cloud processing tools
pcmanfm (1.3.2-1)
extremely fast and lightweight file manager
pcmanfm-qt (0.16.0-1)
extremely fast and lightweight file and desktop icon manager
pcmanfm-qt-l10n (0.16.0-1)
Language package for pcmanfm-qt
pcmanx-gtk2 (1.3-2+b1 [amd64], 1.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
user-friendly telnet client mainly targets BBS users
pcmcia-modules
本虛擬套件由這些套件填實: pcmcia-modules-5.10.0-25-amd64-di, pcmcia-modules-5.10.0-27-amd64-di, pcmcia-modules-5.10.0-28-686-di, pcmcia-modules-5.10.0-25-686-di, pcmcia-modules-5.10.0-25-686-pae-di, pcmcia-modules-5.10.0-27-686-di, pcmcia-modules-5.10.0-26-686-di, pcmcia-modules-5.10.0-28-amd64-di, pcmcia-modules-5.10.0-28-686-pae-di, pcmcia-modules-5.10.0-26-amd64-di, pcmcia-modules-5.10.0-26-686-pae-di, pcmcia-modules-5.10.0-27-686-pae-di
pcmcia-modules-5.10.0-25-686-di (5.10.191-1) [security]
Common PCMCIA drivers
pcmcia-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Common PCMCIA drivers
pcmcia-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Common PCMCIA drivers
pcmcia-modules-5.10.0-26-686-di (5.10.197-1)
Common PCMCIA drivers
pcmcia-modules-5.10.0-26-686-pae-di (5.10.197-1)
Common PCMCIA drivers
pcmcia-modules-5.10.0-26-amd64-di (5.10.197-1)
Common PCMCIA drivers
pcmcia-modules-5.10.0-27-686-di (5.10.205-2) [security]
Common PCMCIA drivers
pcmcia-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Common PCMCIA drivers
pcmcia-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Common PCMCIA drivers
pcmcia-modules-5.10.0-28-686-di (5.10.209-2)
Common PCMCIA drivers
pcmcia-modules-5.10.0-28-686-pae-di (5.10.209-2)
Common PCMCIA drivers
pcmcia-modules-5.10.0-28-amd64-di (5.10.209-2)
Common PCMCIA drivers
pcmcia-storage-modules
本虛擬套件由這些套件填實: pcmcia-storage-modules-5.10.0-27-686-di, pcmcia-storage-modules-5.10.0-26-amd64-di, pcmcia-storage-modules-5.10.0-28-686-di, pcmcia-storage-modules-5.10.0-25-686-di, pcmcia-storage-modules-5.10.0-27-amd64-di, pcmcia-storage-modules-5.10.0-28-686-pae-di, pcmcia-storage-modules-5.10.0-25-686-pae-di, pcmcia-storage-modules-5.10.0-26-686-pae-di, pcmcia-storage-modules-5.10.0-26-686-di, pcmcia-storage-modules-5.10.0-25-amd64-di, pcmcia-storage-modules-5.10.0-28-amd64-di, pcmcia-storage-modules-5.10.0-27-686-pae-di
pcmcia-storage-modules-5.10.0-25-686-di (5.10.191-1) [security]
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-26-686-di (5.10.197-1)
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-26-686-pae-di (5.10.197-1)
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-26-amd64-di (5.10.197-1)
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-27-686-di (5.10.205-2) [security]
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-28-686-di (5.10.209-2)
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-28-686-pae-di (5.10.209-2)
PCMCIA storage drivers
pcmcia-storage-modules-5.10.0-28-amd64-di (5.10.209-2)
PCMCIA storage drivers
pcmciautils (018-13)
PCMCIA utilities for Linux 2.6
pcmciautils-udeb (018-13)
PCMCIA utilities for Linux 2.6
pconsole (1.0-14+b1 [amd64], 1.0-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
parallel interactive shell console
pcp (5.2.6-1)
System level performance monitoring and performance management
pcp-conf (5.2.6-1)
Performance Co-Pilot runtime configuration
pcp-doc (5.2.6-1)
Documentation and tutorial for the Performance Co-Pilot
pcp-export-pcp2graphite (5.2.6-1)
Tool for exporting data from PCP to Graphite
pcp-export-pcp2influxdb (5.2.6-1)
Tool for exporting data from PCP to InfluxDB
pcp-export-zabbix-agent (5.2.6-1)
Module for exporting PCP metrics to Zabbix agent
pcp-gui (5.2.6-1)
Visualisation tools for the Performance Co-Pilot toolkit
pcp-gui-testsuite
本虛擬套件由這些套件填實: pcp-testsuite
pcp-import-collectl2pcp (5.2.6-1)
Tool for importing data from collectl into PCP archive logs
pcp-import-ganglia2pcp (5.2.6-1)
Tool for importing data from ganglia into PCP archive logs
pcp-import-iostat2pcp (5.2.6-1)
Tool for importing data from iostat into PCP archive logs
pcp-import-mrtg2pcp (5.2.6-1)
Tool for importing data from MRTG into PCP archive logs
pcp-import-sar2pcp (5.2.6-1)
Tool for importing data from sar into PCP archive logs
pcp-import-sheet2pcp (5.2.6-1)
Tool for importing data from a spreadsheet into PCP archive logs
pcp-manager
本虛擬套件由這些套件填實: pcp
pcp-pmda-infiniband (5.2.6-1)
Infiniband performance metrics domain agent (PMDA)
pcp-testsuite (5.2.6-1)
Performance Co-Pilot (PCP) Test Suite
pcp-webapi
本虛擬套件由這些套件填實: pcp
pcpqa
本虛擬套件由這些套件填實: pcp-testsuite
pcre2-utils (10.36-2+deb11u1)
New Perl Compatible Regular Expression Library - utilities
pcregrep (2:8.39-13)
grep utility that uses perl 5 compatible regexes.
pcs (0.10.8-1+deb11u1)
Pacemaker Configuration System
pcs-snmp (0.10.8-1+deb11u1)
Pacemaker Configuration System SNMP agent
pcsc-ifd-handler
本虛擬套件由這些套件填實: libgcr410, libasedrive-usb, libgempc430, libifd-cyberjack6, libgempc410, libasedrive-serial, libccid, libacsccid1
pcsc-tools (1.5.7-1)
Some tools to use with smart cards and PC/SC
pcscd (1.9.1-1)
Middleware to access a smart card using PC/SC (daemon side)
pcsx2 (1.6.0+dfsg-1)
Playstation 2 emulator
pcsxr (1.9.94-5)
Sony PlayStation emulator
pct-scanner-scripts (0.0.4-3.1)
scan and create merged DjVu and PDF documents for archiving
pd
本虛擬套件由這些套件填實: puredata-core
pd-3dp (1:0.14.1+darcs20180201-2+b1)
openGL extensions for PDP
pd-ableton-link (0.5~repack-1+b1)
integration of Ableton Link into Pure Data
pd-ambix (0.1.1-2)
AMBIsonics eXchange objects for Pure Data
pd-arraysize (0.1-6)
Pd object to report the size of an array
pd-autopreset (0.10-3)
simple state saving for Pure Data
pd-bassemu (0.3-6)
Pd object for transistor bass emulation
pd-beatpipe (0.1-6)
realtime scheduler/event-delay/quantizer object for Pd
pd-boids (1.1.1-5)
Pd library for the "boids" flocking simulator algorithm
pd-bsaylor (0.1-6)
library of FFT-based Pd objects by Ben Saylor
pd-chaos (0.2-5)
Pd library for calculating various chaotic attractors
pd-cmos (0.1~svn17666-1)
digital logic emulator objects for Pure Data (Pd)
pd-comport (0.2-4)
Pd object for reading and writing to serial ports
pd-creb (0.9.2+darcs20151027-4)
Tom's bag of trick for Pure Data (Pd)
pd-csound (2:1.01.0-2)
Csound external for Pure Data
pd-cxc (0.5.2-2)
Pd library for working with patterns and numbers
pd-cyclone (0.2~beta3-5)
Pd library of clones of Max/MSP 4.5 objects
pd-deken (0.6.0-1)
Externals wrangler for Pure Data
pd-deken-apt (0.6.0-1)
Externals wrangler for Pure Data (APT backend)
pd-earplug (0.2.1-1)
binaural filter based on KEMAR impulse measurement for Pd
pd-ekext (0.1.1-3)
Pd objects for music information retrieval and polyphony control
pd-ext13 (0.17.1-4)
collection of file and message objects for Pd by dieb13
pd-extendedview (0.5~repack-2)
toolkit for panoramic image creation and projection mapping
pd-fftease (2.5.2.git20121005-2)
live spectral sound processors for Pd
pd-flext-dev (0.6.1-2)
Flext C++ external layer for Pd (development files)
pd-flext-doc (0.6.1-2)
Flext C++ external layer for Pd (API documentation)
pd-flite (0.02.3-4)
Speech synthesis for Pd
pd-freeverb (1.2-4)
studio-quality Schroeder/Moorer reverb as a Pd object
pd-ggee (0.26-7)
Pd library of GUI controls, synths, filters, and more
pd-gil (0.1~20151118-3)
Geometry Interaction Library for Pure Data / Gem
pd-hcs (0.1-5)
Pd library of experiments in UNIX, the Pd GUI, and more
pd-hexloader (1.7-3)
enable Pd-objects with funny characters
pd-hid (0.7-3)
Pd object for getting data from USB HID devices
pd-iem (0.0.20181004-2)
Pure Data - the IEM flavour
pd-iem-adapfilt
本虛擬套件由這些套件填實: pd-iemutils
pd-iem-roomsim
本虛擬套件由這些套件填實: pd-iemutils
pd-iem-spec2
本虛擬套件由這些套件填實: pd-iemutils
pd-iem-tab
本虛擬套件由這些套件填實: pd-iemutils
pd-iem16
本虛擬套件由這些套件填實: pd-iemutils
pd-iemambi (0.2-5)
Pd-objects for Ambisonics sound spatialization
pd-iemguts (0.3-1)
Pd-Objects for meta patching
pd-iemlib (1.22.1-1)
collection of general purpose objects and filters for Pure Data
pd-iemmatrix (0.3.2-1+b1)
Pd-objects for simple matrix operations
pd-iemnet (0.3.0-1)
Pd library for low-level networking (IEM implementation)
pd-iemtab
本虛擬套件由這些套件填實: pd-iemutils
pd-iemutils (0.0.20181004-2)
collection of general purpose objects for Pure Data
pd-import
本虛擬套件由這些套件填實: puredata-import
pd-jmmmp (0.1.1-2)
collection of Pd GUI objects for musical performance
pd-jsusfx (0.4.0-3)
Jesusonic FX scripting language for audio DSP (Pure Data)
pd-kollabs (2~repack-2)
data management and state saving for Pure Data
pd-lib-builder (0.6.0-1)
common build system for Pure Data externals
pd-libdir (1.11-4)
provides support for the libdir library format for Pd
pd-list-abs (0.1-3)
library of list operations for Pd
pd-log (0.1-3)
small Pure Data library for logging
pd-lua (0.7.3-4)
Lua bindings for Pure Data
pd-lyonpotpourri (2.0+git20121009-3)
potpourri of Pd objects for synthesizing with audio
pd-mapping (0.2.1-2)
Pd library for creatively mapping data
pd-markex (0.85-3)
Pd library of misc objects from Mark Danks
pd-maxlib (1.5.5-3)
Pd library for analysing musical performance
pd-mediasettings (0.1.1-5)
programmatically modify the audio and MIDI settings from within Pd
pd-mjlib (0.1.1-4)
library of Pd objects for composing music
pd-moonlib (0.4-1)
library of Pd objects related to GUI control
pd-motex (1.1.4-4)
random collection of Pd objects by Iain Mott
pd-mrpeach (0.1~svn17666-1)
bag of tricks for Pure Data (Pd)
pd-mrpeach-net (0.1~svn17666-1)
Pd library for low-level networking
pd-net
本虛擬套件由這些套件填實: pd-mrpeach-net, pd-iemnet
pd-nusmuk (20151113+repack-6)
random collection of useful Pd objects
pd-nusmuk-audio
本虛擬套件由這些套件填實: pd-nusmuk
pd-nusmuk-utils
本虛擬套件由這些套件填實: pd-nusmuk
pd-osc (1:0.1~svn17666-1)
Open Sound Control for Pd
pd-pan (0.1.2-2)
library of stereo panning algorithms for Pd
pd-pddp (0.2.1-2)
support library for the Pure Data Documentation Project
pd-pdogg (0.25.1-3)
collection of Ogg/Vorbis objects for Pd
pd-pdp (1:0.14.1+darcs20180201-2+b1)
Graphics system for Pd
pd-pdstring (0.10.2-4)
Pd-objects for string manipulation
pd-pduino (0.7-2)
interfacing with the Arduino from within Pure Data (Pd)
pd-plugin (0.2.1-4)
LADSPA and VST plug-in hosting for Pd
pd-pmpd (0.9-5)
physical modeling library for Pd
pd-pool (0.2.2+git20161105.1.4b157f51-1)
Hierarchical data storage for Pure Data
pd-puremapping (20160130-2)
Pd library for complex data mappings
pd-purepd (0.1.1-2)
library of standard objects re-implemented using Pd-vanilla
pd-purest-json (1.4.3-2)
Pd library for working with JSON data and RESTful webservices
pd-readanysf (0.43-3)
Pd object for reading multiple audio file formats
pd-rtclib (4.1-2)
Real Time Composition Library for Pure Data (Pd)
pd-scaf (1:0.14.1+darcs20180201-2+b1)
Simple Cellular Automaton Forth for PDP
pd-sigpack (0.0.4.2-3)
signal processing effects library for Pd
pd-slip (0.1~svn17666-1)
SLIP encoder/decoder for Pure Data (Pd)
pd-smlib (0.12.2-2)
Pd library for mapping DSP data to controls
pd-syslog (0.1-3)
syslog facilities for Pd
pd-tclpd (0.3.0-4)
Tcl objects for Pure Data (Pd)
pd-testtools (0.1-2)
unit test framework for Pure Data (Pd)
pd-unauthorized (0.1-5)
library of Pd objects for streaming and GUI control
pd-upp (0.1.0-2)
Universal Polyphonic Player for Pure Data
pd-utils
本虛擬套件由這些套件填實: puredata-utils
pd-vbap (1.1-2)
Pd library for Vector Base Amplitude Panning spatialization
pd-wiimote (0.3.2-4)
Pd object for accessing the wiimote controller
pd-windowing (0.1-4)
library of windowing functions in Pd
pd-xbee (0.1~svn17666-1)
interfacing with your XBee from within Pure Data (Pd)
pd-xsample (0.3.2+git20170905.1.4441ae5-3)
extended sample objects for Pure Data
pd-zexy (2.3.1-1)
General Purpose addon library for Pd
pd.build-cmake-module (0.1.1~ds1-1)
Pure Data CMake Module
pdal (2.2.0+ds-1+b1)
Point Data Abstraction Library
pdal-doc (2.2.0+ds-1)
Point Data Abstraction Library - documentation
pdb2pqr (2.1.1+dfsg-7+deb11u1)
Preparation of protein structures for electrostatics calculations
pdb2pqr-doc (2.1.1+dfsg-7+deb11u1)
example files accompanying pdb2pqr
pdbg (3.0-1+b2)
PowerPC FSI Debugger
pdd (1.5-1)
Tiny date, time diff calculator
pdepend (2.8.0-2)
design quality metrics for PHP packages
pdf-presenter-console (4.5.0-2)
multi-monitor presentation tool (ala Keynote) for PDF files
pdf-redact-tools (0.1.2-4)
PDF Redact Tools helps with securely redacting and stripping
pdf-viewer
本虛擬套件由這些套件填實: atril, evince, qpdfview, xpdf, gv, viewpdf.app, mupdf, zathura-pdf-poppler, okular
pdf.js-common (2.6.347+dfsg-3)
Portable Document Format (PDF) viewer - common files
pdf2djvu (0.9.17.1-1)
PDF to DjVu converter
pdf2svg (0.2.3-2)
converts PDF documents to SVG files (one per page)
pdfarranger (1.6.2-1)
merge, split and re-arrange pages from PDF documents
pdfchain (1:0.4.4.2-2)
graphical user interface for the PDF Tool Kit
pdfcrack (0.19-2)
PDF files password cracker
pdfcube (0.0.5-2+b7 [amd64, armel, armhf, i386, mipsel, s390x], 0.0.5-2+b6 [arm64, ppc64el], 0.0.5-2+b5 [mips64el])
PDF document viewer with 3D effects
pdfcube-dbg (0.0.5-2+b7 [amd64, armel, armhf, i386, mipsel, s390x], 0.0.5-2+b6 [arm64, ppc64el], 0.0.5-2+b5 [mips64el])
PDF document viewer with 3D effects - debug symbols
pdfgrep (2.1.2-1)
search in pdf files for strings matching a regular expression
pdfminer-data (20200726-1)
PDF parser and analyser (encoding data)
pdfmod (0.9.1-8.2)
simple tool for modifying PDF documents
pdfmod-dbg (0.9.1-8.2)
simple tool for modifying PDF documents -- debugging symbols
pdfpc
本虛擬套件由這些套件填實: pdf-presenter-console
pdfposter (0.7.post1-1)
scale and tile PDF images/pages to print on multiple pages
pdfproctools (1.8.6~rc2-1)
PDF Processing Tools
pdfresurrect (0.22-1)
tool for extracting/scrubbing versioning data from PDF documents
pdfsam (4.2.2-1)
PDF Split and Merge
pdfsandwich (0.1.7-2)
Tool to generate "sandwich" OCR pdf files
pdfshuffler (1.6.2-1)
merge, split and re-arrange PDF documents - transitional package
pdftk (2.02-5+b1 [amd64], 2.02-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
transitional package for pdftk, a tool for manipulating PDF documents
pdftk
本虛擬套件由這些套件填實: pdftk-java
pdftk-java (3.2.2-1)
pdftk port to java - a tool for manipulating PDF documents
pdftohtml
本虛擬套件由這些套件填實: poppler-utils
pdftoipe (1:7.2.20-1+b3)
converts arbitrary PDF file to XML file readable by Ipe
pdl (1:2.025-1)
perl data language: Perl extensions for numerics
pdlapi-12
本虛擬套件由這些套件填實: pdl
pdlzip (1.11-1)
data compressor based on the LZMA algorithm (simple version)
pdns-backend
本虛擬套件由這些套件填實: pdns-backend-pgsql, pdns-backend-remote, pdns-backend-lmdb, pdns-backend-ldap, pdns-backend-mysql, pdns-backend-tinydns, pdns-backend-bind, pdns-backend-lua2, pdns-backend-pipe, pdns-backend-geoip, pdns-backend-sqlite3, pdns-backend-odbc
pdns-backend-bind (4.4.1-1)
BIND backend for PowerDNS
pdns-backend-geoip (4.4.1-1)
GeoIP backend for PowerDNS
pdns-backend-ldap (4.4.1-1)
LDAP backend for PowerDNS
pdns-backend-lmdb (4.4.1-1)
LMDB backend for PowerDNS
pdns-backend-lua2 (4.4.1-1)
Lua2 backend for PowerDNS
pdns-backend-mysql (4.4.1-1)
MySQL backend for PowerDNS
pdns-backend-odbc (4.4.1-1)
UnixODBC backend for PowerDNS
pdns-backend-pgsql (4.4.1-1)
PostgreSQL backend for PowerDNS
pdns-backend-pipe (4.4.1-1)
pipe/coprocess backend for PowerDNS
pdns-backend-remote (4.4.1-1)
remote backend for PowerDNS
pdns-backend-sqlite3 (4.4.1-1)
sqlite 3 backend for PowerDNS
pdns-backend-tinydns (4.4.1-1)
tinydns compatibility backend for PowerDNS
pdns-ixfrdist (4.4.1-1)
Redistribute DNS zones over AXFR/IXFR
pdns-recursor (4.4.2-3)
PowerDNS Recursor
pdns-server (4.4.1-1)
extremely powerful and versatile nameserver
pdns-tools (4.4.1-1)
Tools for DNS debugging by PowerDNS
pdqsort-dev (0.0.0+git20180419-2.1)
pattern-defeating quicksort compile-time c++ library
pdsh (2.31-3+b1)
Efficient rsh-like utility, for using hosts in parallel
pdudaemon (0.0.8.24.gc43c5d8-1)
daemon for controlling PDUs
pdudaemon-client (0.0.8.24.gc43c5d8-1)
client for pdudaemon
pear-channels (0~20190819-1)
PEAR channels for various projects
pear-horde-channel
本虛擬套件由這些套件填實: pear-channels
pebble (2.3.1+ds-1+b6)
ACME (RFC 8555) test-only server
peco (0.5.1-1+b17)
Simplistic interactive filtering tool
pecomato (0.0.15-11)
Picture-embedded contents manipulation tool
peek (1.5.1-1)
Simple animated GIF screen recorder with GUI
peewee (3.14.1+dfsg-1)
Command line Tools for peewee (Python 3)
peg (0.1.18-1+b1)
recursive-descent parser generators for C
peg-e (1.2.8-1)
peg elimination solitaire game
peg-go (1.0.0-5+b16)
implementation of a Packrat parser generator in Go
peg-solitaire (2.2-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.2-2 [armel, armhf])
Board game for one player with pegs
pegjs
本虛擬套件由這些套件填實: node-pegjs
pegsolitaire (0.3-1)
education game similar to Hi-Q
pekka-kana-2 (1.2.7-1)
2D Oldschool platform game where you control a rooster
pekka-kana-2-data (1.2.7-1)
2D Oldschool platform game where you control a rooster (data file)
pekwm (0.1.18-1)
very light window manager
pekwm-themes (1.0.5-7)
themes for the pekwm window manager
pelican (4.0.1+dfsg-1.1)
blog aware, static website generator
pelican-doc (4.0.1+dfsg-1.1)
blog aware, static website generator (documentation)
pem (0.7.9-3)
command line personal expense manager
pen (0.34.1-2)
Load balancer for "simple" tcp and udp based protocols
pencil2d (0.6.6-1)
Create hand-drawn animation using both bitmap and vector graphics
penguin-command (1.6.11-3+b1)
missile command clone
pente (2.2.5-10)
five in a row game for X and the console
pentium-builder (0.21+nmu1)
force pentium optimized compilation
pentobi (18.3-1)
clone of the strategy board game Blokus
pentobi-kde-thumbnailer (18.3-1)
clone of the strategy board game Blokus - KDE thumbnailer
peony (3.0.6-1+b1)
file Manager for the UKUI desktop
peony-admin (3.0.2-1)
Peony plugin for open files or directories as admin
peony-common (3.0.6-1)
file manager for the UKUI desktop (common files)
peony-extension-computer-view (3.0.2-1)
Peony plugin for displaying computer:/// with more information
peony-extensions (3.0.2-1)
Peony qt extensions
peony-open-terminal (3.0.2-1)
Peony plugin for opening terminals in arbitrary local paths
peony-set-wallpaper (3.0.2-1)
Peony plugin for right click a picture to set as wallpaper
peony-share (3.0.2-1)
Allows one to quickly share a folder from the Peony file manager
pep8-simul (8.1.3+git20180702~ds1-3+b1 [amd64, arm64, i386, mipsel], 8.1.3+git20180702~ds1-3 [armhf])
Pep/8 assembler and simulator
pepper (0.3.3-4)
Source code repository statistics and report tool
pepperflashplugin-nonfree (1.8.8) [contrib]
Pepper Flash Player - browser plugin (dummy package)
perceptualdiff (1.2-2+b1)
perceptual image comparison tool
percol (0.2.1-3)
interactive selection for UNIX pipes
percona-toolkit (3.2.1-1)
Command-line tools for MySQL and system tasks
percona-xtradb-cluster-galera-25
本虛擬套件由這些套件填實: galera-3
percona-xtradb-cluster-galera-26
本虛擬套件由這些套件填實: galera-4
perdition (2.2-3.1+b1)
POP3 and IMAP4 Proxy server
perdition-bdb
本虛擬套件由這些套件填實: perdition
perdition-ldap (2.2-3.1+b1)
Library to allow perdition to access LDAP based popmaps
perdition-mysql (2.2-3.1+b1)
Library to allow perdition to access MySQL based popmaps
perdition-odbc (2.2-3.1+b1)
Library to allow perdition to access ODBC based popmaps
perdition-postgresql (2.2-3.1+b1)
Library to allow perdition to access PostgreSQL based popmaps
perf-tools-unstable (1.0.1~20200130+git49b8cdf-1)
DTrace-like tools for Linux
perforate (1.2-5.1)
Utilities to save disk space
performous (1.1+git20181118-4+b2)
karaoke game that allows user supplied songs
performous-composer (2.0+20181009-gitbeeea23-2.1)
song editor for creating (and converting) notes for music games
performous-tools (1.1+git20181118-4+b2)
karaoke game that allows user supplied songs - tools
perftest (4.4+0.37-1)
Infiniband verbs performance tests
perl (5.32.1-4+deb11u3)
Larry Wall's Practical Extraction and Report Language
perl-base (5.32.1-4+deb11u3)
minimal Perl system
perl-byacc (2.0-8+b1)
Berkeley LALR parser generator, Perl version
perl-cross-debian (0.0.5+nmu1)
Cross build support for Debian perl configurations
perl-dbdabi-94
本虛擬套件由這些套件填實: libdbi-perl
perl-debug (5.32.1-4+deb11u3)
debug-enabled Perl interpreter
perl-depends (2016.1029+git8f67695-2)
rough indicator of Perl module dependencies
perl-doc (5.32.1-4+deb11u3)
Perl documentation
perl-imagerapi-5
本虛擬套件由這些套件填實: libimager-perl
perl-mapscript
本虛擬套件由這些套件填實: libmapscript-perl
perl-modules
本虛擬套件由這些套件填實: perl-modules-5.32
perl-modules-5.32 (5.32.1-4+deb11u3)
Core Perl modules
perl-openssl-abi-1.1
本虛擬套件由這些套件填實: perl-openssl-defaults
perl-openssl-defaults (5)
version compatibility baseline for Perl OpenSSL packages
perl-stacktrace (0.09-3+b3)
Create stack traces of a running perl processes
perl-tk (1:804.035-0.1+b1)
Perl module providing the Tk graphics library
perl-xs-dev
本虛擬套件由這些套件填實: libperl-dev
perl6 (6.d.3)
Raku Compiler
perl6-readline (0.1.5-2)
Readline binding for Perl 6
perl6-tap-harness (0.20190121-1)
TAP test harness for Perl 6
perl6-zef (0.9.4-1)
Raku / Perl 6 module manager
perlapi-5.32.0
本虛擬套件由這些套件填實: perl-base
perlapi-5.32.1
本虛擬套件由這些套件填實: perl-base
perlbal (1.80-3.1)
Perl-based reverse proxy load balancer and web server
perlbrew (0.91-1)
script to manage perl installations in your $HOME
perlconsole (0.4-4.1)
small program that lets you evaluate Perl code interactively
perlindex (1.606-1.1)
Perl manual pages index and query application
perlmagick (8:6.9.11.60+dfsg-1.3+deb11u3) [security]
Perl interface to ImageMagick -- dummy package
perlmagick
本虛擬套件由這些套件填實: graphicsmagick-libmagick-dev-compat, libimage-magick-perl
perlmagick (= 8:6.9.11.60+dfsg-1.3+deb11u2)
本虛擬套件由這些套件填實: libimage-magick-q16-perl
perlmagick (= 8:6.9.11.60+dfsg-1.3+deb11u3)
本虛擬套件由這些套件填實: libimage-magick-q16-perl
perlprimer (1.2.4-2)
Graphical design of primers for PCR
perlprimer-doc (1.2.4-2)
Tutorial to perlprimer
perltidy (20200110-1)
Perl script indenter and reformatter
perm (0.4.0-7)
efficient mapping of short reads with periodic spaced seeds
persepolis (3.0.1-1.1)
Graphical download manager based on Aria2
peruse (1.2+dfsg+20191117-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.2+dfsg+20191117-1 [armel, armhf])
comic book reader
peruse-common (1.2+dfsg+20191117-1)
common files for peruse
pescetti (0.5-5)
Bridge Pseudo-duplimate generator
pesign (0.112-6)
Signing utility for UEFI binaries
petris (1.0.1-10+b1)
Peter's Tetris - a Tetris(TM) clone
petsc-complex3.14-dbg
本虛擬套件由這些套件填實: libpetsc-complex3.14-dbg
petsc-dev (3.14.5+dfsg1-4)
Virtual package depending on latest PETSc development package
petsc3.14-dbg
本虛擬套件由這些套件填實: libpetsc-real3.14-dbg
petsc3.14-doc (3.14.5+dfsg1-4)
Documentation and examples for PETSc
petsc64-3.14-dbg
本虛擬套件由這些套件填實: libpetsc64-real3.14-dbg
petsc64-complex3.14-dbg
本虛擬套件由這些套件填實: libpetsc64-complex3.14-dbg
petsc64-dev (3.14.5+dfsg1-4)
Virtual package depending on latest 64-bit PETSc development package
pev (0.81-3+deb11u1)
text-based tool to analyze PE files
pex (1.1.14-3.1)
library for generating Python executable zip files
pexec (1.0~rc8-4+b1 [amd64], 1.0~rc8-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Executing commands in parallel
pfb2t1c2pfb (0.3-11+b1 [amd64], 0.3-11 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
convert pfb into more compressible format and back
pff-tools (20180714-3)
utilities for MS Outlook PAB, PST and OST files
pflogsumm (1.1.5-7)
Postfix log entry summarizer
pfm (2.0.8-3)
PostgreSQL graphical client using Tcl/Tk
pforth (21-12+b1)
portable Forth interpreter
pfqueue (0.5.6-9+b3)
interactive console-based tool to control MTA queues
pfqueue-dbg (0.5.6-9+b3)
interactive console-based tool to control MTA queues (debug)
pftools (3.2.6-1)
build and search protein and DNA generalized profiles
pg-activity (1.6.2-1)
Realtime PostgreSQL database server monitoring tool
pg-bsd-indent (2.1.1-2)
PostgreSQL source code indenter
pg-checksums-doc (1.0-6)
Activate/deactivate/verify PostgreSQL data checksums (Documentation)
pg-cloudconfig (0.11)
Set optimized defaults for PostgreSQL in virtual environments
pg-rage-terminator-13 (0.1.7-5)
PostgreSQL background worker that kill random sessions.
pgagent (4.0.0-8+b1)
job scheduling engine for PostgreSQL
pgbackrest (2.33-1)
Reliable PostgreSQL Backup & Restore
pgbackrest-doc (2.33-1)
Documentation package for pgbackrest
pgbadger (11.4-1)
Fast PostgreSQL log analysis report
pgbouncer (1.15.0-1)
lightweight connection pooler for PostgreSQL
pgcharts (1.0+2017-09-16-1) [non-free]
turn your PostgreSQL queries into charts
pgcluu (3.1-1)
PostgreSQL performance monitoring and auditing tool
pgdbf (0.6.2-1.1+b2)
converter of XBase / FoxPro tables to PostgreSQL
pgformatter (4.4-1)
PostgreSQL SQL syntax beautifier
pgloader (3.6.2-1)
extract, transform and load data into PostgreSQL
pgmodeler (0.9.3-1)
PostgreSQL database modeler GUI interface
pgmodeler-common (0.9.3-1)
pgmodeler's binary independent common files
pgn-extract (19.04-1)
Portable Game Notation (PGN) extractor
pgn2web (0.4-3)
convert PGN chess game files into webpages
pgp
本虛擬套件由這些套件填實: pgpgpg
pgpdump (0.33-2)
PGP packet visualizer
pgpgpg (0.13-9.1+b1)
Wrapper for using GnuPG in programs designed for PGP
pgplot5 (5.2.2-19.4) [non-free]
large subroutine library for plotting scientific data
pgpool2 (4.1.4-3)
connection pool server and replication proxy for PostgreSQL
pgqd (3.3-5)
Queue maintenance daemon for PgQ
pgreplay (1.2.0-2+b1 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.2.0-2 [arm64])
replay PostgreSQL log files
pgstat (1.0.1-1+b1 [amd64], 1.0.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Collects PostgreSQL statistics the same way as a vmstat tool
pgtap (1.1.0-5)
Unit testing framework for PostgreSQL - metapackage
pgtap-doc (1.1.0-5)
Unit testing framework for PostgreSQL - documentation
pgtop (4.0.0-1)
PostgreSQL performance monitoring tool akin to top
pgxnclient (1.3.1-1)
command line client for the PostgreSQL Extension Network
phalanx (22+d051004-14+b1)
Chess playing program
phasex (0.14.97-3)
Phase Harmonic Advanced Synthesis EXperiment
phast (1.5+dfsg-2)
phylogenetic analysis with space/time models
phat-utils (1.6-2)
Utilities from the PHAT library
phing (2.16.1-1)
PHP5 project build system based on Apache Ant
phipack (0.0.20160614-5)
PHI test and other tests of recombination
phlipple (0.8.5-5)
reduce 3D shapes to a single square
phlipple-data (0.8.5-5)
reduce 3D shapes to a single square - game data
phnxdeco (0.33-3+b2)
Decompress flashfiles equipped with a PHOENIX BIOS
phoc (0.6.0-1)
Wayland compositor for mobile phones
phonon-backend-gstreamer-common (4:4.10.0-1)
Phonon GStreamer 1.0.x backend icons
phonon4qt5 (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - metapackage
phonon4qt5-backend
本虛擬套件由這些套件填實: phonon4qt5-backend-vlc, phonon4qt5-backend-null, phonon4qt5-backend-gstreamer
phonon4qt5-backend-gstreamer (4:4.10.0-1)
Phonon Qt5 GStreamer 1.0 backend
phonon4qt5-backend-null (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - null back-end (no real back-end)
phonon4qt5-backend-vlc (0.11.2-1)
Phonon4Qt5 VLC backend
phonon4qt5experimental-abi-1
本虛擬套件由這些套件填實: libphonon4qt5experimental4
phonon4qt5settings (4:4.11.1-4)
multimedia framework from KDE using Qt 5 - settings application
phosh (0.8.0-1)
Pure Wayland shell for mobile devices
phosh-core (5)
GNOME/Phosh mobile Environment -- essential components
phosh-doc (0.8.0-1)
Pure Wayland shell for mobile devices - development documentation
phosh-full (5)
GNOME/Phosh mobile Environment, with extra components
phosh-games (5)
games for the GNOME/Phosh mobile environment
phosh-mobile-tweaks (0.8.0-1)
Pure Wayland shell for mobile devices - GSettings tweaks
phosh-osk-stub (0.8.0-1)
OSK stub to fulfill session dependencies
phosh-phone (5)
GNOME/Phosh mobile Environment -- phone components
phosh-tablet (5)
GNOME/Phosh mobile Environment -- tablet components
photocollage (1.4.3-2.2)
Graphical tool to make photo collage posters
photofilmstrip (3.7.3-2)
Slideshow creator with Ken Burns effect
photoflare (1.6.6-1)
Simple but powerful Image Editor
photoflow (0.2.8+git20200114-3)
non-destructive photo retouching program
photopc (3.07-2)
Interface to digital still cameras
phototonic (2.1-2+b3 [amd64], 2.1-2+b2 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1-2+b1 [armel, armhf])
image viewer and organizer
php (2:7.4+76)
server-side, HTML-embedded scripting language (default)
php
本虛擬套件由這些套件填實: uwsgi-plugin-php, php7.4
php-all-dev (2:76)
package depending on all supported PHP development packages
php-amqp (1.10.2-4)
AMQP extension for PHP
php-amqplib (2.12.1-3)
pure PHP implementation of the AMQP protocol
php-apcu (5.1.19+4.0.11-3)
APC User Cache for PHP
php-apcu-bc (1.0.5-5)
APCu Backwards Compatibility Module
php-ast (1.0.10-4)
AST extension for PHP 7
php-auth-sasl (1.1.0-1)
Abstraction of various SASL mechanism responses
php-autoload
本虛擬套件由這些套件填實: phpab
php-bcmath (2:7.4+76)
Bcmath module for PHP [default]
php-bcmath
本虛擬套件由這些套件填實: php7.4-bcmath
php-bz2 (2:7.4+76)
bzip2 module for PHP [default]
php-bz2
本虛擬套件由這些套件填實: php7.4-bz2
php-cache-integration-tests (0.17.0-1)
Integration tests for PSR-6 and PSR-16 cache implementations
php-cache-tag-interop (1.0.1-1)
Framework interoperable interfaces for tags
php-calendar
本虛擬套件由這些套件填實: php7.4-common
php-cas (1.3.8-1)
Central Authentication Service client library in php
php-cgi (2:7.4+76)
server-side, HTML-embedded scripting language (CGI binary) (default)
php-cgi
本虛擬套件由這些套件填實: php7.4-cgi
php-cli (2:7.4+76)
command-line interpreter for the PHP scripting language (default)
php-cli
本虛擬套件由這些套件填實: php7.4-cli
php-codecoverage (9.2.5+dfsg-3)
collection, processing, and rendering for code coverage
php-codesniffer (3.5.8-3)
PHP, CSS and JavaScript coding standard analyzer and checker
php-common (2:76)
Common files for PHP packages
php-composer-ca-bundle (1.2.9-1)
utility library to find a path to the system CA bundle
php-composer-semver (3.2.4-2)
utilities, version constraint parsing and validation
php-composer-spdx-licenses (1.5.5-2)
SPDX licenses list and validation library
php-composer-xdebug-handler (1.4.5-1)
Restarts a process without Xdebug
php-console-commandline (1.2.1-1)
A full featured command line options and arguments parser
php-console-getopt
本虛擬套件由這些套件填實: php-pear
php-console-table (1.3.1-0.1)
Library that makes it easy to build console style tables
php-cpd
本虛擬套件由這些套件填實: phpcpd
php-crypt-gpg (1.6.4-2+deb11u1)
PHP PEAR module for encrypting and decrypting with GnuPG
php-ctype
本虛擬套件由這些套件填實: php7.4-common
php-curl (2:7.4+76)
CURL module for PHP [default]
php-curl
本虛擬套件由這些套件填實: php7.4-curl
php-date (1.4.7-3)
Generic date/time handling class for PEAR
php-db (1.10.0-1)
Database Abstraction Layer
php-dba
本虛擬套件由這些套件填實: php7.4-dba
php-deepcopy (1.10.2-3)
create deep copies (clones) of objects
php-depend
本虛擬套件由這些套件填實: pdepend
php-dev (2:7.4+76)
Files for PHP module development (default)
php-directory-scanner (1.3.2-4)
recursive directory scanner and filter
php-doctrine-annotations (1.11.2-1)
Docblock Annotations Parser - Doctrine component
php-doctrine-bundle (2.2.3-1)
bundle library - Doctrine component
php-doctrine-cache (1.10.2-2)
cache library - Doctrine component
php-doctrine-collections (1.6.7-2)
Collections Abstraction library - Doctrine component
php-doctrine-common (3.1.1-1)
common extensions for Doctrine
php-doctrine-data-fixtures (1.5.0-1)
Data Fixtures for all Doctrine Object Managers
php-doctrine-dbal (2.12.1-2)
database abstraction layer for Doctrine
php-doctrine-event-manager (1.1.1-1)
Doctrine Event Manager component
php-doctrine-inflector (2.0.3-2)
string manipulations library - Doctrine component
php-doctrine-instantiator (1.4.0-1)
lightweight utility to instantiate objects in PHP
php-doctrine-lexer (1.2.1-1)
base lexer library - Doctrine component
php-doctrine-orm (2.8.1+dfsg-3)
tool for object-relational mapping
php-doctrine-persistence (2.1.0-2)
Doctrine Persistence abstractions
php-doctrine-sql-formatter (= 1.1.0)
本虛擬套件由這些套件填實: php-sql-formatter
php-dom
本虛擬套件由這些套件填實: php7.4-xml
php-dompdf (0.6.2+dfsg-3.1)
HTML to PDF converter
php-dragonmantank-cron-expression (2.3.1-1)
cron expression parser for PHP
php-ds (1.3.0-2)
PHP extension providing efficient data structures for PHP 7
php-easyrdf (1.0.0-2)
PHP library to consume and produce RDF
php-elisp
本虛擬套件由這些套件填實: elpa-php-mode
php-email-validator (2.1.25-1)
A library for validating emails against several RFCs
php-enchant (2:7.4+76)
Enchant module for PHP [default]
php-enchant
本虛擬套件由這些套件填實: php7.4-enchant
php-excimer (1.0.0~git20190913.d82eaf7-1+b1)
PHP extension that provides a non-static, non-global profiler
php-exif
本虛擬套件由這些套件填實: php7.4-common
php-facedetect (1.1.0-19-g135c72a-2)
Detect faces with PHP
php-fdomdocument (1.6.6-3)
extension to PHP's standard DOM
php-ffi
本虛擬套件由這些套件填實: php7.4-common
php-file-iterator (3.0.5-2)
FilterIterator implementation for PHP
php-fileinfo
本虛擬套件由這些套件填實: php7.4-common
php-font-lib (0.3.1+dfsg-3.1)
read, parse, export and make subsets of different fonts
php-fpdf (3:1.8.2.dfsg-1)
PHP class to generate PDF files
php-fpm (2:7.4+76)
server-side, HTML-embedded scripting language (FPM-CGI binary) (default)
php-fpm
本虛擬套件由這些套件填實: php7.4-fpm
php-friendsofphp-proxy-manager-lts (= 1.0.3)
本虛擬套件由這些套件填實: php-proxy-manager
php-ftp
本虛擬套件由這些套件填實: php7.4-common
php-fxsl (1.1.1-5)
XSL wrapper and extension to XSLTProcessor
php-gd (2:7.4+76)
GD module for PHP [default]
php-gd
本虛擬套件由這些套件填實: php7.4-gd
php-gearman (2.1.0+1.1.2-0+deb11u1)
PHP wrapper to libgearman
php-geoip (1.1.1-7)
GeoIP module for PHP
php-geos (1.0.0-6)
GEOS bindings for PHP
php-geshi (1.0.9.1-1)
Generic Syntax Highlighter
php-getallheaders (3.0.3-2)
A polyfill for getallheaders
php-getid3 (1.9.20+dfsg-1)
scripts to extract information from multimedia files
php-gmagick (2.0.6~rc1+1.1.7~rc3-0+deb11u1)
Provides a wrapper to the GraphicsMagick library
php-gmp (2:7.4+76)
GMP module for PHP [default]
php-gmp
本虛擬套件由這些套件填實: php7.4-gmp
php-gnupg (1.4.0-8)
PHP wrapper around the gpgme library
php-google-recaptcha (1.2.4-3)
reCAPTCHA PHP client library
php-guestfs (1:1.44.0-2)
guest disk image management system - PHP bindings
php-guzzlehttp-promises (1.4.0-2)
Guzzle promises library
php-guzzlehttp-psr7 (1.7.0-1+deb11u2)
PSR-7 message implementation that also provides common utility methods
php-hamcrest (2.0.1-2)
This is the PHP port of Hamcrest Matchers
php-horde (5.2.23+debian0-5)
Horde base application
php-horde-activesync (2.41.5-1)
ActiveSync server library
php-horde-alarm (2.2.10-9)
Horde Alarm Libraries
php-horde-ansel (3.0.10+debian1-2)
Photo gallery application
php-horde-argv (2.1.0-7)
Horde command-line argument parsing package
php-horde-auth (2.2.2-8)
Horde Authentication API
php-horde-autoloader (2.1.2-10)
Horde Autoloader
php-horde-browser (2.0.16-4)
Browser detection library
php-horde-cache (2.5.5-8)
Horde Caching API
php-horde-cli (2.3.0-6)
Command line interface library
php-horde-compress (2.2.3-3)
Compression library
php-horde-compress-fast (1.1.1-10)
Fast Compression Library
php-horde-constraint (2.0.3-9)
Horde Constraint library
php-horde-content (2.0.6-7)
Tagging application
php-horde-controller (2.0.5-5)
Controller library
php-horde-core (2.31.16+debian0-2)
Core Horde Framework library
php-horde-crypt (2.7.12-6)
Cryptography library
php-horde-crypt-blowfish (1.1.3-2)
Blowfish encryption library
php-horde-css-parser (1.0.11-8)
Horde CSS Parser
php-horde-cssminify (1.0.4-6)
CSS Minification
php-horde-data (2.1.5-2)
Data import and export library
php-horde-date (2.4.1-8)
Horde Date package
php-horde-date-parser (2.0.7-2)
Date parser library
php-horde-dav (1.1.4-6)
Horde library for WebDAV, CalDAV, CardDAV
php-horde-db (2.4.1-1)
Database abstraction library
php-horde-editor (2.0.5+debian0-5)
Horde Editor API
php-horde-elasticsearch (1.0.4-6)
Horde ElasticSearch client
php-horde-exception (2.0.8-8)
Horde Exception Handler
php-horde-feed (2.0.4-9)
Horde Feed libraries
php-horde-form (2.0.20-2)
Form library
php-horde-gollem (3.0.13-5)
File manager application
php-horde-group (2.1.1-11)
Horde User Groups System
php-horde-groupware (5.2.22-6)
Horde Groupware
php-horde-hashtable (1.2.6-7)
Horde Hash Table Interface
php-horde-history (2.3.6-10)
API for tracking the history of an object
php-horde-http (2.1.7-9)
Horde HTTP libraries
php-horde-icalendar (2.1.8-5)
iCalendar and vCard library
php-horde-idna (1.1.2-2)
IDNA normalization library
php-horde-image (2.6.1-2)
Image library
php-horde-imap-client (2.30.1-4)
IMAP client library
php-horde-imp (6.2.27-2)
Webmail application
php-horde-imsp (2.0.10-6)
IMSP API
php-horde-ingo (3.2.16-8)
An email filter rules manager
php-horde-injector (2.0.5-10)
Horde dependency injection container
php-horde-itip (2.1.2-8)
iTip invitation response handling
php-horde-javascriptminify (1.1.5-7)
Javascript Minification
php-horde-javascriptminify-jsmin (1.0.2-9) [non-free]
Horde Javascript Minifier - Jsmin PHP Driver
php-horde-kolab-format (2.0.9-7)
A package for reading/writing Kolab data formats
php-horde-kolab-server (2.0.5-9)
A package for manipulating the Kolab user database
php-horde-kolab-session (2.0.3-9)
A package managing an active Kolab session
php-horde-kolab-storage (2.2.4-2)
Kolab data storage library
php-horde-kronolith (4.2.29-2)
Calendar and scheduling application
php-horde-ldap (2.4.2-4)
LDAP client library
php-horde-listheaders (1.2.5-7)
Horde List Headers Parsing Library
php-horde-lock (2.1.4-7)
Horde Resource Locking System
php-horde-log (2.3.0-7)
Horde Logging library
php-horde-logintasks (2.0.7-8)
Horde Login Tasks System
php-horde-lz4 (1.0.10-8)
Horde LZ4 Compression Extension
php-horde-mail (2.6.5-5)
Mail library
php-horde-mail-autoconfig (1.0.3-10)
Horde Mail Autoconfiguration
php-horde-mapi (1.0.10-4)
MAPI utility library
php-horde-memcache (2.1.1-7)
Horde Memcache API
php-horde-mime (2.11.1-2)
MIME library
php-horde-mime-viewer (2.2.2+debian0-2)
Horde MIME Viewer Library
php-horde-mnemo (4.2.14-10)
A web based notes manager
php-horde-nag (4.2.19-6)
Task list application
php-horde-nls (2.2.1-6)
Native Language Support (NLS)
php-horde-notification (2.0.4-9)
Horde Notification System
php-horde-oauth (2.0.4-6)
Horde OAuth client/server
php-horde-openxchange (1.0.1-6)
Open-Xchange Connector
php-horde-pack (1.0.7-7)
Horde Pack Utility
php-horde-passwd (5.0.7-8)
Horde password changing application
php-horde-pdf (2.0.8-2)
PDF writer library
php-horde-perms (2.1.8-4)
Permissions library
php-horde-prefs (2.9.0-8)
Horde Preferences API
php-horde-queue (1.1.5-6)
Horde Queue
php-horde-rdo (2.1.0-8)
Rampage Data Objects
php-horde-role (1.0.1-17)
PEAR installer role used to install Horde components
php-horde-routes (2.0.5-9)
Horde Routes URL mapping system
php-horde-rpc (2.1.9-1)
RPC library
php-horde-scheduler (2.0.3-6)
Horde Scheduler System
php-horde-scribe (2.0.3-6)
Scribe client for Horde
php-horde-secret (2.0.6-9)
Secret Encryption API
php-horde-serialize (2.0.5-9)
Data Encapulation API
php-horde-service-facebook (2.0.10-6)
Horde Facebook client
php-horde-service-gravatar (1.0.1-9)
API accessor for gravatar.com
php-horde-service-twitter (2.1.6-6)
Horde Twitter client
php-horde-service-urlshortener (2.0.3-6)
Horde_Service_UrlShortener Class
php-horde-service-weather (2.5.5-2)
Weather service library
php-horde-sesha (1.0.0~rc3-8)
A simple Inventory App for Horde
php-horde-sessionhandler (2.3.0-1)
Session handler library
php-horde-share (2.2.0-8)
Horde Shared Permissions System
php-horde-smtp (1.9.6-2)
SMTP client library
php-horde-socket-client (2.1.3-1)
Network socket client library
php-horde-spellchecker (2.1.3-9)
Spellcheck API
php-horde-stream (1.6.3-9)
Horde stream handler
php-horde-stream-filter (2.0.4-9)
Horde Stream filters
php-horde-stream-wrapper (2.1.4-6)
PHP stream wrappers library
php-horde-support (2.2.0-8)
Horde support package
php-horde-syncml (2.0.7-7)
Horde_SyncMl provides an API for processing SyncML requests
php-horde-template (2.0.3-10)
Horde Template System
php-horde-test (2.6.4+debian0-7)
Unit testing library
php-horde-text-diff (2.2.1-2)
Text diff generation and rendering library
php-horde-text-filter (2.3.7-1)
Text filtering and conversion library
php-horde-text-filter-jsmin (1.0.2-10) [non-free]
Horde Text Filter - Jsmin PHP Driver
php-horde-text-flowed (2.0.4-2)
Flowed text library
php-horde-thrift (2.0.3-6)
Thrift client for Horde
php-horde-timeobjects (2.1.4-6)
Horde timeobjects application
php-horde-timezone (1.1.0-7)
Timezone library
php-horde-token (2.0.9-8)
Horde Token API
php-horde-translation (2.2.2-7)
Horde translation library
php-horde-trean (1.1.10-3)
Bookmarks application
php-horde-tree (2.0.5-6)
Horde Tree API
php-horde-turba (4.2.25-5)
Address book application
php-horde-url (2.2.6-7)
Horde Url class
php-horde-util (2.5.9-2)
Utility library
php-horde-vfs (2.4.1-2)
Virtual file system library
php-horde-view (2.0.6-9)
Horde View API
php-horde-webmail (5.2.22-6)
Horde Groupware Webmail Edition
php-horde-whups (3.0.12-7)
Ticket-tracking application
php-horde-wicked (2.0.8-8)
Wiki application
php-horde-xml-element (2.0.4-9)
Horde Xml Element object
php-horde-xml-wbxml (2.0.4-2)
WBXML library
php-htmlawed (1.1.20-1.1)
htmLawed PHP code to purify & filter HTML
php-htmlpurifier (4.11.0-1)
Standards-compliant HTML filter
php-http (4.0.0+3.2.4+2.6.0-0+deb11u1)
PECL HTTP module for PHP Extended HTTP Support
php-http-httplug (2.2.0-1)
HTTPlug, the HTTP client abstraction for PHP
php-http-message-factory (1.0.2-2)
Factory interfaces for PSR-7 HTTP Message
php-http-promise (1.1.0-1)
Promise used for asynchronous HTTP requests
php-http-psr7-integration-tests (1.1.1-2)
Test suite for PSR7
php-http-webdav-server (1.0.0RC8-1)
WebDAV Server Baseclass
php-httpful (0.3.2-1)
A Readable, Chainable, REST friendly, PHP HTTP Client
php-icinga (2.8.2-2)
PHP library to communicate with and use Icinga
php-iconv
本虛擬套件由這些套件填實: php7.4-common
php-igbinary (3.2.1+2.0.8-2)
igbinary PHP serializer
php-illuminate-auth (6.20.14+dfsg-2+deb11u1)
Illuminate Auth library component for PHP
php-illuminate-broadcasting (6.20.14+dfsg-2+deb11u1)
Illuminate Broadcasting library component for PHP
php-illuminate-bus (6.20.14+dfsg-2+deb11u1)
Illuminate Bus library component for PHP
php-illuminate-cache (6.20.14+dfsg-2+deb11u1)
Illuminate Cache library component for PHP
php-illuminate-config (6.20.14+dfsg-2+deb11u1)
Illuminate Config library component for PHP
php-illuminate-console (6.20.14+dfsg-2+deb11u1)
Illuminate Console library component for PHP
php-illuminate-container (6.20.14+dfsg-2+deb11u1)
Illuminate Container library component for PHP
php-illuminate-contracts (6.20.14+dfsg-2+deb11u1)
Illuminate Contracts library component for PHP
php-illuminate-cookie (6.20.14+dfsg-2+deb11u1)
Illuminate Cookie library component for PHP
php-illuminate-database (6.20.14+dfsg-2+deb11u1)
Illuminate Database library component for PHP
php-illuminate-encryption (6.20.14+dfsg-2+deb11u1)
Illuminate Encryption library component for PHP
php-illuminate-events (6.20.14+dfsg-2+deb11u1)
Illuminate Events library component for PHP
php-illuminate-filesystem (6.20.14+dfsg-2+deb11u1)
Illuminate Filesystem library component for PHP
php-illuminate-hashing (6.20.14+dfsg-2+deb11u1)
Illuminate Hashing library component for PHP
php-illuminate-http (6.20.14+dfsg-2+deb11u1)
Illuminate Http library component for PHP
php-illuminate-log (6.20.14+dfsg-2+deb11u1)
Illuminate Log library component for PHP
php-illuminate-mail (6.20.14+dfsg-2+deb11u1)
Illuminate Mail library component for PHP
php-illuminate-notifications (6.20.14+dfsg-2+deb11u1)
Illuminate Notifications library component for PHP
php-illuminate-pagination (6.20.14+dfsg-2+deb11u1)
Illuminate Pagination library component for PHP
php-illuminate-pipeline (6.20.14+dfsg-2+deb11u1)
Illuminate Pipeline library component for PHP
php-illuminate-queue (6.20.14+dfsg-2+deb11u1)
Illuminate Queue library component for PHP
php-illuminate-redis (6.20.14+dfsg-2+deb11u1)
Illuminate Redis library component for PHP
php-illuminate-routing (6.20.14+dfsg-2+deb11u1)
Illuminate Routing library component for PHP
php-illuminate-session (6.20.14+dfsg-2+deb11u1)
Illuminate Session library component for PHP
php-illuminate-support (6.20.14+dfsg-2+deb11u1)
Illuminate Support library component for PHP
php-illuminate-translation (6.20.14+dfsg-2+deb11u1)
Illuminate Translation library component for PHP
php-illuminate-validation (6.20.14+dfsg-2+deb11u1)
Illuminate Validation library component for PHP
php-illuminate-view (6.20.14+dfsg-2+deb11u1)
Illuminate View library component for PHP
php-image-text (0.7.0-2)
Image_Text - Advanced text maipulations in images
php-imagick (3.4.4+php8.0+3.4.4-2+deb11u2)
Provides a wrapper to the ImageMagick library
php-imap (2:7.4+76)
IMAP module for PHP [default]
php-imap
本虛擬套件由這些套件填實: php7.4-imap
php-interbase (2:7.4+76)
Interbase module for PHP [default]
php-intl (2:7.4+76)
Internationalisation module for PHP [default]
php-intl
本虛擬套件由這些套件填實: php7.4-intl
php-invoker (3.1.1-2)
Invoke callables with a timeout
php-json (2:7.4+76)
JSON module for PHP [default]
php-json
本虛擬套件由這些套件填實: php7.4-json
php-json-schema (5.2.10-2)
implementation of JSON schema
php-laminas-code (= 4.0.0-2)
本虛擬套件由這些套件填實: php-zend-code
php-laminas-eventmanager (= 3.3.0-2)
本虛擬套件由這些套件填實: php-zend-eventmanager
php-laminas-stdlib (= 3.3.1-3)
本虛擬套件由這些套件填實: php-zend-stdlib
php-laravel-framework (6.20.14+dfsg-2+deb11u1)
web application framework for PHP
php-laravel-lumen-framework (6.3.5-2)
micro-framework for building web applications in PHP
php-ldap (2:7.4+76)
LDAP module for PHP [default]
php-ldap
本虛擬套件由這些套件填實: php7.4-ldap
php-league-commonmark (1.5.7-2)
Markdown parser based on the CommonMark JS reference implementation
php-league-flysystem (1.1.3-4)
filesystem abstraction offering one API to many filesystems
php-league-html-to-markdown (4.10.0-2)
An HTML-to-markdown conversion helper for PHP
php-league-mime-type-detection (1.5.1+ds-2)
generic mime-type detection interface for PHP
php-letodms-core (3.4.2-1)
Document management system
php-libvirt-php (0.5.5-3)
libvirt bindings for PHP
php-loc
本虛擬套件由這些套件填實: phploc
php-log (1.13.2-1)
Logging Framework
php-lorenzo-pinky (1.0.5-2)
A Foundation for Emails (Inky) template transpiler
php-lua (2.0.7+1.1.0-3)
PHP Embedded lua interpreter
php-luasandbox (3.0.3-2+b1)
PHP extension that provides a sandboxed Lua environment
php-mail (1.4.1-1)
Class that provides multiple interfaces for sending emails
php-mail-mime (1.10.10-1)
PHP PEAR module for creating MIME messages
php-mailparse (3.1.1+2.1.7~dev20160128-3+deb11u1)
Email message manipulation for PHP
php-mapscript (7.6.2-1)
php-cgi module for MapServer
php-mapscript-ng (7.6.2-1)
PHP MapServer module (SWIG)
php-mariadb-mysql-kbs (1.2.12-1)
Knowledge base about MariaDB and MySQL server variables
php-masterminds-html5 (2.7.4+dfsg-2)
An HTML5 parser and serializer
php-math-biginteger (= 1.0.2+phpseclib)
本虛擬套件由這些套件填實: php-seclib
php-mbstring (2:7.4+76)
MBSTRING module for PHP [default]
php-mbstring
本虛擬套件由這些套件填實: php7.4-mbstring
php-mdb2 (2.5.0b5-2)
database abstraction layer
php-mdb2-driver-mysql (1.5.0b4-2)
mysql MDB2 driver
php-mdb2-driver-pgsql (1.5.0b4-2)
pgsql MDB2 driver
php-memcache (8.0+4.0.5.2+3.0.9~20170802.e702b5f9-3)
memcache extension module for PHP
php-memcached (3.1.5+2.2.0-5+deb11u1)
memcached extension module for PHP, uses libmemcached
php-mf2 (0.3.0-0.1)
Microformats2 is the simplest way to markup structured information in HTML
php-mikey179-vfsstream (1.6.8-3)
Virtual file system to mock the real file system in unit tests
php-mockery (1.4.2-1)
mock object framework for PHPUnit and other testing framework
php-mockery-doc (1.4.2-1)
mock object framework for PHPUnit - documentation
php-mongodb (1.9.0+1.7.5-2)
MongoDB driver for PHP
php-monolog (1.26.0-1)
send logs to various destination and web services
php-msgpack (2.1.2+0.5.7-2)
PHP extension for interfacing with MessagePack
php-myclabs-deep-copy (= 1.10.2-3)
本虛擬套件由這些套件填實: php-deepcopy
php-mysql (2:7.4+76)
MySQL module for PHP [default]
php-mysqli
本虛擬套件由這些套件填實: php7.4-mysql
php-mysqlnd
本虛擬套件由這些套件填實: php7.4-mysql
php-nesbot-carbon (2.32.2-1)
simple PHP API extension for DateTime
php-net-dime (1.0.2-3)
The Net_DIME package implements DIME encoding and decoding
php-net-dns2 (1.5.0-1)
PHP Resolver library used to communicate with a DNS server
php-net-ftp (1:1.4.0-2.1)
Net_FTP provides an OO interface to the PHP FTP functions plus some additions
php-net-imap (1:1.1.3-2.1)
Provides an implementation of the IMAP protocol
php-net-ipv6 (1.3.0b4-1.1)
Check and validate IPv6 addresses
php-net-ldap2 (2.2.0-6)
Object oriented interface for searching and manipulating LDAP-entries
php-net-ldap3 (1.1.3-3)
Object oriented interface for searching and manipulating LDAP entries
php-net-nntp (1.5.0-2)
NNTP implementation
php-net-publicsuffix (0.2-1)
PHP module for detecting registered domains and public suffixes
php-net-sieve (1.4.4-2)
Handles talking to a sieve server
php-net-smtp (1.9.0-1)
PHP PEAR module implementing SMTP protocol
php-net-socket (1.2.2-2)
Network Socket Interface
php-net-url (1.0.15-4)
Easy parsing of Urls
php-net-url2 (2.2.1-0.2)
Class for parsing and handling URL
php-net-whois (1.0.5-3.2)
PHP PEAR module for querying whois services
php-nikic-fast-route (1.3.0-3)
Fast request router for PHP
php-nrk-predis (1.0.0-1+b1)
Flexible and feature-complete PHP client library for the Redis key-value store
php-nyholm-psr7 (1.3.2-2+deb11u1)
A fast PHP7 implementation of PSR-7
php-oauth (2.0.7+1.2.3-3)
OAuth 1.0 consumer and provider extension
php-odbc (2:7.4+76)
ODBC module for PHP [default]
php-odbc
本虛擬套件由這些套件填實: php7.4-odbc
php-opcache
本虛擬套件由這些套件填實: php7.4-opcache
php-opis-closure (3.6.1-1)
serializable closures (anonymous functions) for PHP
php-parsedown (1.7.4-1)
Parser for Markdown
php-parser (4.10.4-1)
convert PHP code into abstract syntax tree
php-pclzip (2.8.2-5)
ZIP archive manager class for PHP
php-pcov (1.0.6-4)
Code coverage driver
php-pdepend
本虛擬套件由這些套件填實: pdepend
php-pdo
本虛擬套件由這些套件填實: php7.4-common
php-pdo-dblib
本虛擬套件由這些套件填實: php7.4-sybase
php-pdo-firebird
本虛擬套件由這些套件填實: php7.4-interbase
php-pdo-mysql
本虛擬套件由這些套件填實: php7.4-mysql
php-pdo-odbc
本虛擬套件由這些套件填實: php7.4-odbc
php-pdo-pgsql
本虛擬套件由這些套件填實: php7.4-pgsql
php-pdo-sqlite
本虛擬套件由這些套件填實: php7.4-sqlite3
php-pear (1:1.10.12+submodules+notgz+20210212-1)
PEAR Base System
php-pecl-http
本虛擬套件由這些套件填實: php-http
php-pgsql (2:7.4+76)
PostgreSQL module for PHP [default]
php-pgsql
本虛擬套件由這些套件填實: php7.4-pgsql
php-phar
本虛擬套件由這些套件填實: php7.4-common
php-phar-io-manifest (2.0.1-2)
reading phar.io manifest information from a PHP Archive (Phar)
php-phar-io-version (3.0.4-1)
handling version information and constraint
php-php-gettext (1.0.12-4)
read gettext MO files directly, without requiring anything other than PHP
php-php-http-async-client-implementation
本虛擬套件由這些套件填實: php-symfony-http-client
php-php-http-client-implementation
本虛擬套件由這些套件填實: php-symfony-http-client
php-phpdbg (2:7.4+76)
server-side, HTML-embedded scripting language (PHPDBG binary) (default)
php-phpdbg
本虛擬套件由這些套件填實: php7.4-phpdbg
php-phpdocumentor-reflection-common (2.2.0-1)
Common reflection classes - phpDocumentor component
php-phpdocumentor-reflection-docblock (5.2.2-1)
DocBlock parser - phpDocumentor component
php-phpdocumentor-type-resolver (1.4.0-2)
TypeResolver and FqsenResolver - phpDocumentor component
php-phpmyadmin-motranslator (5.2.0-1)
translation API for PHP using Gettext MO files
php-phpmyadmin-shapefile (2.1-5)
translation API for PHP using Gettext MO files
php-phpmyadmin-sql-parser (5.4.1-1)
validating SQL lexer and parser
php-phpoption (1.7.5-2)
Option type for PHP
php-phpseclib (2.0.30-2+deb11u1)
implementations of an arbitrary-precision integer arithmetic library
php-phpseclib
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-aes
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-base
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-blowfish
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-des
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-hash
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-random
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-rc4
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-rijndael
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-rsa
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-tripledes
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-crypt-twofish
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-file-ansi
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-file-asn1
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-file-x509
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-math-biginteger
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-net-sftp
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-net-ssh1
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-net-ssh2
本虛擬套件由這些套件填實: php-seclib
php-phpseclib-system-ssh-agent
本虛擬套件由這些套件填實: php-seclib
php-phpspec-prophecy (1.12.2-1)
object mocking framework - phpspec component
php-phpspec-prophecy-phpunit (2.0.1-2)
Integrating the Prophecy mocking library in PHPUnit test cases
php-pinba (1.1.2-2)
Pinba module for PHP
php-pmd
本虛擬套件由這些套件填實: phpmd
php-posix
本虛擬套件由這些套件填實: php7.4-common
php-propro (2.1.0+1.0.2+nophp8-3)
propro module for PHP
php-proxy-manager (2.11.1+1.0.3-1)
library providing utilities to operate with Object Proxies
php-ps (1.4.1+pecl+nophp8+1.3.7-2)
ps module for PHP
php-pspell (2:7.4+76)
pspell module for PHP [default]
php-pspell
本虛擬套件由這些套件填實: php7.4-pspell
php-psr (1.0.1-2)
PSR interfaces for PHP
php-psr-cache (1.0.1-2)
Common interface for caching libraries
php-psr-cache-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-cache
php-psr-container (1.0.0-2)
Common Container Interface (PHP FIG PSR-11)
php-psr-container-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-dependency-injection
php-psr-event-dispatcher-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-event-dispatcher
php-psr-http-client (1.0.1-1)
Common interface for HTTP clients
php-psr-http-client-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-http-client
php-psr-http-factory (1.0.1-2)
Common interfaces for PSR-7 HTTP message factories
php-psr-http-factory-implementation (= 1.0)
本虛擬套件由這些套件填實: php-nyholm-psr7
php-psr-http-message (1.0.1-2)
Common interface for HTTP messages
php-psr-http-message-implementation (= 1.0)
本虛擬套件由這些套件填實: php-guzzlehttp-psr7, php-nyholm-psr7
php-psr-link (1.0.0-2)
Common interfaces for HTTP links
php-psr-link-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-web-link
php-psr-log (1.1.3-2)
common interface for logging libraries
php-psr-log-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-http-kernel, php-symfony-console
php-psr-log-implementation (= 1.0.0)
本虛擬套件由這些套件填實: php-monolog
php-psr-simple-cache (1.0.1-1)
Common interfaces for simple caching
php-psr-simple-cache-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-cache
php-radius (1.4.0~b1-12)
radius client library for PHP
php-ramsey-uuid (3.9.3-2)
RFC 4122 universally unique identifier (UUID) generator for PHP
php-random-compat (2.0.19-1)
PHP 5.x polyfill for random_bytes() and random_int() from PHP 7
php-raphf (2.0.1+1.1.2-1+b1)
raphf module for PHP
php-raphf-dev (2.0.1+1.1.2-1)
raphf module for PHP development headers [dummy]
php-react-promise (2.7.0-2)
lightweight implementation of CommonJS Promises/A for PHP
php-readline (2:7.4+76)
readline module for PHP [default]
php-readline
本虛擬套件由這些套件填實: php7.4-readline
php-redis (5.3.2+4.3.0-2+deb11u1)
PHP extension for interfacing with Redis
php-remctl (3.17-1)
PECL module for Kerberos-authenticated command execution
php-rrd (2.0.1+1.1.3-10)
PHP bindings to rrd tool system
php-sabre-dav (1.8.12-9)
WebDAV Framework for PHP
php-sabre-vobject (2.1.7-6)
library to parse and manipulate iCalendar and vCard objects
php-sass (0.7-1)
PHP bindings to libsass - fast, native Sass parsing in PHP
php-sebastian-resource-operations
本虛擬套件由這些套件填實: phpunit-resource-operations
php-seclib (1.0.19-3+deb11u1)
implementations of an arbitrary-precision integer arithmetic library
php-seclib (= 2.0.30-2+deb11u1)
本虛擬套件由這些套件填實: php-phpseclib
php-shellcommand (1.6.3-1)
An object oriented interface to shell commands
php-shmop
本虛擬套件由這些套件填實: php7.4-common
php-simplexml
本虛擬套件由這些套件填實: php7.4-xml
php-snmp (2:7.4+76)
SNMP module for PHP [default]
php-snmp
本虛擬套件由這些套件填實: php7.4-snmp
php-soap (2:7.4+76)
SOAP module for PHP [default]
php-soap
本虛擬套件由這些套件填實: php7.4-soap
php-sockets
本虛擬套件由這些套件填實: php7.4-common
php-solr (2.5.1+2.4.0-5+deb11u1)
PHP extension for communicating with Apache Solr server
php-sql-formatter (1.2.17+dct1.1.1-1)
a PHP SQL highlighting library
php-sqlite3 (2:7.4+76)
SQLite3 module for PHP [default]
php-sqlite3
本虛擬套件由這些套件填實: php7.4-sqlite3
php-ssh2 (1.2+0.13-4)
Bindings for the libssh2 library
php-stomp (2.0.2+1.0.9-5)
Streaming Text Oriented Messaging Protocol (STOMP) client module for PHP
php-structures-graph
本虛擬套件由這些套件填實: php-pear
php-swiftmailer (6.2.4-1)
Swiftmailer, free feature-rich PHP mailer
php-sybase (2:7.4+76)
Sybase module for PHP [default]
php-symfony (4.4.19+dfsg-2+deb11u4)
set of reusable components and framework for web projects
php-symfony-amazon-mailer (4.4.19+dfsg-2+deb11u4)
Symfony Amazon Mailer Bridge
php-symfony-asset (4.4.19+dfsg-2+deb11u4)
manage asset URLs
php-symfony-browser-kit (4.4.19+dfsg-2+deb11u4)
simulate the behavior of a web browser
php-symfony-cache (4.4.19+dfsg-2+deb11u4)
provides an extended PSR-6, PSR-16 (and tags) implementation
php-symfony-cache-contracts (1.1.10-2)
Generic abstractions related to caching
php-symfony-cache-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-cache
php-symfony-config (4.4.19+dfsg-2+deb11u4)
load configurations from different data sources
php-symfony-console (4.4.19+dfsg-2+deb11u4)
run tasks from the command line
php-symfony-contracts (1.1.10-2)
A set of abstractions extracted out of the Symfony components
php-symfony-css-selector (4.4.19+dfsg-2+deb11u4)
convert CSS selectors to XPath expressions
php-symfony-debug (4.4.19+dfsg-2+deb11u4)
tools to make debugging of PHP code easier
php-symfony-debug-bundle (4.4.19+dfsg-2+deb11u4)
debugging tools for the Symfony framework
php-symfony-dependency-injection (4.4.19+dfsg-2+deb11u4)
standardize and centralize construction of objects
php-symfony-doctrine-bridge (4.4.19+dfsg-2+deb11u4)
integration for Doctrine with Symfony Components
php-symfony-dom-crawler (4.4.19+dfsg-2+deb11u4)
ease DOM navigation for HTML and XML documents
php-symfony-dotenv (4.4.19+dfsg-2+deb11u4)
.env files parser to make environment variables accessible
php-symfony-error-handler (4.4.19+dfsg-2+deb11u4)
manage errors and ease debugging
php-symfony-event-dispatcher (4.4.19+dfsg-2+deb11u4)
dispatch events and listen to them
php-symfony-event-dispatcher-contracts (1.1.10-2)
Generic abstractions related to dispatching event
php-symfony-event-dispatcher-implementation (= 1.1)
本虛擬套件由這些套件填實: php-symfony-event-dispatcher
php-symfony-expression-language (4.4.19+dfsg-2+deb11u4)
compile and evaluate expressions
php-symfony-filesystem (4.4.19+dfsg-2+deb11u4)
basic filesystem utilities
php-symfony-finder (4.4.19+dfsg-2+deb11u4)
find files and directories
php-symfony-form (4.4.19+dfsg-2+deb11u4)
create HTML forms and process request data
php-symfony-framework-bundle (4.4.19+dfsg-2+deb11u4)
basic, robust and flexible MVC framework
php-symfony-google-mailer (4.4.19+dfsg-2+deb11u4)
Symfony Google Mailer Bridge
php-symfony-http-client (4.4.19+dfsg-2+deb11u4)
methods to fetch HTTP resources synchronously or asynchronously
php-symfony-http-client-contracts (1.1.10-2)
Generic abstractions related to HTTP clients
php-symfony-http-client-implementation (= 1.1)
本虛擬套件由這些套件填實: php-symfony-http-client
php-symfony-http-foundation (4.4.19+dfsg-2+deb11u4)
object-oriented layer for the HTTP specification
php-symfony-http-kernel (4.4.19+dfsg-2+deb11u4)
building blocks for flexible and fast HTTP-based frameworks
php-symfony-inflector (4.4.19+dfsg-2+deb11u4)
words conversion between their singular and plural forms
php-symfony-intl (4.4.19+dfsg-2+deb11u4)
limited replacement layer for the PHP extension intl
php-symfony-ldap (4.4.19+dfsg-2+deb11u4)
abstraction layer for the PHP LDAP module
php-symfony-lock (4.4.19+dfsg-2+deb11u4)
create and manage locks
php-symfony-mailchimp-mailer (4.4.19+dfsg-2+deb11u4)
Symfony Mailchimp Mailer Bridge
php-symfony-mailer (4.4.19+dfsg-2+deb11u4)
help sending emails
php-symfony-mailgun-mailer (4.4.19+dfsg-2+deb11u4)
Symfony Mailgun Mailer Bridge
php-symfony-messenger (4.4.19+dfsg-2+deb11u4)
send and receive messages
php-symfony-mime (4.4.19+dfsg-2+deb11u4)
library to manipulate MIME messages
php-symfony-monolog-bridge (4.4.19+dfsg-2+deb11u4)
integration for Monolog with Symfony Components
php-symfony-options-resolver (4.4.19+dfsg-2+deb11u4)
configure objects with option arrays
php-symfony-phpunit-bridge (4.4.19+dfsg-2+deb11u4)
integration for PHPUnit with Symfony Components
php-symfony-polyfill (1.22.1-1)
Symfony polyfills backporting features to lower PHP versions
php-symfony-polyfill-apcu (1.22.1-1)
Symfony polyfill backporting apcu_* functions to lower PHP versions
php-symfony-polyfill-ctype (1.22.1-1)
Symfony polyfill for ctype functions
php-symfony-polyfill-iconv (1.22.1-1)
Symfony polyfill for the Iconv extension
php-symfony-polyfill-intl-grapheme (1.22.1-1)
Symfony polyfill for intl's grapheme_* functions
php-symfony-polyfill-intl-icu (1.22.1-1)
Symfony polyfill for intl's ICU-related data and classes
php-symfony-polyfill-intl-idn (1.22.1-1)
Symfony polyfill for intl's idn_to_ascii and idn_to_utf8 functions
php-symfony-polyfill-intl-messageformatter (1.22.1-1)
Symfony polyfill for intl's MessageFormatter class and related functions
php-symfony-polyfill-intl-normalizer (1.22.1-1)
Symfony polyfill for intl's Normalizer class and related functions
php-symfony-polyfill-mbstring (1.22.1-1)
Symfony polyfill for the Mbstring extension
php-symfony-polyfill-php72 (1.22.1-1)
Symfony polyfill backporting some PHP 7.2+ features to lower PHP versions
php-symfony-polyfill-php73 (1.22.1-1)
Symfony polyfill backporting some PHP 7.3+ features to lower PHP versions
php-symfony-polyfill-php74 (1.22.1-1)
Symfony polyfill backporting some PHP 7.4+ features to lower PHP versions
php-symfony-polyfill-php80 (1.22.1-1)
Symfony polyfill backporting some PHP 8.0+ features to lower PHP versions
php-symfony-polyfill-php81 (1.22.1-1)
Symfony polyfill backporting some PHP 8.1+ features to lower PHP versions
php-symfony-polyfill-util (1.22.1-1)
Symfony utilities for portability of PHP codes
php-symfony-polyfill-uuid (1.22.1-1)
Symfony polyfill for uuid functions
php-symfony-polyfill-xml (1.22.1-1)
Symfony polyfill for xml's utf8_encode and utf8_decode functions
php-symfony-postmark-mailer (4.4.19+dfsg-2+deb11u4)
Symfony Postmark Mailer Bridge
php-symfony-process (4.4.19+dfsg-2+deb11u4)
execute commands in sub-processes
php-symfony-property-access (4.4.19+dfsg-2+deb11u4)
read from and write to an object or array
php-symfony-property-info (4.4.19+dfsg-2+deb11u4)
extract information about properties of PHP classes
php-symfony-proxy-manager-bridge (4.4.19+dfsg-2+deb11u4)
integration for ProxyManager with Symfony Components
php-symfony-routing (4.4.19+dfsg-2+deb11u4)
associate a request with code that generates a response
php-symfony-security (4.4.19+dfsg-2+deb11u4)
infrastructure for sophisticated authorization systems
php-symfony-security-acl (3.1.1-1)
Symfony Security Component - ACL (Access Control List)
php-symfony-security-bundle (4.4.19+dfsg-2+deb11u4)
configurable security system for the Symfony framework
php-symfony-security-core (4.4.19+dfsg-2+deb11u4)
infrastructure for authorization systems - common features
php-symfony-security-csrf (4.4.19+dfsg-2+deb11u4)
infrastructure for authorization systems - CSRF protection
php-symfony-security-guard (4.4.19+dfsg-2+deb11u4)
infrastructure for authorization systems - Guard features
php-symfony-security-http (4.4.19+dfsg-2+deb11u4)
infrastructure for authorization systems - HTTP integration
php-symfony-sendgrid-mailer (4.4.19+dfsg-2+deb11u4)
Symfony Sendgrid Mailer Bridge
php-symfony-serializer (4.4.19+dfsg-2+deb11u4)
convert PHP objects into specific formats and vice versa
php-symfony-service-contracts (1.1.10-2)
Generic abstractions related to writing services
php-symfony-service-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-dependency-injection
php-symfony-stopwatch (4.4.19+dfsg-2+deb11u4)
profile PHP code
php-symfony-templating (4.4.19+dfsg-2+deb11u4)
tools needed to build a template system
php-symfony-translation (4.4.19+dfsg-2+deb11u4)
tools to internationalize an application
php-symfony-translation-contracts (1.1.10-2)
Generic abstractions related to translation
php-symfony-translation-implementation (= 1.0)
本虛擬套件由這些套件填實: php-symfony-translation
php-symfony-twig-bridge (4.4.19+dfsg-2+deb11u4)
integration for Twig with Symfony Components
php-symfony-twig-bundle (4.4.19+dfsg-2+deb11u4)
configurable integration of Twig with the Symfony framework
php-symfony-validator (4.4.19+dfsg-2+deb11u4)
tools to validate values
php-symfony-var-dumper (4.4.19+dfsg-2+deb11u4)
mechanisms for walking through any arbitrary PHP variable
php-symfony-var-exporter (4.4.19+dfsg-2+deb11u4)
export serializable PHP data structure to plain PHP code
php-symfony-web-link (4.4.19+dfsg-2+deb11u4)
manage links between resources
php-symfony-web-profiler-bundle (4.4.19+dfsg-2+deb11u4)
collect requests information for analysis and debugging
php-symfony-web-server-bundle (4.4.19+dfsg-2+deb11u4)
provide commands for applications using the PHP built-in web server
php-symfony-workflow (4.4.19+dfsg-2+deb11u4)
manage a workflow or finite state machine
php-symfony-yaml (4.4.19+dfsg-2+deb11u4)
convert YAML to PHP arrays and the other way around
php-sysvmsg
本虛擬套件由這些套件填實: php7.4-common
php-sysvsem
本虛擬套件由這些套件填實: php7.4-common
php-sysvshm
本虛擬套件由這些套件填實: php7.4-common
php-tcpdf (6.3.5+dfsg1-1)
PHP class for generating PDF files on-the-fly
php-text-captcha (1.0.2-8)
Generation of CAPTCHAs
php-text-figlet (1.0.2-5)
Engine for use FIGlet fonts to rendering text
php-text-languagedetect (0.3.0-2)
Language detection class
php-text-password (1.2.1-5)
Creating passwords with PHP
php-text-template (2.0.4-1)
Simple template engine
php-text-wiki (1.2.1-3)
transform Wiki and BBCode markup into XHTML, LaTeX or plain text markup
php-thrift (0.13.0-6)
PHP language support for Thrift
php-tideways (5.0.4-2)
Tideways PHP Profiler Extension
php-tidy (2:7.4+76)
tidy module for PHP [default]
php-tidy
本虛擬套件由這些套件填實: php7.4-tidy
php-tijsverkoyen-css-to-inline-styles (2.2.3-2)
convert HTML into HTML with inline styles
php-timer (5.0.3-2)
Utility class for timing
php-tokenizer (1.2.0-1)
tokenized PHP source to XML converter
php-tokenizer
本虛擬套件由這些套件填實: php7.4-common
php-twig (2.14.3-1+deb11u2)
Flexible, fast, and secure template engine for PHP
php-twig-cssinliner-extra (2.14.3-1+deb11u2)
A Twig extension to allow inlining CSS
php-twig-doc (2.14.3-1+deb11u2)
Twig template engine documentation
php-twig-extra-bundle (2.14.3-1+deb11u2)
A Symfony bundle for extra Twig extensions
php-twig-html-extra (2.14.3-1+deb11u2)
A Twig extension for HTML
php-twig-i18n-extension (3.0.0-2)
i18n extension for the Twig template system
php-twig-inky-extra (2.14.3-1+deb11u2)
A Twig extension for the inky email templating engine
php-twig-intl-extra (2.14.3-1+deb11u2)
A Twig extension for Intl
php-twig-markdown-extra (2.14.3-1+deb11u2)
A Twig extension for Markdown
php-uopz (6.1.2-4)
UOPZ extension for PHP 7
php-uploadprogress (1.1.3-4)
file upload progress tracking extension for PHP
php-uuid (1.2.0-2)
PHP UUID extension
php-validate (0.8.5-4.2)
validation class
php-vlucas-phpdotenv (3.6.7-2)
environment variable file loader for PHP
php-webmozart-assert (1.9.1-2)
Assertions to validate method input/output with nice error messages
php-wikidiff2 (1.11.0-1)
external diff engine for mediawiki
php-wmerrors (2.0.0~git20190628.183ef7d-2+b1)
PHP extension that enhances and customizes handling of PHP errors
php-xajax (0.5-2)
A library to develop Ajax applications
php-xdebug (3.0.2+2.9.8+2.8.1+2.5.5-2)
Xdebug Module for PHP
php-xml (2:7.4+76)
DOM, SimpleXML, WDDX, XML, and XSL module for PHP [default]
php-xml
本虛擬套件由這些套件填實: php7.4-xml
php-xml-htmlsax3 (3.0.0+really3.0.0-4)
SAX parser for HTML and other badly formed XML documents
php-xml-svg (1.1.0-2)
XML_SVG API
php-xml-util
本虛擬套件由這些套件填實: php-pear
php-xmlreader
本虛擬套件由這些套件填實: php7.4-xml
php-xmlrpc (2:7.4+76)
XMLRPC-EPI module for PHP [default]
php-xmlrpc
本虛擬套件由這些套件填實: php7.4-xmlrpc
php-xmlwriter
本虛擬套件由這些套件填實: php7.4-xml
php-xsl
本虛擬套件由這些套件填實: php7.4-xml
php-yac (2.3.0+0.9.2-2)
YAC (Yet Another Cache) for PHP
php-yaml (2.2.1+2.1.0+2.0.4+1.3.2-2)
YAML-1.1 parser and emitter for PHP
php-zend-code (4.0.0-2)
Laminas Project - Code component
php-zend-eventmanager (3.3.0-2)
Laminas Project - EventManager component
php-zend-stdlib (3.3.1-3)
Laminas Project - Stdlib component
php-zeroc-ice (3.7.5-2)
PHP extension for Ice
php-zeta-base (1.9.1-3)
Zeta Components - Base package
php-zeta-console-tools (1.7.2-2)
Zeta Components - ConsoleTools package
php-zeta-unit-test (1.1.2-2)
Zeta Components - UnitTest package
php-zip (2:7.4+76)
Zip module for PHP [default]
php-zip
本虛擬套件由這些套件填實: php7.4-zip
php-zmq (1.1.3-14)
ZeroMQ messaging bindings for PHP
php7.3-sass
本虛擬套件由這些套件填實: php-sass
php7.4 (7.4.33-1+deb11u5) [security]
server-side, HTML-embedded scripting language (metapackage)
php7.4-amqp
本虛擬套件由這些套件填實: php-amqp
php7.4-apcu
本虛擬套件由這些套件填實: php-apcu
php7.4-apcu-bc
本虛擬套件由這些套件填實: php-apcu-bc
php7.4-ast
本虛擬套件由這些套件填實: php-ast
php7.4-bcmath (7.4.33-1+deb11u5) [security]
Bcmath module for PHP
php7.4-bz2 (7.4.33-1+deb11u5) [security]
bzip2 module for PHP
php7.4-calendar
本虛擬套件由這些套件填實: php7.4-common
php7.4-cgi (7.4.33-1+deb11u5) [security]
server-side, HTML-embedded scripting language (CGI binary)
php7.4-cli (7.4.33-1+deb11u5) [security]
command-line interpreter for the PHP scripting language
php7.4-common (7.4.33-1+deb11u5) [security]
documentation, examples and common module for PHP
php7.4-ctype
本虛擬套件由這些套件填實: php7.4-common
php7.4-curl (7.4.33-1+deb11u5) [security]
CURL module for PHP
php7.4-dba (7.4.33-1+deb11u5) [security]
DBA module for PHP
php7.4-dev (7.4.33-1+deb11u5) [security]
Files for PHP7.4 module development
php7.4-dom
本虛擬套件由這些套件填實: php7.4-xml
php7.4-ds
本虛擬套件由這些套件填實: php-ds
php7.4-enchant (7.4.33-1+deb11u5) [security]
Enchant module for PHP
php7.4-exif
本虛擬套件由這些套件填實: php7.4-common
php7.4-ffi
本虛擬套件由這些套件填實: php7.4-common
php7.4-fileinfo
本虛擬套件由這些套件填實: php7.4-common
php7.4-fpm (7.4.33-1+deb11u5) [security]
server-side, HTML-embedded scripting language (FPM-CGI binary)
php7.4-ftp
本虛擬套件由這些套件填實: php7.4-common
php7.4-gd (7.4.33-1+deb11u5) [security]
GD module for PHP
php7.4-gearman
本虛擬套件由這些套件填實: php-gearman
php7.4-geoip
本虛擬套件由這些套件填實: php-geoip
php7.4-gettext
本虛擬套件由這些套件填實: php7.4-common
php7.4-gmagick
本虛擬套件由這些套件填實: php-gmagick
php7.4-gmp (7.4.33-1+deb11u5) [security]
GMP module for PHP
php7.4-gnupg
本虛擬套件由這些套件填實: php-gnupg
php7.4-http
本虛擬套件由這些套件填實: php-http
php7.4-iconv
本虛擬套件由這些套件填實: php7.4-common
php7.4-igbinary
本虛擬套件由這些套件填實: php-igbinary
php7.4-imagick
本虛擬套件由這些套件填實: php-imagick
php7.4-imap (7.4.33-1+deb11u5) [security]
IMAP module for PHP
php7.4-interbase (7.4.33-1+deb11u5) [security]
Interbase module for PHP
php7.4-intl (7.4.33-1+deb11u5) [security]
Internationalisation module for PHP
php7.4-json (7.4.33-1+deb11u5) [security]
JSON module for PHP
php7.4-ldap (7.4.33-1+deb11u5) [security]
LDAP module for PHP
php7.4-lua
本虛擬套件由這些套件填實: php-lua
php7.4-mailparse
本虛擬套件由這些套件填實: php-mailparse
php7.4-mbstring (7.4.33-1+deb11u5) [security]
MBSTRING module for PHP
php7.4-memcache
本虛擬套件由這些套件填實: php-memcache
php7.4-memcached
本虛擬套件由這些套件填實: php-memcached
php7.4-mongodb
本虛擬套件由這些套件填實: php-mongodb
php7.4-msgpack
本虛擬套件由這些套件填實: php-msgpack
php7.4-mysql (7.4.33-1+deb11u5) [security]
MySQL module for PHP
php7.4-mysqli
本虛擬套件由這些套件填實: php7.4-mysql
php7.4-mysqlnd
本虛擬套件由這些套件填實: php7.4-mysql
php7.4-oauth
本虛擬套件由這些套件填實: php-oauth
php7.4-odbc (7.4.33-1+deb11u5) [security]
ODBC module for PHP
php7.4-opcache (7.4.33-1+deb11u5) [security]
Zend OpCache module for PHP
php7.4-pcov
本虛擬套件由這些套件填實: php-pcov
php7.4-pdo
本虛擬套件由這些套件填實: php7.4-common
php7.4-pdo-dblib
本虛擬套件由這些套件填實: php7.4-sybase
php7.4-pdo-firebird
本虛擬套件由這些套件填實: php7.4-interbase
php7.4-pdo-mysql
本虛擬套件由這些套件填實: php7.4-mysql
php7.4-pdo-odbc
本虛擬套件由這些套件填實: php7.4-odbc
php7.4-pdo-pgsql
本虛擬套件由這些套件填實: php7.4-pgsql
php7.4-pdo-sqlite
本虛擬套件由這些套件填實: php7.4-sqlite3
php7.4-pgsql (7.4.33-1+deb11u5) [security]
PostgreSQL module for PHP
php7.4-phar
本虛擬套件由這些套件填實: php7.4-common
php7.4-phpdbg (7.4.33-1+deb11u5) [security]
server-side, HTML-embedded scripting language (PHPDBG binary)
php7.4-pinba
本虛擬套件由這些套件填實: php-pinba
php7.4-posix
本虛擬套件由這些套件填實: php7.4-common
php7.4-propro
本虛擬套件由這些套件填實: php-propro
php7.4-ps
本虛擬套件由這些套件填實: php-ps
php7.4-pspell (7.4.33-1+deb11u5) [security]
pspell module for PHP
php7.4-psr
本虛擬套件由這些套件填實: php-psr
php7.4-radius
本虛擬套件由這些套件填實: php-radius
php7.4-raphf
本虛擬套件由這些套件填實: php-raphf
php7.4-readline (7.4.33-1+deb11u5) [security]
readline module for PHP
php7.4-redis
本虛擬套件由這些套件填實: php-redis
php7.4-rrd
本虛擬套件由這些套件填實: php-rrd
php7.4-sass
本虛擬套件由這些套件填實: php-sass
php7.4-shmop
本虛擬套件由這些套件填實: php7.4-common
php7.4-simplexml
本虛擬套件由這些套件填實: php7.4-xml
php7.4-snmp (7.4.33-1+deb11u5) [security]
SNMP module for PHP
php7.4-soap (7.4.33-1+deb11u5) [security]
SOAP module for PHP
php7.4-sockets
本虛擬套件由這些套件填實: php7.4-common
php7.4-solr
本虛擬套件由這些套件填實: php-solr
php7.4-sqlite3 (7.4.33-1+deb11u5) [security]
SQLite3 module for PHP
php7.4-ssh2
本虛擬套件由這些套件填實: php-ssh2
php7.4-stomp
本虛擬套件由這些套件填實: php-stomp
php7.4-sybase (7.4.33-1+deb11u5) [security]
Sybase module for PHP
php7.4-sysvmsg
本虛擬套件由這些套件填實: php7.4-common
php7.4-sysvsem
本虛擬套件由這些套件填實: php7.4-common
php7.4-sysvshm
本虛擬套件由這些套件填實: php7.4-common
php7.4-tideways
本虛擬套件由這些套件填實: php-tideways
php7.4-tidy (7.4.33-1+deb11u5) [security]
tidy module for PHP
php7.4-tokenizer
本虛擬套件由這些套件填實: php7.4-common
php7.4-uopz
本虛擬套件由這些套件填實: php-uopz
php7.4-uploadprogress
本虛擬套件由這些套件填實: php-uploadprogress
php7.4-uuid
本虛擬套件由這些套件填實: php-uuid
php7.4-xdebug
本虛擬套件由這些套件填實: php-xdebug
php7.4-xml (7.4.33-1+deb11u5) [security]
DOM, SimpleXML, XML, and XSL module for PHP
php7.4-xmlreader
本虛擬套件由這些套件填實: php7.4-xml
php7.4-xmlrpc (7.4.33-1+deb11u5) [security]
XMLRPC-EPI module for PHP
php7.4-xmlwriter
本虛擬套件由這些套件填實: php7.4-xml
php7.4-xsl (7.4.33-1+deb11u5) [security]
XSL module for PHP (dummy)
php7.4-xsl
本虛擬套件由這些套件填實: php7.4-xml
php7.4-yac
本虛擬套件由這些套件填實: php-yac
php7.4-yaml
本虛擬套件由這些套件填實: php-yaml
php7.4-zip (7.4.33-1+deb11u5) [security]
Zip module for PHP
php7.4-zmq
本虛擬套件由這些套件填實: php-zmq
phpab (1.26.0-1)
lightweight PHP namespace aware autoload generator
phpapi-20190902
本虛擬套件由這些套件填實: libapache2-mod-php7.4, php7.4-cgi, php7.4-cli, php7.4-fpm, libphp7.4-embed, php7.4-phpdbg
phpcpd (6.0.3-1)
copy and paste detector (CPD) for PHP code
phpdox (0.12.0-5)
documentation generation framework and tool
phpliteadmin (1.9.8.2-1+deb11u1)
web-based SQLite database admin tool
phpliteadmin-themes (1.9.8.2-1+deb11u1)
web-based SQLite database admin tool - themes
phploc (7.0.2-1)
tool for quickly measuring the size of a PHP project
phpmd (2.9.1-2)
PHP Mess Detector
phpmyadmin (4:5.0.4+dfsg2-2+deb11u1)
MySQL web administration tool
phppgadmin (7.13.0+dfsg-2)
web-based administration tool for PostgreSQL
phpqrcode (1.1.4-3.1)
PHP library for generating two-dimensional barcodes
phpsysinfo (3.2.5-3)
PHP based host information
phpunit (9.5.2-1)
Unit testing suite for PHP
phpunit-cli-parser (1.0.1-1)
Library for parsing CLI options
phpunit-code-unit (1.0.8-1)
Collection of value objects that represent the PHP code units
phpunit-code-unit-reverse-lookup (2.0.3-2)
look up what a line of code belongs to - PHPUnit component
phpunit-comparator (4.0.6-1)
functionality to compare PHP values for equality - PHPUnit component
phpunit-complexity (2.0.2-1)
Library for calculating the complexity of PHP code units
phpunit-diff (4.0.4-1)
diff implementation - stand-alone component from PHPUnit
phpunit-environment (5.1.3-2)
functionality to handle HHVM/PHP environments - PHPUnit component
phpunit-exporter (4.0.3-2)
export variables for visualization - PHPUnit component
phpunit-global-state (5.0.2-1)
snapshotting of global state - PHPUnit component
phpunit-lines-of-code (1.0.3-1)
Library for counting the lines of code in PHP source code
phpunit-object-enumerator (4.0.4-1)
enumerate all referenced objects - PHPUnit component
phpunit-object-reflector (2.0.4-1)
reflection of object attributes - PHPUnit component
phpunit-recursion-context (4.0.4-1)
recursively process PHP variables - PHPUnit component
phpunit-resource-operations (3.0.3-2)
provide a list of PHP built-in functions that operate on resources
phpunit-type (2.3.1-1)
Collection of value objects that represent the types of the PHP type system
phpunit-version (3.0.2-2)
Library that helps with managing the version number of Git-hosted PHP projects
phpwebcounter (1.0-5)
simple and light web hit counter
phpwebcounter-extra (20071108-4)
extra graphical numbers to PHP Web Counter
phy-spread
本虛擬套件由這些套件填實: spread-phy
phybin (0.3-5)
binning/clustering newick trees by topology
phylip (1:3.697+dfsg-2)
package of programs for inferring phylogenies
phylip-doc (1:3.697+dfsg-2)
package of programs for inferring phylogenies (documentation)
phylonium (1.3-1)
Fast and Accurate Estimation of Evolutionary Distances
phyml (3:3.3.20200621-1)
Phylogenetic estimation using Maximum Likelihood
physamp (1.1.0-2)
sample sequence alignment corresponding to phylogeny
physlock (13-1+b1 [amd64], 13-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lightweight Linux console locking tool
phyutility (2.7.3+dfsg-3)
simple analyses or modifications on both phylogenetic trees and data matrices
phyx (1.01+ds-2+deb11u1)
UNIX-style phylogenetic analyses on trees and sequences
pi (1.3.6-4)
Compute Archimedes' constant Pi to arbitrary precision
pia (3.107-1)
movie player for xawtv
pianobar (2020.11.28-1)
console based player for Pandora radio
pianobooster (1.0.0-2)
Learn the piano just by playing a game
picard (2.5.6-1)
Next-Generation MusicBrainz audio files tagger
picard-tools (2.24.1+dfsg-1)
Command line tools to manipulate SAM and BAM files
pick (2.0.2-1.1)
utility to choose one option from a set of choices
picmi (4:20.12.1-1)
Number logic game
picocom (3.1-2+b1 [amd64], 3.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
minimal dumb-terminal emulation program
picolibc-aarch64-linux-gnu (1.5.1-2)
Smaller embedded C library for 64-bit ARM development
picolibc-arm-none-eabi (1.5.1-2)
Smaller embedded C library for ARM development
picolibc-riscv64-unknown-elf (1.5.1-2)
Smaller embedded C library for RISC-V development
picolibc-xtensa-lx106-elf (1.5.1-2)
Smaller embedded C library for ESP8266 development
picolisp (21.1.8-1)
Lisp interpreter and application server framework
picom (8.2-1)
lightweight compositor for X11
picon-domains (2018.02.28-1) [non-free]
Picon (Personal Images) database of Internet domain logos
picon-misc (2017.01.02-1) [non-free]
Picon (Personal Images) database of common accounts and misc
picon-news (2017.01.02-1) [non-free]
Picon (Personal Images) db of Usenet newsgroups and hierarchies
picon-unknown (2017.01.02-1) [non-free]
Picon (Personal Images) database for very high-level domains
picon-usenix (1995.04.13-9) [non-free]
Picon (Personal Images) db of Usenix conference attendees
picon-users (2017.01.02-1) [non-free]
Picon (Personal Images) database of individual Internet accounts
picon-weather (2017.01.02-1) [non-free]
Picon (Personal Images) database for displaying weather forecasts
picons
本虛擬套件由這些套件填實: picon-unknown, picon-news, picon-domains, picon-usenix, picon-users, picon-weather, picon-misc
picopore (1.2.0-2)
lossless compression of Nanopore files
picosat (965-2)
SAT solver with proof and core support
pid1 (0.1.2.0-4+b2 [s390x], 0.1.2.0-4+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
signal handling and orphan reaping for Unix PID1 init processes
pidcat (2.1.0-4)
Colored adb logcat that shows entries for specific apps
pidgin (2.14.1-1)
graphical multi-protocol instant messaging client
pidgin-audacious (2.0.0-7)
pidgin integration with Audacious
pidgin-awayonlock (0.5.2-1+b1)
pidgin plugin to set as away on screensaver activation
pidgin-blinklight (0.11.1-3+b1)
Blinks your ThinkPad's ThinkLight upon new messages
pidgin-data (2.14.1-1)
multi-protocol instant messaging client - data files
pidgin-dev (2.14.1-1)
multi-protocol instant messaging client - development files
pidgin-extprefs (0.7-3)
extended preferences plugin for the instant messenger pidgin
pidgin-festival (2.4-3+b1)
pidgin plugin to hear incoming messages using voice synthesis
pidgin-gnome-keyring (2.0-2)
integrates pidgin (and libpurple) with the system keyring
pidgin-guifications (2.16-2+b2)
toaster popups for pidgin
pidgin-hotkeys (0.2.4-1.3)
Configurable global hotkeys for pidgin
pidgin-lastfm (0.4a-2.1)
Last.fm plugin for Pidgin
pidgin-latex (1.5.0-1+b2)
Pidgin plugin to display LaTeX formulas
pidgin-librvp (0.9.7cvs-1.1+b1)
MS Exchange RVP instant messaging plugin for Pidgin
pidgin-mpris (0.2.3-3)
sets your available message to your currently playing track
pidgin-mra (20100304-1+b1)
Mail.ru Agent protocol plugin for Pidgin IM
pidgin-mra-dbg (20100304-1+b1)
Mail.ru Agent protocol plugin for Pidgin IM
pidgin-nateon (0.0.0.svn147-1+b2)
Pidgin plugin for NateOn instant messaging service
pidgin-nateon-dbg (0.0.0.svn147-1+b2)
debugging symbols of pidgin-nateon
pidgin-otr (4.0.2-3)
Off-the-Record Messaging plugin for Pidgin
pidgin-plugin-pack (2.8.0-1)
Collection of Pidgin plugins
pidgin-privacy-please (0.7.1-3+b1)
plugin for enhanced privacy in pidgin
pidgin-sipe (1.25.0-2)
Pidgin plugin for Skype for Business and Microsoft Lync
pidgin-skype (20140930+svn665+dfsg-1+b1) [contrib]
Skype plugin for libpurple messengers (Pidgin-specific files)
pidgin-skype-common (20140930+svn665+dfsg-1+b1) [contrib]
Skype plugin for libpurple messengers (common files)
pidgin-skype-dbg (20140930+svn665+dfsg-1+b1) [contrib]
Skype plugin for libpurple messengers (debug symbols)
pidgin-themes (0.2-2)
smiley themes collection for Pidgin
piespy (0.4.0-5)
IRC bot to visualize social networks
piglit (0~git20200212-f4710c51b-1)
Open-source test suite for OpenGL and OpenCL implementations
pigpio-tools (1.78-1)
Client tools for Raspberry Pi GPIO control
pigx-rnaseq (0.0.10+ds-2)
pipeline for checkpointed and distributed RNA-seq analyses
pigz (2.6-1)
Parallel Implementation of GZip
pike8.0 (8.0.1116-1)
Recommended metapackage for Pike 8.0
pike8.0-bzip2 (8.0.1116-1)
Bzip2 module for Pike
pike8.0-core (8.0.1116-1)
Powerful interpreted programming language
pike8.0-dev (8.0.1116-1)
Development files for Pike 8.0
pike8.0-dnssd (8.0.1116-1)
DNS Service Discovery (DNS-SD) for Pike
pike8.0-doc (8.0.1116-1)
Pike 8.0 documentation
pike8.0-full (8.0.1116-1)
Metapackage for Pike 8.0
pike8.0-fuse (8.0.1116-1)
Filesystem in USErspace support for Pike
pike8.0-gdbm (8.0.1116-1)
Gdbm module for Pike
pike8.0-gl (8.0.1116-1)
Mesa modules for Pike
pike8.0-image (8.0.1116-1)
Image modules for Pike
pike8.0-kerberos (8.0.1116-1)
Kerberos and GSSAPI modules for Pike
pike8.0-manual (8.0.1116-1)
transitional package for merging into pike8.0-doc
pike8.0-mysql (8.0.1116-1)
MySQL modules for Pike
pike8.0-odbc (8.0.1116-1)
ODBC modules for Pike
pike8.0-pcre (8.0.1116-1)
PCRE module for Pike
pike8.0-pg (8.0.1116-1)
PostgreSQL modules for Pike
pike8.0-reference (8.0.1116-1)
transitional package for merging into pike8.0-doc
pike8.0-sane (8.0.1116-1)
SANE module for Pike
pike8.0-sdl (8.0.1116-1)
SDL module for Pike
pike8.0-sqlite (8.0.1116-1)
SQLite module for Pike
pike8.0-svg (8.0.1116-1)
SVG format support for Pike
pike8.0-web-sass (8.0.1116-1)
Sass CSS precompiler modules for Pike
pikepdf-doc (1.17.3+dfsg-5)
Python library to read and write PDFs with QPDF - documentation
pikopixel.app (1.0-b10-1)
Pixel-art editor
piler (0~20140707-3)
genomic repeat analysis
pilercr (1.06+dfsg-4)
software for finding CRISPR repeats
pilon (1.23+dfsg-2)
automated genome assembly improvement and variant detection tool
pilot (2.24+dfsg1-1)
Simple file browser from Alpine, a text-based email client
pim-data-exporter (4:20.08.3-1)
back up and archive PIM data
pim-sieve-editor (4:20.08.3-1)
IMAP Sieve filter editor
pimd (2.3.2-3+b1 [amd64, i386], 2.3.2-3 [arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
multicast routing daemon (PIMv2)
pinball (0.3.20201218-4)
Emilia Pinball Emulator
pinball-data (0.3.20201218-4)
Data files for the Emilia Pinball Emulator
pinball-dev (0.3.20201218-4)
Development files for the Emilia Pinball Emulator
pinball-table-gnu (0.0.20200601-2)
GNU Pinball table for emilia pinball
pinball-table-gnu-data (0.0.20200601-2)
GNU Pinball table data used by plugin
pinball-table-hurd (0.0.20201119-2)
HURD Pinball table for emilia pinball
pinball-table-hurd-data (0.0.20201119-2)
HURD Pinball table data used by plugin
pineapple-pictures (0.4.1-1)
Lightweight Qt5 image viewer
pinentry
本虛擬套件由這些套件填實: pinentry-gnome3, pinentry-curses, pinentry-gtk2, pinentry-fltk, mew-beta-bin, pinentry-qt, pinentry-tty, mew-bin
pinentry-curses (1.1.0-4)
curses-based PIN or pass-phrase entry dialog for GnuPG
pinentry-doc (1.1.0-4)
documentation for pinentry packages
pinentry-fltk (1.1.0-4)
FLTK-based PIN or pass-phrase entry dialog for GnuPG
pinentry-gnome3 (1.1.0-4)
GNOME 3 PIN or pass-phrase entry dialog for GnuPG
pinentry-gtk2 (1.1.0-4)
GTK+-2-based PIN or pass-phrase entry dialog for GnuPG
pinentry-qt (1.1.0-4)
Qt-based PIN or pass-phrase entry dialog for GnuPG
pinentry-tty (1.1.0-4)
minimal dumb-terminal PIN or pass-phrase entry for GnuPG
pinentry-x11
本虛擬套件由這些套件填實: pinentry-gtk2, pinentry-fltk, pinentry-qt, pinentry-gnome3
pinentry-x2go (0.7.5.10-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.7.5.10-1 [armel, armhf])
OpenPGP (smart)card authentication dialog window for X2Go Client
pinephone-tweaks (3)
Default configuration settings for the PinePhone
pinetab-tweaks (3)
Default configuration settings for the PineTab
pinfish (0.1.0+ds-2)
Collection of tools to annotate genomes using long read transcriptomics data
pinfish-examples (0.1.0+ds-2)
Examples and test data for pinfish
pinfo (0.6.13-1.1)
user friendly console-based viewer for info-document
ping
本虛擬套件由這些套件填實: inetutils-ping, iputils-ping
pingus (0.7.6-5.1)
Free Lemmings(TM) clone
pingus-data (0.7.6-5.1)
Data files for pingus, a free Lemmings(TM) clone
pinhole (0.1.0+git20201123-1)
Simple camera application for the GNOME desktop
pink-pony (1.4.1-2.1+b6)
3D racing game with ponies
pink-pony-data (1.4.1-2.1)
3D racing game with ponies - game data
pink-pony-dbg (1.4.1-2.1+b6)
3D racing game with ponies - debug
pinot (1.10-1)
meta-search engine for local files and web queries
pinpoint (1:0.1.8-4)
hacker-friendly presentation program
pinto (0.14000-1)
application for curating a repository of Perl modules
pinyin-database (1.2.99-4)
PinYin database used by ibus-pinyin
pioneers (15.6-1)
Settlers of Catan board game
pioneers-console (15.6-1)
Settlers of Catan board game - console parts
pioneers-console-data (15.6-1)
Settlers of Catan board game - data files for console parts
pioneers-data (15.6-1)
Settlers of Catan board game - data files
pioneers-metaserver (15.6-1)
Settlers of Catan board game - metaserver
pip
本虛擬套件由這些套件填實: python3-pip
pip-check-reqs (2.1.1-3)
checker for missing and extra packages in Python requirements
pipebench (0.40-6)
measure the speed of stdin/stdout communication
pipemeter (1.1.5-1.1)
cli utility that shows the speed of data moving from input to output
pipenightdreams (0.10.0-15)
connect pipes to get the water flowing from inlet to outlet
pipenightdreams-data (0.10.0-15)
connect pipes to get the water flowing from inlet to outlet (data files)
pipenv (11.9.0-1.1)
Python's officially recommended packaging tool
piper (0.5.1-1)
GTK application to configure gaming devices
piperka-client (0.2.2-1+b1 [amd64, arm64, i386, mipsel], 0.2.2-1 [armhf])
Mobile oriented web comics reader client
pipewalker (0.9.4-4)
combination puzzle game
pipewire (0.3.19-4)
audio and video processing engine multimedia server
pipewire-audio-client-libraries (0.3.19-4)
PipeWire multimedia server - audio client libraries
pipewire-bin (0.3.19-4)
PipeWire multimedia server - programs
pipewire-doc (0.3.19-4)
libraries for the PipeWire multimedia server - documentation
pipewire-tests (0.3.19-4)
PipeWire multimedia server - tests and examples
pipexec (2.5.5-2+b1 [amd64], 2.5.5-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
create a directed graph of processes and pipes
pipsi (0.9-1.1)
pip script installer
pique (1.0-2)
software pipeline for performing genome wide association studies
pique-doc (1.0-2)
software pipeline for performing genome wide association studies (docs)
pirs (2.0.2+dfsg-9)
Profile based Illumina pair-end Reads Simulator
pirs-examples (2.0.2+dfsg-9)
profile basd Illumina pair-end Reads Simulator (example data)
pirs-profiles (2.0.2+dfsg-9)
profile basd Illumina pair-end Reads Simulator (profile data)
pisg (0.73-1.1)
Perl IRC Statistics Generator
pithos (1.1.2-1.1)
Pandora Radio client for the GNOME desktop
pitivi (2020.09.2-3)
non-linear audio/video editor using GStreamer
piu-piu (1.0-1.1)
Horizontal scroller game in bash for cli.
piuparts (1.1.4)
.deb package installation, upgrading, and removal testing tool
piuparts-common (1.1.4)
common piuparts components
piuparts-master (1.1.4)
piuparts master components
piuparts-master-from-git-deps (1.1.4)
dependencies for running piuparts master from git
piuparts-slave (1.1.4)
piuparts slave components
piuparts-slave-from-git-deps (1.1.4)
dependencies for running piuparts slave from git
pius (3.0.0-2)
Tools to help before and after key-signing parties
pixbros (0.6.3+dfsg-0.1)
2D game inspired in Bubble Bobble, Snow Bros and Tumble Pop
pixelize (1.0.0-1+b2)
Create an image consisting of many small images
pixelmed-apps (20200416-4)
DICOM implementation containing Image Viewer and a ECG Viewer - cli
pixelmed-webstart-apps (20200416-4)
DICOM implementation containing Image Viewer and a ECG Viewer - jnlp
pixelmed-www (20200416-4)
DICOM implementation containing Image Viewer and a ECG Viewer - web
pixfrogger (1.0+dfsg-0.1)
help the frog cross the street
pixiewps (1.4.2-5)
Offline WPS bruteforce tool
pixmap (2.6pl4-20+b1)
A pixmap editor
pixz (1.0.7-1)
parallel, indexing XZ compressor/decompressor
pizzly (0.37.3+ds-5)
Identifies gene fusions in RNA sequencing data
pk4 (5+b18)
make available the Debian source package producing the specified package
pkcs11-data (0.7.4-2+b3)
Manage PKCS#11 data objects
pkcs11-dump (0.3.4-1.1+b4 [s390x], 0.3.4-1.1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.3.4-1.1+b2 [mips64el])
Dump PKCS#11 token content
pkg-config (0.29.2-1)
manage compile and link flags for libraries
pkg-config (= 0.29-1)
本虛擬套件由這些套件填實: pkgconf
pkg-config-mingw-w64-i686
本虛擬套件由這些套件填實: mingw-w64-tools
pkg-config-mingw-w64-x86-64
本虛擬套件由這些套件填實: mingw-w64-tools
pkg-haskell-tools (0.12.2)
Debian Haskell Group tools
pkg-js-autopkgtest (0.9.65)
collection of autopkgtest scripts for Nodejs packages
pkg-js-tools (0.9.65)
collection of tools to aid packaging Node modules in Debian
pkg-kde-tools (0.15.32)
various packaging tools and scripts for KDE Applications
pkg-perl-autopkgtest (0.64)
collection of autopkgtest scripts for Perl packages
pkg-perl-autopkgtest-heavy
本虛擬套件由這些套件填實: pkg-perl-autopkgtest
pkg-perl-tools (0.64)
collection of tools to aid packaging Perl modules in Debian
pkg-php-tools (1.40)
various packaging tools and scripts for PHP packages
pkg-r-autopkgtest (20210303)
Script for the automatic testing of R packages
pkgconf (1.7.4~git20210206+dcf529b-3)
manage compile and link flags for libraries
pkgdiff (1.7.2-1)
tool for visualizing changes in Linux software packages
pkgsel (0.73)
Select and install packages
pkgsync (1.27+nmu1)
automated package list synchronization
pki-base (10.10.2-3)
Certificate System - PKI Framework
pki-base-java (10.10.2-3)
Certificate System - PKI Framework -- java client support
pki-ca (10.10.2-3)
Certificate System - Certificate Authority
pki-console (10.10.2-3)
Certificate System - PKI Console
pki-javadoc (10.10.2-3)
Certificate System - PKI Framework Javadocs
pki-kra (10.10.2-3)
Certificate System - Data Recovery Manager
pki-ocsp (10.10.2-3)
Certificate System - Online Certificate Status Protocol Manager
pki-server (10.10.2-3)
Certificate System - PKI Server Framework
pki-tks (10.10.2-3)
Certificate System - Token Key Service
pki-tools (10.10.2-3)
Certificate System - PKI Tools
pki-tps (10.10.2-3)
Certificate System - Token Processing System
pki-tps-client (10.10.2-3)
Certificate System - Token Processing System client
pktanon (2~git20160407.0.2bde4f2+dfsg-7+b1)
profile-based traffic anonymizer
pktools (2.6.7.6+ds-3+b1)
GDAL add-on tools to perform useful raster processing
pktools-dev (2.6.7.6+ds-3+b1)
GDAL add-on tools to perform useful raster processing - development files
pktstat (1.8.5-6)
top-like utility for network connections usage
pkwalify (1.23-1)
perl kwalify validator
placement-api (4.0.0-1)
OpenStack resource inventory Placement - API server
placement-common (4.0.0-1)
OpenStack resource inventory Placement - common files
placement-doc (4.0.0-1)
OpenStack resource inventory Placement - Documentation
placnet (1.03-3)
Plasmid Constellation Network project
plait (1.6.2-1.1)
command-line jukebox
plakativ (0.4-1)
create posters and banners from multiple glued-together pages
planarity (3.0.1.0-1)
Program for planarity-related graph algorithms
planetblupi (1.14.2-2)
Planet Blupi - A delirious spell-binding game
planetblupi-common (1.14.2-2)
Planet Blupi - A delirious spell-binding game - data
planetblupi-music-midi (1.14.2-2)
Planet Blupi - A delirious spell-binding game - MIDI music
planetblupi-music-ogg (1.14.2-2)
Planet Blupi - A delirious spell-binding game - Ogg music
planetfilter (0.10.0-2)
filter for blog aggregators
planets (0.1.13-20+b3)
Gravitation simulation of planetary bodies
plank (0.11.89-3)
Elegant, simple, clean dock
planner (0.14.6-9+b1)
project management application
planner-data (0.14.6-9)
Data files for planner
planner-dev (0.14.6-9+b1)
Planner development library
planner-doc (0.14.6-9)
Documentation for planner
plantuml (1:1.2020.2+ds-1)
text-to-UML converter
plasma-browser-integration (5.20.5-1)
Chromium, Google Chrome, Firefox integration for Plasma
plasma-calendar-addons (4:5.20.5-1)
additional calendar plugins for Plasma 5
plasma-dataengines-addons (4:5.20.5-1)
additional data engines for Plasma
plasma-desktop (4:5.20.5-4+deb11u1)
Tools and widgets for the desktop
plasma-desktop-data (4:5.20.5-4+deb11u1)
Tools and widgets for the desktop data files
plasma-desktop-dev (4:5.20.5-4+deb11u1)
Tools and widgets for the desktop
plasma-discover (5.20.5-3+deb11u2)
Discover software management suite
plasma-discover-backend-flatpak (5.20.5-3+deb11u2)
Discover software management suite - Flatpak backend
plasma-discover-backend-fwupd (5.20.5-3+deb11u2)
Discover software management suite - fwupd backend
plasma-discover-backend-snap (5.20.5-3+deb11u2)
Discover software management suite - Snap backend
plasma-discover-common (5.20.5-3+deb11u2)
Discover software manager suite (common data files)
plasma-disks (5.20.5-1)
Monitor S.M.A.R.T. capable devices for imminent failure in Plasma
plasma-framework (5.78.0-3)
Plasma Runtime components
plasma-gmailfeed (1.1-2+b1)
plasmoid that shows your Gmail feed with notifications
plasma-integration (5.20.5-1)
Qt Platform Theme integration plugins for KDE Plasma
plasma-kdevelop (4:5.6.2-4)
KDevelop plugins for Plasma
plasma-marble (4:20.12.3-1)
Plasma integration for Marble
plasma-nano (5.20.5-1)
Plasma shell for embedded devices
plasma-nm (4:5.20.5-3)
Plasma network connections management
plasma-pa (4:5.20.5-1)
Plasma 5 Volume controller
plasma-runner-installer (3.0.5-1)
KRunner plugin for installing packages
plasma-runner-telepathy-contact (20.08.0-1)
Telepathy Contact KRunner plugin
plasma-runners-addons (4:5.20.5-1)
additional runners for Plasma 5 and Krunner
plasma-sdk (5.20.5-1)
IDE tailored for development of Plasma components
plasma-systemmonitor (5.20.0-4)
System monitor for the Plasma desktop
plasma-theme-oxygen (4:5.20.5-1)
Look-and-feel for the Oxygen desktop theme
plasma-thunderbolt (5.20.5-1)
Plasma addons for managing Thunderbolt devices
plasma-vault (5.20.5-1)
Plasma applet and services for creating encrypted vaults
plasma-wallpapers-addons (4:5.20.5-1)
additional wallpaper plugins for Plasma 5
plasma-wayland-protocols (1.1.1-2)
Wayland protocols for Plasma
plasma-widgets-addons (4:5.20.5-1)
additional widgets for Plasma 5
plasma-workspace (4:5.20.5-6)
Plasma Workspace for KF5
plasma-workspace-data (4:5.20.5-6)
Plasma Workspace for KF5 - data files
plasma-workspace-dev (4:5.20.5-6)
Plasma Workspace for KF5 devel files
plasma-workspace-wallpapers (4:5.20.5-1)
Wallpapers for Plasma 5
plasma-workspace-wayland (4:5.20.5-6)
Plasma Workspace for KF5 - Wayland integration
plasmidid (1.6.3+dfsg-3)
mapping-based, assembly-assisted plasmid identification tool
plasmidomics (0.2.0-9)
draw plasmids and vector maps with PostScript graphics export
plasmidseeker (1.3+dfsg-1)
identification of known plasmids from whole-genome sequencing reads
plaso (20201007-2)
super timeline all the things -- metapackage
plast (2.3.2+dfsg-7+b1)
Parallel Local Sequence Alignment Search Tool
plast-example (2.3.2+dfsg-7)
Parallel Local Sequence Alignment Search Tool (example data)
plastex (2.1-2)
LaTeX document processing framework in Python
plastimatch (1.9.3+dfsg.1-1)
medical image reconstruction and registration
plater (2.0.0~rc7-1)
Graphical tool to prepare 3D printing plates
play.it (2.12.1-1) [contrib]
Installer for drm-free commercial games
playerctl (2.3.1-1)
utility to control media players via MPRIS
playitslowly (1.5.0-1.1)
Plays back audio files at a different speed or pitch
playmidi (2.4debian-11+b1)
MIDI player
playonlinux (4.3.4-2) [contrib]
front-end for Wine
pleaser (0.4.1-1)
please, a polite, regex-first sudo clone
plee-the-bear (0.6.0-7+b1)
2D platform game
plee-the-bear-data (0.6.0-7)
data for Plee the Bear
plib1.8.4-dev
本虛擬套件由這些套件填實: libplib-dev
plink (1.07+dfsg-3)
whole-genome association analysis toolset
plink1.9 (1.90~b6.21-201019-1)
whole-genome association analysis toolset
plink2 (2.00~a3-210203+dfsg-1+b1)
whole-genome association analysis toolset
plinth
本虛擬套件由這些套件填實: freedombox
plip (2.1.7+dfsg-1)
fully automated protein-ligand interaction profiler
plocate (1.1.8-2+deb11u1)
much faster locate
plopfolio.app (0.1.0-8+b1)
Personal dashboard for GNUstep
ploticus (2.42-4.2)
script driven business graphics package
plotnetcfg (0.4.1-5)
local networking configuration diagram plotter
plotsauce (0~0.1-1+b1 [amd64], 0~0.1-1 [arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
Survex 3d file to XML converter
plotutils (2.6-11)
GNU plotutils command line tools based on libplot
plover (4.0.0~dev8~66~g685bd33-2)
free stenography engine and typing tool
plowshare (2.1.7-4)
download and upload files from file sharing websites
plplot-doc (5.15.0+dfsg-19)
Documentation for PLplot, a plotting library
plplot-driver-cairo (5.15.0+dfsg-19)
Scientific plotting library (Cairo driver)
plplot-driver-qt (5.15.0+dfsg-19)
Scientific plotting library (Qt driver)
plplot-driver-wxwidgets (5.15.0+dfsg-19)
Scientific plotting library (wxWidgets driver)
plplot-driver-xwin (5.15.0+dfsg-19)
Scientific plotting library (X11 driver)
plplot-examples (5.15.0+dfsg-19)
Examples for PLplot, a plotting library
plplot-tcl (5.15.0+dfsg-19)
Tcl/Tk support for PLplot, a plotting library
plplot-tcl-bin (5.15.0+dfsg-19)
Tcl/Tk tools for PLplot, a plotting library
plplot-tcl-dev (5.15.0+dfsg-19)
Tcl/Tk development support for PLplot, a plotting library
plptools (1.0.13-2)
Access EPOC device (Psion PDA) over a serial link
plsense (0.3.4-1.1)
Omni Completion Tool for Perl
plt-scheme
本虛擬套件由這些套件填實: racket
plt-scheme-doc
本虛擬套件由這些套件填實: racket-doc
pluginlib-dev (1.13.0-3)
ROS library for plugins
plum (1:2.33.1-13)
IRC proxy, stationing, logging, and bot program (pirc)
pluma (1.24.1-1)
official text editor of the MATE desktop environment
pluma-common (1.24.1-1)
official text editor of the MATE desktop environment (common files)
pluma-dev (1.24.1-1)
official text editor of the MATE desktop environment (development files)
pluma-doc (1.24.1-1)
official text editor of the MATE desktop environment (documentation files)
plume-creator (0.66+dfsg1-3.2)
open-source tool for novelists
plume-creator-dbg (0.66+dfsg1-3.2)
open-source tool for novelists - debug
pluto-jpl-eph (0.0~git20180228-1.1)
command line handling of JPL ephemeres data
pluto-lunar (0.0~git20180825.e34c1d1-1+b1 [amd64], 0.0~git20180825.e34c1d1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
routines for predictions of positions in solar system
plyara
本虛擬套件由這些套件填實: python3-plyara
plymouth (0.9.5-3)
boot animation, logger and I/O multiplexer
plymouth-label (0.9.5-3)
boot animation, logger and I/O multiplexer - label control
plymouth-theme
本虛擬套件由這些套件填實: plymouth-theme-hamara, plymouth-themes
plymouth-theme-breeze (5.20.5-1)
Breeze theme for Plymouth
plymouth-theme-hamara (1.0+nmu1)
boot animation, logger and I/O multiplexer - Hamara theme
plymouth-themes (0.9.5-3)
boot animation, logger and I/O multiplexer - themes
plymouth-themes-all
本虛擬套件由這些套件填實: plymouth-themes
plymouth-themes-fade-in
本虛擬套件由這些套件填實: plymouth-themes
plymouth-themes-glow
本虛擬套件由這些套件填實: plymouth-themes
plymouth-themes-script
本虛擬套件由這些套件填實: plymouth-themes
plymouth-themes-solar
本虛擬套件由這些套件填實: plymouth-themes
plymouth-themes-spinfinity
本虛擬套件由這些套件填實: plymouth-themes
plymouth-themes-spinner
本虛擬套件由這些套件填實: plymouth-themes
plymouth-x11 (0.9.5-3)
boot animation, logger and I/O multiplexer - X11 renderer
plzip (1.9-1)
parallel, lossless data compressor based on the LZMA algorithm
pm-utils (1.4.1-19)
utilities and scripts for power management
pmac-fdisk-cross (0.1-18+b1)
fdisk partition manipulation tool for PowerPC, cross version
pmacct (1.7.6-2)
promiscuous mode traffic accountant
pmailq (0.7-1)
Postfix mail queue manager
pmake
本虛擬套件由這些套件填實: bmake
pmars (0.9.2-1+b1 [amd64], 0.9.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Portable MARS, Core War simulator
pmccabe (2.8-2)
McCabe-style function complexity and line counting for C and C++
pmd2odg (0.9.6-2)
Apple Pagemaker to OpenDocument converter
pmdk-convert (1.7-1)
convert pmdk/pmemobj pools to a newer on-memory format
pmdk-tools (1.10-2+deb11u1)
Persistent Memory management tools
pmidi (1.7.1-1+b1)
command line midi player for ALSA
pmon-update (0.3.1+b1 [mips64el], 0.3.1 [mipsel])
pmon menu update
pmount (0.9.23-6)
mount removable devices as normal user
pms (0.42-1+b3)
Practical Music Search, an MPD client
pmtools (2.2.0-2)
Perl module tools
pmuninstall (0.30-3.1)
script to uninstall modules installed from CPAN
pmw (1:4.50-1)
Philip's Music Writer
pmw-doc (1:4.50-1)
Philip's Music Writer - Documentation
pmx
本虛擬套件由這些套件填實: texlive-music
pnetcdf-bin (1.12.2-1)
Programs for reading and writing parallel NetCDF files
png-definitive-guide (20060430-2)
PNG: The Definitive Guide
png23d (1.10-1.2+b2)
Converts PNG images into three dimensional representations.
png2html (1.1-8)
transforms a PNG image to a web page
pngcheck (3.0.3-1~deb11u1)
print info and check PNG, JNG and MNG files
pngcrush (1.8.13-0.1)
optimizes PNG (Portable Network Graphics) files
pngmeta (1.11-9)
Display metadata information from PNG images
pngnq (1.0-2.3+b1)
tool for optimizing PNG (Portable Network Graphics) images
pngphoon (1.2-1+b2)
Creates a png file with the current phase of the moon
pngquant (2.13.1-1)
PNG (Portable Network Graphics) image optimising utility
pngtools (0.4-1.3+b1)
series of tools for PNG (Portable Network Graphics) images
pnmixer (0.7.2-1+b1 [mips64el], 0.7.2-1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Simple mixer application for system tray
pnmtopng
本虛擬套件由這些套件填實: netpbm
pnopaste (1.8-1)
Pastebin with syntax highlighting
pnopaste-cli (1.8-1)
Pastebin with syntax highlighting (cli tool)
pnscan (1.14.1-1)
Multi threaded port scanner
po-debconf (1.0.21+nmu1)
tool for managing templates file translations with gettext
po4a (0.62-1)
tools to ease the translation of documentation
poa (2.0+20060928-8)
Partial Order Alignment for multiple sequence alignment
poc-streamer (0.4.2-5)
MP3/Ogg multicast/HTTP streamer and MP3 cutting tool
pocketsphinx (0.8+5prealpha+1-13)
Speech recognition tool
pocketsphinx-en-us (0.8+5prealpha+1-13)
Speech recognition tool - US English language model
pocketsphinx-testdata (0.8+5prealpha+1-13)
Speech recognition tool - test data for pocketsphinx
pocl-doc (1.6-5)
documentation for the pocl library
pocl-opencl-icd (1.6-5)
pocl ICD
pod2pdf (0.42-5.2)
Plain Old Documentation to Portable Document Format converter
podget (0.8.8-1)
Podcast aggregrator/downloader optimized for cron
podlators-perl (= 4.14)
本虛擬套件由這些套件填實: perl
podman (3.0.1+dfsg1-3+deb11u5)
engine to run OCI-based containers in Pods
poe.app (0.5.1-6+b1)
Vorbis comment editor
poedit (2.4.2-1+b1)
gettext catalog editor
poedit-common (2.4.2-1)
Common files for poedit
poezio (0.13.1-1)
Console-based XMPP client
pokemmo-installer (1.4.8-1) [contrib]
Installer and Launcher for the PokeMMO emulator
pokerth (1.1.2-1.1)
Texas hold'em game
pokerth-data (1.1.2-1.1)
Texas hold'em game - common data files
pokerth-server (1.1.2-1.1)
Texas hold'em game - server
polari (3.38.0-2)
Internet Relay Chat (IRC) client
polenum (1.6.1-1)
Extracts the password policy from a Windows system
policy-rcd-declarative (0.6)
policy-rc.d script with declarative syntax
policy-rcd-declarative-allow-all (0.6)
Permissive default policy for policy-rcd-declarative
policy-rcd-declarative-deny-all (0.6)
Blocking default policy for policy-rcd-declarative
policy-rcd-default-policy
本虛擬套件由這些套件填實: policy-rcd-declarative-deny-all, policy-rcd-declarative-allow-all
policycoreutils (3.1-3)
SELinux core policy utilities
policycoreutils-dbus (3.1-1)
SELinux core policy utilities (D-Bus daemon)
policycoreutils-dev (3.1-1+b1)
SELinux core policy utilities (development utilities)
policycoreutils-gui (3.1-1)
SELinux core policy utilities (graphical utilities)
policycoreutils-python-utils (3.1-1)
SELinux core policy utilities (Python utilities)
policycoreutils-sandbox (3.1-1+b1)
SELinux core policy utilities (graphical sandboxes)
policyd-rate-limit (1.0.1.1-1)
postfix policy daemon limiting the number of mails a user can send
policyd-weight (0.1.15.2-12)
Perl policy daemon for the Postfix MTA
policykit-1 (0.105-31+deb11u1)
framework for managing administrative policies and privileges
policykit-1-doc (0.105-31+deb11u1)
documentation for PolicyKit-1
policykit-1-gnome (0.105-7)
authentication agent for PolicyKit
policyrcd-script-zg2 (0.1-3)
policy-compliant interface from invoke-rc.d to local config files
polkit-1-auth-agent
本虛擬套件由這些套件填實: lxpolkit, policykit-1-gnome, mate-polkit, phosh, ukui-polkit, lxqt-policykit, polkit-kde-agent-1, gnome-flashback, gnome-shell
polkit-kde-agent-1 (4:5.20.5-1)
KDE dialogs for PolicyKit
polled-camera-tool (1.12.0-3)
Robot OS polled_camera package tool
pollen (4.21-5+b6)
Entropy-as-a-Service web server
pollinate (4.33-3)
seed the pseudo random number generator
polybar (3.5.5-1)
Fast and easy-to-use tool for creating status bars
polygen (1.0.6.ds2-20)
generator of random sentences from grammar definitions
polygen-data (1.0.6.ds2-20)
grammar definitions for PolyGen
polyglot (2.0.4-2+b1 [amd64], 2.0.4-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
chess engine protocol adaptor, connects UCI engines to xboard
polylib-utils (5.22.5-4+dfsg)
Various tools using libpolylib
polymake (4.3-4)
Tool for algorithmic discrete geometry
polymake-common (4.3-4)
Tool for algorithmic discrete geometry -- shared files
polyml (5.7.1-4)
interpreter and interactive compiler for Standard ML
polyml-modules (5.7.1-4)
modules for Poly/ML, a compiler for Standard ML
polyphone (2.2.0.20210109+dfsg1-2)
cross-platform SoundFont editor
pommed (1.39~dfsg-5.1)
Apple laptops hotkeys event handler
pompem (0.2.0-6)
Exploit and Vulnerability Finder
pong2 (0.1.3-3)
Remake of old arcade classic in OpenGL
ponyprog (3.1.2+ds-1)
Serial device programmer
poolcounter (1.1.3-1)
network daemon which provides mutex-like functionality
pop3-server
本虛擬套件由這些套件填實: courier-pop, dovecot-pop3d, solid-pop3d, popa3d, cyrus-pop3d, mailutils-pop3d
pop3browser (0.4.1-8)
Allows one to check a pop3 mailbox before downloading any mail
popa3d (1.0.3-1+b1)
Tiny POP3 daemon, designed with security as the primary goal
popcon
本虛擬套件由這些套件填實: popularity-contest
poppass-cgi (3-6.1)
CGI script to interact with a poppassd server
poppassd (1.8.5-5)
password change server for Eudora and NUPOP
poppler-data (0.4.10-1)
encoding data for the poppler PDF rendering library
poppler-utils (20.09.0-3.1+deb11u1)
PDF utilities (based on Poppler)
popularity-contest (1.71)
Vote for your favourite packages automatically
populations (1.2.33+svn0120106+dfsg-6)
population genetic software
porechop (0.2.4+dfsg-2)
adapter trimmer for Oxford Nanopore reads
poretools (0.6.0+dfsg-5)
toolkit for nanopore nucleotide sequencing data
poretools-data (0.6.0+dfsg-5)
toolkit for nanopore nucleotide sequencing data -- sample datasets
porg (2:0.10-1.2)
Package manager/organizer for software installed from source
portaudio19-dev (19.6.0-1.1)
Portable audio I/O - development files
portaudio19-doc (19.6.0-1.1)
Portable audio I/O - documentation
portmap
本虛擬套件由這些套件填實: rpcbind
portreserve (0.0.4-1+b2)
Port reservation program
portsentry (1.2-14+b1)
Portscan detection daemon
posh (0.14.1)
Policy-compliant Ordinary SHell
posixtestsuite (1.5.2-8)
POSIX conformance test suite report log
post-el (1:2.6-2)
emacs major mode for editing mail
postal (0.76)
SMTP benchmark - the mad postman.
poster (1:20050907-2)
Create large posters out of PostScript pages
posterazor (1.5.1-10)
splits an image across multiple pages for assembly into a poster
postfix (3.5.24-0+deb11u1)
High-performance mail transport agent
postfix-cdb (3.5.24-0+deb11u1)
CDB map support for Postfix
postfix-doc (3.5.24-0+deb11u1)
Documentation for Postfix
postfix-gld (1.7-8+b1)
greylisting daemon for postfix, written in C, uses MySQL
postfix-ldap (3.5.24-0+deb11u1)
LDAP map support for Postfix
postfix-lmdb (3.5.24-0+deb11u1)
LMDB map support for Postfix
postfix-mta-sts-resolver (1.0.0-4)
Daemon providing MTA-STS map to Postfix
postfix-mysql (3.5.24-0+deb11u1)
MySQL map support for Postfix
postfix-pcre (3.5.24-0+deb11u1)
PCRE map support for Postfix
postfix-pgsql (3.5.24-0+deb11u1)
PostgreSQL map support for Postfix
postfix-policyd-spf-perl (2.011-1.1)
Simple Postfix policy server for RFC 4408/7208 SPF checking
postfix-policyd-spf-python (2.9.2-1+deb11u1)
Postfix policy server for SPF checking
postfix-sqlite (3.5.24-0+deb11u1)
SQLite map support for Postfix
postfwd (1.35-6)
Postfix policyd to combine complex restrictions in a ruleset
postgis (3.1.1+dfsg-1+deb11u2)
Geographic objects support for PostgreSQL
postgis-doc (3.1.1+dfsg-1+deb11u2)
Geographic objects support for PostgreSQL -- documentation
postgresql (13+225+deb11u1)
object-relational SQL database (supported version)
postgresql-13 (13.14-0+deb11u1) [security]
The World's Most Advanced Open Source Relational Database
postgresql-13-asn1oid (1.3-1+b1 [amd64], 1.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ASN.1 OID data type for PostgreSQL
postgresql-13-bgw-replstatus (1.0.5+b1 [amd64], 1.0.5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
report whether PostgreSQL node is master or standby
postgresql-13-cron (1.3.0-2)
Run periodic jobs in PostgreSQL
postgresql-13-debversion (1.1.1-4+b1 [amd64], 1.1.1-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Debian version number type for PostgreSQL
postgresql-13-dirtyread (2.3-2+b1 [amd64], 2.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Read dead but unvacuumed tuples from a PostgreSQL relation
postgresql-13-extra-window-functions (1.0-2+b1 [amd64], 1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Extra Window Functions for PostgreSQL
postgresql-13-first-last-agg (0.1.4-4-gd63ea3b-3+b1 [amd64], 0.1.4-4-gd63ea3b-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL extension providing first and last aggregate functions
postgresql-13-hll (2.15.1-1)
HyperLogLog extension for PostgreSQL
postgresql-13-hypopg (1.1.4-2+b1 [amd64], 1.1.4-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL extension adding support for hypothetical indexes.
postgresql-13-icu-ext (1.6.2-1)
PostgreSQL extension exposing functionality from the ICU library
postgresql-13-ip4r (2.4.1-4)
IPv4 and IPv6 types for PostgreSQL 13
postgresql-13-jsquery (1.1.1-3+b1 [amd64], 1.1.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL JSON query language with GIN indexing support
postgresql-13-mimeo (1.5.1-9)
specialized, per-table replication between PostgreSQL instances
postgresql-13-mysql-fdw (2.5.5-2)
Postgres 13 Foreign Data Wrapper for MySQL
postgresql-13-numeral (1.3-3+b1 [amd64], 1.3-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
numeral datatypes for PostgreSQL
postgresql-13-ogr-fdw (1.1.0-1)
PostgreSQL foreign data wrapper for OGR
postgresql-13-omnidb (3.0.0.20201026-1+b1 [amd64], 3.0.0.20201026-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL PL/pgSQL debugger extension for OmniDB
postgresql-13-orafce (3.14.0-1)
Oracle support functions for PostgreSQL 13
postgresql-13-partman (4.5.1-1)
PostgreSQL Partition Manager
postgresql-13-periods (1.2-3)
PERIODs and SYSTEM VERSIONING for PostgreSQL
postgresql-13-pg-catcheck (1.2.0-1)
Postgres system catalog checker
postgresql-13-pg-checksums (1.0-6)
Activate/deactivate/verify PostgreSQL data checksums
postgresql-13-pg-fact-loader (1.6.0-4)
Build fact tables asynchronously with Postgres
postgresql-13-pg-qualstats (2.0.2-2+b1 [amd64], 2.0.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL extension to gather statistics about predicates.
postgresql-13-pg-stat-kcache (2.2.0-1)
PostgreSQL extension to gather per-query kernel statistics.
postgresql-13-pg-track-settings (2.0.1-1)
PostgreSQL extension tracking of configuration settings
postgresql-13-pg-wait-sampling (1.1.3-1)
Extension providing statistics about PostgreSQL wait events
postgresql-13-pgagent
本虛擬套件由這些套件填實: pgagent
postgresql-13-pgaudit (1.5.0-1+b1 [amd64], 1.5.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL Audit Extension
postgresql-13-pgextwlist (1.11-4)
PostgreSQL Extension Whitelisting
postgresql-13-pgfincore (1.2.2-2+b1 [amd64], 1.2.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
set of PostgreSQL functions to manage blocks in memory
postgresql-13-pgl-ddl-deploy (2.0.0-2)
Transparent DDL replication for PostgreSQL
postgresql-13-pglogical (2.3.3-3+deb11u1)
Logical Replication Extension for PostgreSQL
postgresql-13-pglogical-ticker (1.4.1-3)
Have time-based replication delay for pglogical
postgresql-13-pgmemcache (2.3.0-8+b1 [amd64], 2.3.0-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL interface to memcached
postgresql-13-pgmp (1.0.4-3+b1 [amd64], 1.0.4-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
arbitrary precision integers and rationals for PostgreSQL 13
postgresql-13-pgpcre (0.20190509-2+b1 [amd64], 0.20190509-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Perl Compatible Regular Expressions (PCRE) extension for PostgreSQL
postgresql-13-pgpool2 (4.1.4-3)
connection pool server and replication proxy for PostgreSQL - modules
postgresql-13-pgq-node (3.4-3)
Cascaded queueing on top of PgQ
postgresql-13-pgq3 (3.4.1-1)
Generic queue for PostgreSQL
postgresql-13-pgrouting (3.1.3-1)
Routing functionality support for PostgreSQL/PostGIS
postgresql-13-pgrouting-doc (3.1.3-1)
Routing functionality support for PostgreSQL/PostGIS (Documentation)
postgresql-13-pgrouting-scripts (3.1.3-1)
Routing functionality support for PostgreSQL/PostGIS - SQL scripts
postgresql-13-pgsphere (1.1.1+2020-10-20-2)
Spherical data types for PostgreSQL
postgresql-13-pgtap (1.1.0-5)
Unit testing framework extension for PostgreSQL 13
postgresql-13-pldebugger (1:1.3-1)
PostgreSQL pl/pgsql Debugger API
postgresql-13-pllua (1:2.0.7-1)
Lua procedural language for PostgreSQL 13
postgresql-13-plpgsql-check (1.15.2-1)
plpgsql_check extension for PostgreSQL
postgresql-13-plproxy (2.10.0-3)
database partitioning system for PostgreSQL 13
postgresql-13-plr (1:8.4.1-2+b1 [amd64], 1:8.4.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Procedural language interface between PostgreSQL and R
postgresql-13-plsh (1.20200522-2+b1 [amd64], 1.20200522-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PL/sh procedural language for PostgreSQL 13
postgresql-13-pointcloud (1.2.1-7)
PostgreSQL extension for storing point cloud (LIDAR) data
postgresql-13-postgis
本虛擬套件由這些套件填實: postgresql-13-postgis-3
postgresql-13-postgis-3 (3.1.1+dfsg-1+deb11u2)
Geographic objects support for PostgreSQL 13
postgresql-13-postgis-3-scripts (3.1.1+dfsg-1+deb11u2)
Geographic objects support for PostgreSQL 13 -- SQL scripts
postgresql-13-postgis-scripts
本虛擬套件由這些套件填實: postgresql-13-postgis-3-scripts
postgresql-13-powa (4.1.2-1)
PostgreSQL Workload Analyzer -- PostgreSQL 13 extension
postgresql-13-prefix (1.2.9-3+b1 [amd64], 1.2.9-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Prefix Range module for PostgreSQL
postgresql-13-preprepare (0.9-5)
pre prepare your PostgreSQL statements server side
postgresql-13-prioritize (1.0.4-8)
Get and set the nice priorities of PostgreSQL backends
postgresql-13-python3-multicorn (1.4.0-3+b1 [amd64], 1.4.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
multicorn extension for Postgres 13 to write FDWs with python3
postgresql-13-q3c (2.0.0-5)
PostgreSQL 13 extension used for indexing the sky
postgresql-13-rational (0.0.2-2+b1 [amd64], 0.0.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Precise fractional arithmetic for PostgreSQL
postgresql-13-rdkit (202009.4-1)
Cheminformatics and machine-learning software (PostgreSQL Cartridge)
postgresql-13-repack (1.4.6-2)
reorganize tables in PostgreSQL databases with minimal locks
postgresql-13-repmgr (5.2.0-2)
replication manager for PostgreSQL 13
postgresql-13-rum (1.3.7-1+b1 [amd64], 1.3.7-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL RUM access method
postgresql-13-similarity (1.0-4+b1 [amd64], 1.0-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL similarity functions extension
postgresql-13-slony1
本虛擬套件由這些套件填實: postgresql-13-slony1-2
postgresql-13-slony1-2 (2.2.10-1)
replication system for PostgreSQL: PostgreSQL 13 server plug-in
postgresql-13-snakeoil (1.3-2+b1 [amd64], 1.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL anti-virus scanner based on ClamAV
postgresql-13-tdigest (1.0.1-1+b1 [amd64], 1.0.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
t-digest algorithm for on-line accumulation of rank-based statistics
postgresql-13-tds-fdw (2.0.2-2+b1 [amd64], 2.0.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL foreign data wrapper for TDS databases
postgresql-13-toastinfo (1.2-2+b1 [amd64], 1.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Show storage structure of varlena datatypes in PostgreSQL
postgresql-13-unit (7.3-1+b1 [amd64], 7.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
SI Units for PostgreSQL
postgresql-13-wal2json (2.3-2+b1 [amd64], 2.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PostgreSQL logical decoding JSON output plugin
postgresql-all (225+deb11u1)
metapackage depending on all PostgreSQL server packages
postgresql-client (13+225+deb11u1)
front-end programs for PostgreSQL (supported version)
postgresql-client
本虛擬套件由這些套件填實: postgresql-client-13
postgresql-client-13 (13.14-0+deb11u1) [security]
front-end programs for PostgreSQL 13
postgresql-client-common (225+deb11u1)
manager for multiple PostgreSQL client versions
postgresql-common (225+deb11u1)
PostgreSQL database-cluster manager
postgresql-comparator (2.3.2-1)
efficient PostgreSQL table content comparison and synchronization
postgresql-contrib (13+225+deb11u1)
additional facilities for PostgreSQL (supported version)
postgresql-contrib-13
本虛擬套件由這些套件填實: postgresql-13
postgresql-doc (13+225+deb11u1)
documentation for the PostgreSQL database management system
postgresql-doc-13 (13.14-0+deb11u1) [security]
documentation for the PostgreSQL database management system
postgresql-filedump (13.1-1)
Dump PostgreSQL files in human-readable form
postgresql-ogr-fdw
本虛擬套件由這些套件填實: postgresql-13-ogr-fdw
postgresql-pgrouting
本虛擬套件由這些套件填實: postgresql-13-pgrouting
postgresql-pgrouting-scripts
本虛擬套件由這些套件填實: postgresql-13-pgrouting-scripts
postgresql-pgsphere
本虛擬套件由這些套件填實: postgresql-13-pgsphere
postgresql-pgtap
本虛擬套件由這些套件填實: postgresql-13-pgtap
postgresql-plperl
本虛擬套件由這些套件填實: postgresql-plperl-13
postgresql-plperl-13 (13.14-0+deb11u1) [security]
PL/Perl procedural language for PostgreSQL 13
postgresql-plpython3
本虛擬套件由這些套件填實: postgresql-plpython3-13
postgresql-plpython3-13 (13.14-0+deb11u1) [security]
PL/Python 3 procedural language for PostgreSQL 13
postgresql-plr
本虛擬套件由這些套件填實: postgresql-13-plr
postgresql-pltcl
本虛擬套件由這些套件填實: postgresql-pltcl-13
postgresql-pltcl-13 (13.14-0+deb11u1) [security]
PL/Tcl procedural language for PostgreSQL 13
postgresql-postgis
本虛擬套件由這些套件填實: postgresql-13-postgis-3
postgresql-postgis-scripts
本虛擬套件由這些套件填實: postgresql-13-postgis-3-scripts
postgresql-python3-multicorn
本虛擬套件由這些套件填實: postgresql-13-python3-multicorn
postgresql-q3c
本虛擬套件由這些套件填實: postgresql-13-q3c
postgresql-server-dev-13 (13.14-0+deb11u1) [security]
development files for PostgreSQL 13 server-side programming
postgresql-server-dev-all (225+deb11u1)
extension build tool for multiple PostgreSQL versions
postgrey (1.36-5.2)
greylisting implementation for Postfix
postmark (1.53-2+b1)
File system benchmark from NetApp
postscript-viewer
本虛擬套件由這些套件填實: evince, zathura-ps, qpdfview-ps-plugin, atril, ghostscript, gv, okular
postsrsd (1.10-2)
Sender Rewriting Scheme (SRS) lookup table for Postfix
potool (0.19-1+b1 [amd64], 0.19-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
program to aid manipulation of gettext po files
potrace (1.16-2)
utility to transform bitmaps into vector graphics
pound (3.0-2)
reverse proxy, load balancer and HTTPS front-end for Web servers
povray (1:3.7.0.8-5)
Persistence of vision raytracer (3D renderer)
povray-doc (1:3.7.0.8-5)
Persistence of vision raytracer (3D renderer) documentation
povray-examples (1:3.7.0.8-5)
Persistence of vision raytracer (3D renderer) sample files
povray-includes (1:3.7.0.8-5)
Persistence of vision raytracer (3D renderer) include files
powder (118+dfsg1-3) [non-free]
Graphical dungeon crawling game
power-calibrate (0.01.32-1)
processor power calibration tool
powercap-utils (0.3.1-1)
Utilities for accessing the powercap Linux kernel feature
powerdebug (0.7.0-2013.08-1+b3)
tool to display regulator, sensor and clock information
powerdevil (4:5.20.5-2)
Global power saver settings.
powerdevil-data (4:5.20.5-2)
Global power saver settings data files.
powerdevil-dev (4:5.20.5-2)
Global power saver settings.
powerline (2.8.1-4)
prompt and statusline utility
powerline-doc (2.8.1-4)
prompt and statusline utility (documentation)
powerline-gitstatus (1.3.2-0+deb11u1)
Powerline Git segment
powerman (2.3.5-1+b2)
Centralized Power Distribution Unit (PDU) management
powermanga (0.93.1-4)
vertical shoot 'em up with colourful 3D graphics
powermanga-data (0.93.1-4)
graphics and audio data for powermanga
powermgmt-base (1.36)
common utils for power management
powerpc-ibm-utils (1.3.8-3)
utilities for maintenance of IBM PowerPC platforms
powerpc-utils (1.3.8-3)
utilities for maintenance of PowerPC platforms (metapackage)
powerstat (0.02.25-1)
laptop power measuring tool
powertop (2.11-1)
diagnose issues with power consumption and management
poxml (4:20.12.0-1)
tools for translating DocBook XML files with Gettext
pp-popularity-contest (1.0.6-4+b3)
PredictProtein popularity contest
ppc64-diag (2.7.6-1)
Platform error log analysis tool and rtas_errd daemon
ppdfilt (2:0.10-7.3)
filter that inserts printer specific commands into print jobs
ppl-dev (1:1.2-8.1)
Parma Polyhedra Library (development binaries)
pplacer (1.1~alpha19-4)
phylogenetic placement and downstream analysis
ppp (2.4.9-1+1)
Point-to-Point Protocol (PPP) - daemon
ppp-dev (2.4.9-1+1)
Point-to-Point Protocol (PPP) - development files
ppp-gatekeeper (0.1.0-201406111015-1.1)
PPP manager for handling balanced, redundant and failover links
ppp-modules
本虛擬套件由這些套件填實: ppp-modules-5.10.0-26-686-pae-di, ppp-modules-5.10.0-25-5kc-malta-di, ppp-modules-5.10.0-26-5kc-malta-di, ppp-modules-5.10.0-25-amd64-di, ppp-modules-5.10.0-28-5kc-malta-di, ppp-modules-5.10.0-26-686-di, ppp-modules-5.10.0-27-4kc-malta-di, ppp-modules-5.10.0-28-armmp-di, ppp-modules-5.10.0-26-amd64-di, ppp-modules-5.10.0-28-marvell-di, ppp-modules-5.10.0-27-arm64-di, ppp-modules-5.10.0-25-686-pae-di, ppp-modules-5.10.0-25-powerpc64le-di, ppp-modules-5.10.0-26-armmp-di, ppp-modules-5.10.0-26-octeon-di, ppp-modules-5.10.0-27-amd64-di, ppp-modules-5.10.0-25-octeon-di, ppp-modules-5.10.0-28-loongson-3-di, ppp-modules-5.10.0-25-arm64-di, ppp-modules-5.10.0-25-armmp-di, ppp-modules-5.10.0-25-loongson-3-di, ppp-modules-5.10.0-28-arm64-di, ppp-modules-5.10.0-28-octeon-di, ppp-modules-5.10.0-27-686-pae-di, ppp-modules-5.10.0-27-5kc-malta-di, ppp-modules-5.10.0-28-amd64-di, ppp-modules-5.10.0-28-powerpc64le-di, ppp-modules-5.10.0-25-686-di, ppp-modules-5.10.0-28-686-pae-di, ppp-modules-5.10.0-26-powerpc64le-di, ppp-modules-5.10.0-27-octeon-di, ppp-modules-5.10.0-26-arm64-di, ppp-modules-5.10.0-27-loongson-3-di, ppp-modules-5.10.0-26-loongson-3-di, ppp-modules-5.10.0-28-4kc-malta-di, ppp-modules-5.10.0-25-4kc-malta-di, ppp-modules-5.10.0-27-686-di, ppp-modules-5.10.0-27-marvell-di, ppp-modules-5.10.0-27-powerpc64le-di, ppp-modules-5.10.0-26-marvell-di, ppp-modules-5.10.0-27-armmp-di, ppp-modules-5.10.0-25-marvell-di, ppp-modules-5.10.0-28-686-di, ppp-modules-5.10.0-26-4kc-malta-di
ppp-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-686-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
PPP drivers
ppp-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-686-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-686-pae-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-amd64-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-arm64-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-armmp-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-loongson-3-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-marvell-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-octeon-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
PPP drivers
ppp-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-686-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
PPP drivers
ppp-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-686-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-686-pae-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-amd64-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-arm64-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-armmp-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-loongson-3-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-marvell-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-octeon-di (5.10.209-2)
PPP drivers
ppp-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
PPP drivers
ppp-udeb (2.4.9-1+1)
Point-to-Point Protocol (PPP) - package for Debian Installer
pppconfig (2.3.25)
Text menu based utility for configuring ppp
pppoe (3.12-1.2)
PPP over Ethernet driver
pppoeconf (1.21+nmu2)
configures PPPoE/ADSL connections
pps-tools (1.0.2-2)
LinuxPPS support tools and headers
ppsh (1.10-1+b2 [s390x], 1.10-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Program to parse and pretty print arbitrary Haskell Show output
pptp-linux (1.10.0-1)
Point-to-Point Tunneling Protocol (PPTP) Client
pptpd (1.4.0-12+b1)
PoPToP Point to Point Tunneling Server
ppxfind (1.4-1+b3 [i386], 1.4-1+b2 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
tool combining ocamlfind and ppx
pqiv (2.12-1)
Powerful image viewer with minimal UI
pr3287 (4.0ga12-3)
IBM 3287 printer emulation for telnet sessions to IBM mainframes
praat (6.1.38-1)
program for speech analysis and synthesis
praelector (0.5-1+b2 [amd64], 0.5-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.5-1 [armel, armhf])
helps one to read a Latin phrase in a "natural" way
pragha (1.3.4-2+b1)
Lightweight Music Player
prank (0.0.170427+dfsg-3)
Probabilistic Alignment Kit for DNA, codon and amino-acid sequences
praw-doc (7.1.4-1)
Python Reddit API Wrapper (Documentation)
prayer (1.3.5-dfsg1-8)
standalone IMAP-based webmail server
prayer-accountd (1.3.5-dfsg1-8)
account management daemon for Prayer
prayer-templates-dev (1.3.5-dfsg1-8)
tools for compiling Prayer templates
prayer-templates-src (1.3.5-dfsg1-8)
templates for customizing Prayer Webmail
prboom-plus (2:2.6um-1)
enhanced clone of the classic first-person shooter Doom
prboom-plus-game-server (2:2.6um-1)
enhanced clone of the classic first-person shooter Doom (server)
prctl (1.6-1+b1 [amd64], 1.6-1 [arm64, armhf, mips64el, mipsel, ppc64el, s390x])
Process control operations
pre-commit (2.10.1-1)
Git pre-commit hook framework
predictnls (1.0.20-6)
prediction and analysis of protein nuclear localization signals
preload (0.6.4-5+b1 [amd64], 0.6.4-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
adaptive readahead daemon
prelude-correlator (5.2.0-1)
Security Information and Events Management System [ Correlator ]
prelude-lml (5.2.0-2)
Security Information and Events Management System [ Log Agent ]
prelude-lml-rules (5.2.0-1)
Security Information and Events Management System [ LML Rules ]
prelude-manager (5.2.0-2)
Security Information and Events Management System [ Manager ]
prelude-utils (5.2.0-3+deb11u1)
Security Information and Events Management system [ Utils ]
preludedb-utils (5.2.0-1)
Security Information and Events Management System [ Library utils ]
premake4 (4.3+repack1-2+b1)
cross-platform build script generator
prerex (6.8.0-1)
course prerequisite chart editor for LaTeX/TikZ
presage (0.9.1-2.2)
intelligent predictive text entry platform (tools and demos)
presage-dbg (0.9.1-2.2)
intelligent predictive text entry platform (tools debugging symbols)
preseed-common (1.109)
common files for preseeding
presentty (0.2.1-1.1)
Console-based presentation software
presto (0.6.2-1)
toolkit for processing B and T cell sequences
prettyping (1.0.1-1.1)
better ping tool
preview-latex
本虛擬套件由這些套件填實: auctex
preview-latex-style (12.2-1)
extraction of elements from LaTeX documents as graphics
preview.app (0.8.5-11+b2)
General purpose image viewer for GNUstep
previsat (3.5.1.7+dfsg1-4)
satellite tracking software for observing purposes
prewikka (5.2.0-1)
Security Information and Events Management System [ Web Interface ]
price.app (1.3.0-5)
Image filtering and manipulation using GNUstep
prime-phylo (1.0.11-9+b1)
bayesian estimation of gene trees taking the species tree into account
primer3 (2.4.0-4)
tool to design flanking oligo nucleotides for DNA amplification
primer3-examples (2.4.0-4)
tool to design flanking oligo nucleotides for DNA amplification (examples)
primesieve (7.6+ds-1)
fast prime number generator C/C++ library
primesieve-bin (7.6+ds-1)
fast prime number generator C/C++ library -- bin
primesieve-doc (7.6+ds-1)
fast prime number generator C/C++ library -- doc
primrose (6+dfsg1-4)
compelling tile-placement puzzle game
primus (0~20150328-13)
client-side GPU offloading for NVIDIA Optimus
primus-libs (0~20150328-13)
shared libraries for primus
primus-nvidia (0~20150328-13) [contrib]
NVIDIA Primus support using the proprietary NVIDIA driver
primus-vk (1.6.1-1)
Vulkan layer for GPU offloading
primus-vk-nvidia (1.6.1-1) [contrib]
NVIDIA Optimus support for Vulkan applications
princeprocessor (0.22-2)
standalone password candidate generator using the PRINCE algorithm
prinseq-lite (0.20.4-6)
PReprocessing and INformation of SEQuence data (lite version)
prinseq-lite-examples (0.20.4-6)
PReprocessing and INformation of SEQuence data (example data)
print-manager (4:20.12.0-1)
printer configuration and monitoring tools
printcore (2.0.0~rc7-1)
3D printer host core commands
printemf (1.0.13-2)
Enhanced Metafile library (executable)
printer-driver-all (0.20200223)
printer drivers metapackage
printer-driver-all-enforce (0.20200223)
printer drivers metapackage - enforcing version
printer-driver-brlaser (6-1)
printer driver for (some) Brother laser printers
printer-driver-c2050 (0.3b-8)
printer driver for Lexmark 2050 Color Jetprinter
printer-driver-c2esp (27-8)
printer driver for Kodak ESP AiO color inkjet Series
printer-driver-cjet (0.8.9-8)
printer driver for Canon LBP laser printers
printer-driver-cups-pdf (3.0.1-9)
printer driver for PDF writing via CUPS
printer-driver-dymo (1.4.0-9)
printer driver for DYMO label printers
printer-driver-escpr (1.7.8-1)
printer driver for Epson Inkjet that use ESC/P-R
printer-driver-foo2zjs (20200505dfsg0-1)
printer driver for ZjStream-based printers
printer-driver-foo2zjs-common (20200505dfsg0-1)
printer driver for ZjStream-based printers - common files
printer-driver-fujixerox (1.1.0+ds-3)
printer driver for Fuji Xerox printers
printer-driver-gutenprint (5.3.3-5)
printer drivers for CUPS
printer-driver-hpcups (3.21.2+dfsg1-2)
HP Linux Printing and Imaging - CUPS Raster driver (hpcups)
printer-driver-hpijs (3.21.2+dfsg1-2)
HP Linux Printing and Imaging - printer driver (hpijs)
printer-driver-indexbraille (1.2.3-2)
CUPS printing to Index Braille printers
printer-driver-m2300w (0.51-14)
printer driver for Minolta magicolor 2300W/2400W color laser printers
printer-driver-min12xxw (0.0.9-11)
printer driver for KonicaMinolta PagePro 1[234]xxW
printer-driver-oki (1.0.1-1.1)
printer driver for OKI Data printers
printer-driver-pnm2ppa (1.13-10)
printer driver for HP-GDI printers
printer-driver-postscript-hp (3.21.2+dfsg1-2)
HP Printers PostScript Descriptions
printer-driver-ptouch (1.5.1-2)
printer driver Brother P-touch label printers
printer-driver-pxljr (1.4+repack0-5)
printer driver for HP Color LaserJet 35xx/36xx
printer-driver-sag-gdi (0.1-7)
printer driver for Ricoh Aficio SP 1000s/SP 1100s
printer-driver-splix (2.0.0+svn315-7)
Driver for Samsung and Xerox SPL2 and SPLc laser printers
printrun (2.0.0~rc7-1)
3D printer hosts suite
printrun-common (2.0.0~rc7-1)
3D printer host suite common files
prips (1.1.1-3+deb11u1)
tool that prints the IP addresses in a given range
pristine-lfs (20210222.0-1)
regenerate pristine tarballs from git-lfs
pristine-tar (1.49)
regenerate pristine tarballs
privbind (1.2-1.1+b2)
Allow unprivileged apps to bind to a privileged port
privoxy (3.0.32-2+deb11u1)
Privacy enhancing HTTP Proxy
proalign (0.603-5)
Probabilistic multiple alignment program
probabel (0.5.0+dfsg-4)
Toolset for Genome-Wide Association Analysis
probabel-examples (0.5.0+dfsg-4)
Example files for ProbABEL
probalign (1.4-9)
multiple sequence alignment using partition function posterior probabilities
probcons (1.12-13)
PROBabilistic CONSistency-based multiple sequence alignment
probcons-extra (1.12-13)
Extra programs from the probcons package
procdump (1.1.1-5)
Utility to create core dumps based on performance triggers
procenv (0.51-0.2)
Utility to show process environment
process-viewer (0.2.6-2+b2 [amd64], 0.2.6-2+b1 [arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
Process viewer GTK GUI written in rust
procinfo (1:2.0.304-5)
tools to display information from /proc and /sys
procinfo-ng
本虛擬套件由這些套件填實: procinfo
procmail (3.22-26+deb11u1)
多功能電子郵件處理器
procmail-lib (1:2009.1202-4.1)
procmail library of plug-in recipes
procmeter3 (3.6-3)
graphical system status monitor
procps (2:3.3.17-5)
/proc file system utilities
procserv (2.7.0-1+b1 [amd64], 2.7.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Process server with telnet console and log access
procyon-decompiler (0.5.36-1)
Procyon Java Decompiler
proda (1.0-13)
multiple alignment of protein sequences
prodigal (1:2.6.3-4)
Microbial (bacterial and archaeal) gene finding program
profanity (0.10.0-1)
console based XMPP client
profanity-light (0.10.0-1)
console based XMPP client, light version
profbval (1.0.22-7)
predictor of flexible/rigid protein residues from sequence
profile-sync-daemon (6.34-1)
Symlink and sync browser profile directories into RAM
profisis (1.0.11-6)
prediction of protein-protein interaction sites from sequence
profitbricks-api-tools (4.1.3-3)
command line tools that use the public API from ProfitBricks
profnet-bval (1.0.22-7)
neural network architecture for profbval
profnet-chop (1.0.22-7)
neural network architecture for profchop
profnet-con (1.0.22-7)
neural network architecture for profcon
profnet-isis (1.0.22-7)
neural network architecture for profisis
profnet-md (1.0.22-7)
neural network architecture for metadisorder
profnet-norsnet (1.0.22-7)
neural network architecture for norsnet
profnet-prof (1.0.22-7)
neural network architecture for profacc
profnet-snapfun (1.0.22-7)
neural network architecture for snapfun
profphd-net (1.0.22-7)
neural network architecture for profphd
profphd-utils (1.0.10-6)
profphd helper utilities convert_seq and filter_hssp
proftmb (1.1.12-9)
per-residue prediction of bacterial transmembrane beta barrels
proftpd
本虛擬套件由這些套件填實: proftpd-core
proftpd-abi-1.3.7a
本虛擬套件由這些套件填實: proftpd-core
proftpd-basic (1.3.7a+dfsg-12+deb11u2)
Transitional dummy package for ProFTPD
proftpd-core (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - binaries
proftpd-dev (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - development files
proftpd-doc (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - documentation
proftpd-mod-autohost (0.6-1+b1)
ProFTPD module mod_autohost
proftpd-mod-case (0.7+git73896501cf-2+b1)
ProFTPD module mod_case
proftpd-mod-clamav (0.14~rc2-4)
ProFTPD module mod_clamav
proftpd-mod-counter (0.6.2-2)
ProFTPD module mod_counter
proftpd-mod-crypto (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - TLS/SSL/SFTP modules
proftpd-mod-dnsbl
本虛擬套件由這些套件填實: proftpd-core
proftpd-mod-fsync (0.3-3+b1)
ProFTPD module mod_fsync
proftpd-mod-geoip (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - GeoIP module
proftpd-mod-geoip2 (0.1-1+b2 [amd64], 0.1-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ProFTPD module mod_geoip2
proftpd-mod-ldap (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - LDAP module
proftpd-mod-msg (0.5-3)
ProFTPD module mod_msg
proftpd-mod-mysql (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - MySQL module
proftpd-mod-odbc (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - ODBC module
proftpd-mod-pgsql (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - PostgreSQL module
proftpd-mod-proxy (0.7-1+b1)
ProFTPD module mod_proxy
proftpd-mod-snmp (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - SNMP module
proftpd-mod-sqlite (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - SQLite3 module
proftpd-mod-statsd (0.1-1+b2 [amd64], 0.1-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ProFTPD module mod_statsd
proftpd-mod-tar (0.4-2)
ProFTPD module mod_tar
proftpd-mod-vroot (0.9.8-4+b1 [amd64], 0.9.8-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
ProFTPD module mod_vroot
proftpd-mod-wrap (1.3.7a+dfsg-12+deb11u2)
Versatile, virtual-hosting FTP daemon - tcpwrapper module
progress (0.15-1)
Coreutils Progress Viewer (formerly known as 'cv')
progress-linux (20210101-2)
Progress Linux - Setup
progress-linux-base-system (20210101-2)
Progress Linux - Base System
progress-linux-container (20210101-2)
Progress Linux - Container Metapackage
progress-linux-container-server (20210101-2)
Progress Linux - Container Server
progress-linux-desktop (20210101-2)
Progress Linux - Desktop Metapackage
progress-linux-gnome-desktop (20210101-2)
Progress Linux - GNOME Desktop
progress-linux-gpg-keys
本虛擬套件由這些套件填實: progress-linux-pgp-keys
progress-linux-host (20210101-2)
Progress Linux - Host Metapackage
progress-linux-maintainers (20210101-2)
Progress Linux - Maintainers Metapackage
progress-linux-pgp-keys (20210101-2)
Progress Linux - PGP Keys
progress-linux-server (20210101-2)
Progress Linux - Server Metapackage
progress-linux-ssh-keys (20210101-2)
Progress Linux - SSH Keys
progressivemauve (1.2.0+4713+dfsg-5+b1)
multiple genome alignment algorithms
proguard (6.2.0-1)
Java class file shrinker, optimizer, and obfuscator
proguard-cli (6.2.0-1)
Java class file shrinker, optimizer, and obfuscator (CLI)
proguard-gui (6.2.0-1)
Java class file shrinker, optimizer, and obfuscator (GUI)
proj-bin (7.2.1-1)
Cartographic projection library (tools)
proj-data (7.2.1-1)
Cartographic projection filter and library (datum package)
proj-ps-doc (4.3.3-5.1)
PostScript docs for cartographic projection filters and library
proj-rdnap (2008+2018-5) [non-free]
RDNAP grid correction files for PROJ
projectcenter.app (0.6.2+git20190606-2+b1)
IDE for GNUstep Development
projecteur (0.8-1)
virtual laser pointer for Logitech Spotlight devices
projectile-doc (2.1.0-1)
project interaction library for Emacs - documentation
projectl (1.001.dfsg2-0.1+b1)
sword action shooting
projectm-data (3.1.7-1.1)
Advanced Milkdrop-compatible music visualization library - data
projectm-jack (3.1.7-1.1)
projectM JackAudio module
projectm-pulseaudio (3.1.7-1.1)
projectM PulseAudio module
projectm-sdl (3.1.7-1.1)
projectM SDL module
prokka (1.14.6+dfsg-3)
rapid annotation of prokaryotic genomes
prolix (0.03-1.1)
tool to interactively filter chatty command output
prometheus (2.24.1+ds-1+b7)
Monitoring system and time series database
prometheus-alertmanager (0.21.0+ds-4+b5)
handle and deliver alerts created by Prometheus
prometheus-apache-exporter (0.8.0+ds-1+b5)
Prometheus exporter for Apache server metrics
prometheus-bind-exporter (0.4.0+ds-1+b5)
Prometheus exporter for Bind server metrics
prometheus-bird-exporter (1.2.5+ds-1+b5)
Prometheus exporter for the BIRD routing daemon
prometheus-blackbox-exporter (0.18.0+ds-3+b2)
blackbox prober for Prometheus
prometheus-elasticsearch-exporter (1.1.0+ds-2+b5)
Prometheus exporter for various metrics about Elasticsearch
prometheus-exporter-exporter (0.4.0-1+b7)
simple reverse proxy to other Prometheus exporters
prometheus-hacluster-exporter (1.2.1-1+b6)
Prometheus exporter for HA cluster services
prometheus-haproxy-exporter (0.12.0+ds-2+b5)
HAProxy exporter for Prometheus
prometheus-homeplug-exporter (0.3.0-2+b6)
Prometheus exporter for HomePlug/Power Line Communication
prometheus-ipmi-exporter (1.2.0+ds-1+b6)
Prometheus exporter for IPMI devices
prometheus-libvirt-exporter (0.2.0-1+b5)
Prometheus metrics exporter for libvirt
prometheus-mailexporter (1.0+git20190716.c60d197-1+b6)
exports prometheus-style metrics about mail server functionality
prometheus-mongodb-exporter (1.0.0+git20180522.e755a44-3+b5)
Prometheus exporter for MongoDB
prometheus-mqtt-exporter (0.1.4-2+b7)
Prometheus exporter for metrics sent via MQTT topics
prometheus-mysqld-exporter (0.12.1+ds-3+b5)
Prometheus exporter for MySQL server.
prometheus-nginx-exporter (0.8.0+ds-2+b5)
Prometheus exporter for NGINX webservers
prometheus-node-exporter (1.1.2+ds-2.1)
Prometheus exporter for machine metrics
prometheus-node-exporter-collectors (0+git20210115.7d89f19-1)
Supplemental textfile collector scripts for Prometheus node_exporter
prometheus-pgbouncer-exporter (1.7-2)
Export metrics from pgbouncer to Prometheus
prometheus-postfix-exporter (0.2.0-3+b6)
Prometheus exporter for Postfix mail servers
prometheus-postgres-exporter (0.8.0+ds-1+b6)
Prometheus exporter for PostgreSQL server metrics
prometheus-process-exporter (0.7.5-3+b5)
Prometheus exporter that exposes process metrics from procfs
prometheus-pushgateway (1.4.0+ds-1+b5)
Prometheus exporter for ephemereal jobs
prometheus-redis-exporter (1.16.0-1+b5)
Prometheus exporter for Redis metrics
prometheus-smokeping-prober (0.4.1-2+b5)
Prometheus style "smokeping" prober
prometheus-snmp-exporter (0.19.0+ds-1+b5)
Prometheus exporter for SNMP-enabled devices
prometheus-sql-exporter (0.2.0.ds-6+b17)
Flexible SQL Exporter for Prometheus
prometheus-squid-exporter (1.8.3+ds-2+b6)
Prometheus exporter for Squid proxy servers
prometheus-tplink-plug-exporter (0.2.0+git20200622.cc4a731-2+b6)
Prometheus exporter for TP-Link Smart plug metrics
prometheus-trafficserver-exporter (0.3.2-1)
Prometheus exporter for Apache Traffic Server
prometheus-varnish-exporter (1.6-1+b5)
Prometheus exporter for Varnish
prometheus-xmpp-alerts (0.4.2-1)
web hook that forwards prometheus alerts over XMPP
pronsole (2.0.0~rc7-1)
Command-line 3D printer host
pronterface (2.0.0~rc7-1)
Graphical 3D printer host
proofgeneral (4.4.1~pre170114-1.2)
generic frontend for proof assistants
proofgeneral-doc (4.4.1~pre170114-1.2)
generic frontend for proof assistants - documentation
prooftree (0.13-2+b1)
proof-tree visualization for Proof General
proot (5.1.0-1.3)
emulate chroot, bind mount and binfmt_misc for non-root users
propaganda-debian (13.5.10+nmu1)
Propaganda background image volume for Debian
propellor (5.12-1)
property-based host configuration management in haskell
propka
本虛擬套件由這些套件填實: pdb2pqr
prosody (0.11.9-2+deb11u2)
Lightweight Jabber/XMPP server
prosody-modules (0.0~hg20210130.dd3bfe8f182e+dfsg-2)
Selection of community modules for Prosody
proteinortho (6.0.28+dfsg-1)
Detection of (Co-)orthologs in large-scale protein analysis
protobuf-api-23-0
本虛擬套件由這些套件填實: libprotobuf-dev
protobuf-c-compiler (1.3.3-1+b2)
Protocol Buffers C compiler (protobuf-c)
protobuf-compiler (3.12.4-1+deb11u1)
compiler for protocol buffer definition files
protobuf-compiler-grpc (1.30.2-3)
high performance general RPC framework - protobuf plugin
protobuf-compiler-grpc-java-plugin (1.26.0+ds-1)
high performance general RPC framework - protobuf Java plugin
protoc-gen-go (1.25.0+git20201208.160c747-1+b5)
Go support for Protocol Buffers (protoc compiler plugin)
protoc-gen-yarpc (0.0.1-1+b6)
Yet Another RPC for Go - command-line utility
protracker (2.b37+really1.27+ds-1)
transitional dummy package
prottest (3.4.2+dfsg-5)
selection of best-fit models of protein evolution
prov-tools (1.5.2-2)
tools for prov
prove6 (0.0.12-3)
test runner based on TAP harness
prover9-doc (0.0.200902a-2.1)
documentation for Prover9 and associated programs
proxsmtp (1.10-2.1+b3)
multi purpose SMTP Proxy
proxychains (3.1-9)
proxy chains - redirect connections through proxy servers
proxychains
本虛擬套件由這些套件填實: proxychains4
proxychains-ng
本虛擬套件由這些套件填實: proxychains4
proxychains4 (4.14-3)
redirect connections through socks/http proxies (proxychains-ng)
proxycheck (0.49a-6)
checks existence of open proxy
proxytrack (3.49.2-1.1)
Build HTTP Caches using archived websites copied by HTTrack
proxytunnel (1.10.20210128-1)
Help SSH and other protocols through HTTP(S) proxies
prt (0.22-1)
Command line Perl Refactoring Tool
prusa-slicer (2.3.0+dfsg-1)
G-code generator for 3D printers
pry (0.13.1-1)
powerful irb alternative and runtime developer console
ps-watcher (1.08-10)
monitoring a system via ps-like commands
ps2eps (1.68+binaryfree-3+b1 [amd64], 1.68+binaryfree-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
convert PostScript to EPS (Encapsulated PostScript) files
psad (2.4.3-1.2)
Port Scan Attack Detector
psautohint (2.2.0-1)
Font autohinter from AFDKO
pscan (1.2-9+b2)
Format string security checker for C files
pscan-chip (1.1-3)
ChIP-based identifcation of TF binding sites
pscan-chip-data (1.1-3)
auxiliary data for PScan-ChIP
pscan-tfbs (1.2.2-4)
search for transcription factor binding sites
psensor (1.1.5-1.3)
display graphs for monitoring hardware temperature
psensor-common (1.1.5-1.3)
common files for Psensor and Psensor server
psensor-server (1.1.5-1.3)
Psensor server for monitoring hardware sensors remotely
pseudo (1.9.0+git20200626+067950b-2)
advanced tool for simulating superuser privileges
psf-unifont (1:13.0.06-1)
PSF (console) version of GNU Unifont with APL support
psfex (3.17.1+dfsg-6)
Point Spread Function model extractor
psgml (1.4.0-12)
Emacs major mode for editing SGML documents
psi (1.5+dfsg1-1)
Qt-based XMPP client
psi-l10n (1.12+real1.5-4)
localization files for Psi
psi-plus (1.4.554-5)
Qt-based XMPP client (basic version)
psi-plus-common (1.4.554-5)
common files for Psi+
psi-plus-l10n (1.4.554-1)
localization files for Psi+
psi-plus-plugins (1.4.554-5)
plugins for Psi+
psi-plus-skins (1.4.554-5)
skins for Psi+
psi-plus-sounds (1.4.554-5)
sound files for Psi+
psi-plus-webkit (1.4.554-5)
Qt-based XMPP client (WebKit version)
psi-translations
本虛擬套件由這些套件填實: psi-l10n
psi3 (3.4.0-6+b5 [mips64el], 3.4.0-6+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Quantum Chemical Program Suite
psi4 (1:1.3.2+dfsg-2)
Quantum Chemical Program Suite
psi4-data (1:1.3.2+dfsg-2)
Quantum Chemical Program Suite (data files)
psignifit (2.5.6-7)
Fitting and testing hypotheses about psychometric functions
psk31lx (2.2-1)
PSK31 terminal application with text-based user interface
pskc-utils (1.1-3)
Utilities for handling PSKC files
pskctool (2.6.6-3)
OATH Toolkit pskctool command line tool
psl (0.21.0-1.2)
Explore the Public Suffix List
psl-make-dafsa (0.21.0-1.2)
Create a binary DAFSA from a Public Suffix List
pslib-dev (0.4.7-1+b1 [amd64], 0.4.7-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
development files for pslib
pslib1 (0.4.7-1+b1 [amd64], 0.4.7-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to create PostScript files
pslist (1.4.0-3)
utility that controls a process and its descendants
psmisc (23.4-2)
utilities that use the proc file system
psortb (3.0.6+dfsg-2+b1)
bacterial localization prediction tool
pspg (4.1.0-1)
PostgreSQL pager
pspp (1.4.1-1)
Statistical analysis tool
pspresent (1.3-5+b1 [i386], 1.3-5 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
fullscreen PostScript presentation tool
psrip (1.3-10)
Extract images from PostScript files
pssh (2.3.1-4)
Parallel versions of SSH-based tools
psst (1.0-3)
power stress and shaping tool
pst-utils (0.6.75-1)
tools for reading Microsoft Outlook PST files
pstoedit (3.75-1)
PostScript and PDF files to editable vector graphics converter
psurface (2.0.0-2+b1)
piecewise linear bijections between triangulated surfaces -- utilities
psutils (1.17.dfsg-4)
PostScript document handling utilities
psychopy (2020.2.10+dfsg-2)
environment for creating psychology stimuli in Python
psychtoolbox
本虛擬套件由這些套件填實: octave-psychtoolbox-3
psychtoolbox-3
本虛擬套件由這些套件填實: octave-psychtoolbox-3
psychtoolbox-3-common (3.0.17.9.dfsg1-2)
toolbox for vision research -- arch/interpreter independent part
psychtoolbox-3-dbg (3.0.17.9.dfsg1-2)
toolbox for vision research -- debug symbols for binaries
psychtoolbox-3-lib (3.0.17.9.dfsg1-2)
toolbox for vision research -- arch-specific parts
pt-websocket (0.2-9+b6)
WebSocket pluggable transport - server
pt2-clone (1.27+ds-1)
Music tracker clone of ProTracker 2 for modern computers
ptask (1.0.0-1.1+b1)
GTK+ graphical user interface for managing tasks
pterm (0.74-1+deb11u1)
PuTTY terminal emulator
ptex-base (1:2.5-3)
basic ASCII pTeX library files
ptex-jisfonts
本虛擬套件由這些套件填實: texlive-lang-japanese
ptex-jtex (1.7+1-15) [non-free]
ASCII jTeX with pTeX
ptpd (2.3.1-debian1-4+b1 [amd64], 2.3.1-debian1-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Precision Time Protocol daemon
ptscotch (6.1.0-2)
MPI programs and libraries for graph, mesh and hypergraph partitioning
ptunnel (0.72-3+b1 [amd64], 0.72-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tunnel TCP connections over ICMP packets
ptunnel-ng (1.42-1)
Tunnel TCP connections over ICMP packets
pub2odg (0.9.6-2)
Publisher documents to OpenDocument converter
publib-dev (0.40-3+b1)
library of miscellaneous C functions
publican (4.3.2-3)
Tool for publishing material authored in DocBook XML
publican-debian (0.4)
Debian brand for Publican
publicfile-installer (0.15) [contrib]
installer package for the publicfile HTTP and FTP server
publicsuffix (20220811.1734-0+deb11u1)
accurate, machine-readable list of domain name suffixes
publicsuffix-dafsa
本虛擬套件由這些套件填實: publicsuffix
puddletag (2.0.1-2)
simple, powerful audio tag editor
puf (1.0.0-7+b2)
Parallel URL fetcher
pugixml-doc (1.11.4-1)
Light-weight C++ XML processing library (documentation)
pulldown-cmark (0.2.0-1)
Simple command-line tool for rendering CommonMark to HTML
pullimap (0.5.6-1)
Pull mails from an IMAP mailbox and deliver them via SMTP or LMTP
pulseaudio (14.2-2)
PulseAudio sound server
pulseaudio-dlna (0.5.3+git20200329-0.1)
stream audio to DLNA devices and Chromecasts
pulseaudio-equalizer (14.2-2)
Equalizer sink module for PulseAudio sound server
pulseaudio-module-bluetooth (14.2-2)
Bluetooth module for PulseAudio sound server
pulseaudio-module-gsettings (14.2-2)
GSettings module for PulseAudio sound server
pulseaudio-module-jack (14.2-2)
jackd modules for PulseAudio sound server
pulseaudio-module-lirc (14.2-2)
lirc module for PulseAudio sound server
pulseaudio-module-raop (14.2-2)
RAOP module for PulseAudio sound server
pulseaudio-module-zeroconf (14.2-2)
Zeroconf module for PulseAudio sound server
pulseaudio-utils (14.2-2)
Command line tools for the PulseAudio sound server
pulseeffects (4.8.4-1)
Audio effects for PulseAudio applications
pulsemixer (1.5.1-1)
command-line mixer for PulseAudio with a curses interface
pulseview (0.4.2-2+b1)
sigrok logic analyzer, oscilloscope, and MSO GUI
puma (4.3.8-1+deb11u2 [amd64, arm64, armhf, i386, mips64el, mipsel], 4.3.8-1+deb11u1 [armel, ppc64el], 4.3.8-1 [s390x]) [security]
threaded HTTP 1.1 server for Ruby/Rack applications
pumpa (0.9.3-1+b3 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.3-1+b2 [armel, armhf])
simple desktop client for pump.io, the distributed social network
pup (0.4.0+git20190919.681d7bb-2+b6)
command-line HTML processor
puppet (5.5.22-2)
configuration management system
puppet-beaker (4.21.0-3)
test harness providing platform abstraction and VM provisioning
puppet-lint (2.4.2-2)
check puppet manifests for style guide conformity
puppet-master (5.5.22-2)
configuration management system, master service
puppet-master-passenger (5.5.22-2)
configuration management system, scalable master service
puppet-module-aboe-chrony (0.2.4-4)
Puppet module for Chrony
puppet-module-adrienthebo-filemapper (1.1.3-2)
Puppet module for mapping files to resources and back
puppet-module-alteholz-tdc (0.0.2-2)
test-driven configuration for puppet
puppet-module-antonlindstrom-powerdns (0.0.5-4)
Puppet module for PowerDNS
puppet-module-aodh (17.5.0-1)
Puppet module for OpenStack Aodh
puppet-module-arioch-redis (3.2.0-3)
Puppet module for Redis
puppet-module-asciiduck-sssd (0.3.0-1)
Manage the SSSD service
puppet-module-barbican (17.4.0-2)
Puppet module for OpenStack Barbican
puppet-module-camptocamp-kmod (2.1.1-2)
Puppet module for managing kmod configuration
puppet-module-camptocamp-openssl (1.5.0-2)
Puppet module for managing openssl configuration
puppet-module-ceilometer (17.4.0-3)
Puppet module for OpenStack Ceilometer
puppet-module-ceph (3.1.1-1)
Puppet module for Ceph
puppet-module-cinder (17.4.0-2)
Puppet module for OpenStack Cinder
puppet-module-cirrax-gitolite (1.3.3-2)
puppet module to configure gitolite
puppet-module-cloudkitty (6.5.0-1)
Puppet module for OpenStack CloudKitty
puppet-module-congress (16.3.0-2)
Puppet module for OpenStack Congress
puppet-module-cristifalcas-etcd (1.12.3-3)
Puppet resource for managing an Etcd cluster
puppet-module-debian-archvsync (0.1.13-1)
Puppet module for maintaining a Debian FTP mirror
puppet-module-deric-zookeeper (0.8.4-4)
Puppet module for Zookeeper
puppet-module-designate (17.4.0-2)
Puppet module for OpenStack Designate
puppet-module-duritong-sysctl (0.0.11-2)
Puppet module for Duriting-sysctl
puppet-module-etcddiscovery (0.1.1-2)
Puppet module for Etcd-discovery service
puppet-module-glance (17.5.0-1)
Puppet module for OpenStack Glance
puppet-module-gnocchi (17.4.0-2)
Puppet module for OpenStack Gnocchi
puppet-module-heat (17.4.0-2)
Puppet module for OpenStack Heat
puppet-module-heini-wait-for (2.0.1-2)
Puppet module for waiting for something
puppet-module-horizon (17.4.0-4)
Puppet module for OpenStack Horizon
puppet-module-icann-quagga (0.5.2-5)
Puppet module for Quagga
puppet-module-icann-tea (0.2.15-3)
Puppet datatypes for use of validation
puppet-module-ironic (17.4.0-2)
Puppet module for OpenStack Ironic
puppet-module-joshuabaird-ipaclient (2.5.2-3)
Puppet module for Joshuabaird IPAclient
puppet-module-keystone (17.4.0-2)
Puppet module for OpenStack Keystone
puppet-module-magnum (17.4.0-2)
Puppet module for OpenStack Magnum
puppet-module-manila (16.3.0-2)
Puppet module for OpenStack Manila
puppet-module-michaeltchapman-galera (0.7.1-4)
Michaelt Chapman's Galera Puppet module
puppet-module-murano (17.4.0-2)
Puppet module for OpenStack Murano
puppet-module-nanliu-staging (1.0.4-2)
Puppet module for the management of staging directory
puppet-module-neutron (17.5.0-2)
Puppet module for OpenStack Neutron
puppet-module-nova (17.5.0-2)
Puppet module for OpenStack Nova
puppet-module-oci (40.1)
automatic PXE and puppet-master installer for OpenStack - puppet module
puppet-module-octavia (17.4.0-2)
Puppet module for OpenStack Octavia
puppet-module-openstack-extras (17.4.0-2)
add useful utilities for composing and deploying OpenStack
puppet-module-openstacklib (17.4.0-2)
exposes common functionality between Openstack modules as a library
puppet-module-oslo (17.4.0-2)
Puppet module for OpenStack oslo lib using components
puppet-module-ovn (13.1.0-4)
Puppet module for OpenStack OVN
puppet-module-panko (17.5.0-1)
Puppet module for OpenStack Panko
puppet-module-placement (4.4.0-3)
Puppet module for OpenStack Placement
puppet-module-puppet-archive (4.1.0-1)
Puppet module to manages download, and cleanup of archive files
puppet-module-puppet-community-mcollective (0.6.2-4)
Puppet module for mcollective to handle and install clusters
puppet-module-puppetlabs-apache (5.5.0-2)
Puppet module for Apache
puppet-module-puppetlabs-apt (6.1.1-1)
Puppet module for apt
puppet-module-puppetlabs-augeas-core (1.0.5-2)
Puppet module for Augeas Core
puppet-module-puppetlabs-concat (5.1.0-1)
Puppet module for concat
puppet-module-puppetlabs-cron-core (1.0.3+dfsg1-2)
Puppet module for installing and managing cron resources
puppet-module-puppetlabs-firewall (1.12.0-1)
Puppet module for Firewall management
puppet-module-puppetlabs-haproxy (2.1.0-3)
Puppet module for HAProxy
puppet-module-puppetlabs-host-core (1.0.3-2)
Puppet module for managing /etc/hosts file
puppet-module-puppetlabs-inifile (2.2.1-1)
Puppet module for ini files
puppet-module-puppetlabs-mongodb (0.7.0-3)
Puppet module for managing mongodb installation and configuration
puppet-module-puppetlabs-mount-core (1.0.4+dfsg1-2)
Puppet module for managing mount points
puppet-module-puppetlabs-mysql (8.1.0-5)
Puppet module for MySQL
puppet-module-puppetlabs-ntp (7.2.0-1)
Puppet module for ntp
puppet-module-puppetlabs-postgresql (6.7.0-2)
Puppet module for PostgreSQL database
puppet-module-puppetlabs-rabbitmq (8.5.0-6)
Puppet module for rabbitmq, manage everything from vhosts to exchanges
puppet-module-puppetlabs-rsync (1.1.0-2)
Puppet module for rsync clients, repositories, and servers
puppet-module-puppetlabs-selinux-core (1.0.4-2)
Puppet module for SELinux
puppet-module-puppetlabs-sshkeys-core (1.0.3-2)
Puppet module for managing SSH authorized_keys, and ssh_known_hosts files
puppet-module-puppetlabs-stdlib (5.0.0-1)
Puppet module standard library
puppet-module-puppetlabs-tftp (0.2.3-3)
Puppet module for managing tftp-hpa
puppet-module-puppetlabs-translate (2.2.0-1)
Puppet module for translate
puppet-module-puppetlabs-vcsrepo (1.3.2-2)
use Puppet to easily deploy content from your version control system (VCS)
puppet-module-puppetlabs-xinetd (3.0.0-1)
Puppet module for xinetd
puppet-module-richardc-datacat (0.6.2-2)
Puppet module for data structure rendered using a template
puppet-module-rodjek-logrotate (1.1.1+ds1-4)
Logrotate module for Puppet
puppet-module-sahara (17.4.1-1)
Puppet module for OpenStack Sahara
puppet-module-saz-memcached (3.1.0-1)
Puppet module for memcached
puppet-module-saz-rsyslog (2.2.1-2)
Puppet module for rsyslog
puppet-module-saz-ssh (2.8.1-3)
Puppet module for Ssh configuration (client and server)
puppet-module-sbitio-monit (1.0.0-3)
Puppet module for Monit
puppet-module-swift (17.4.1-3)
Puppet module for OpenStack Swift
puppet-module-tempest (17.4.0-2)
Puppet module for OpenStack Tempest
puppet-module-theforeman-dns (5.4.0-4)
Puppet module for ISC bind
puppet-module-voxpupuli-alternatives (3.0.0-4)
Puppet resource for managing Debian alternatives
puppet-module-voxpupuli-collectd (11.0.0-3)
Puppet module for collectd
puppet-module-voxpupuli-corosync (6.0.1-2)
Puppet module for corosync / pacemaker
puppet-module-voxpupuli-posix-acl (1.0.1-2)
Puppet resource for managing posix-acl
puppet-module-voxpupuli-ssh-keygen (2.0.1-3)
generate ssh keys for any user using ssh-keygen
puppet-module-vswitch (13.4.0-2)
provides puppet things for vSwitches
puppet-strings (2.5.0-1)
Tool to generate documentation from Puppet code and extensions
pure-ftpd (1.0.49-4.1)
Secure and efficient FTP server
pure-ftpd
本虛擬套件由這些套件填實: pure-ftpd-mysql, pure-ftpd-ldap, pure-ftpd-postgresql
pure-ftpd-common (1.0.49-4.1)
Pure-FTPd FTP server (Common Files)
pure-ftpd-ldap (1.0.49-4.1)
Secure and efficient FTP server with LDAP user authentication
pure-ftpd-mysql (1.0.49-4.1)
Secure and efficient FTP server with MySQL user authentication
pure-ftpd-postgresql (1.0.49-4.1)
Secure and efficient FTP server with PostgreSQL user authentication
puredata (0.51.4-1)
realtime computer music and graphics system
puredata-core (0.51.4-1)
realtime computer music and graphics system - core components
puredata-dev (0.51.4-1)
realtime computer music and graphics system - development files
puredata-doc (0.51.4-1)
realtime computer music and graphics system - documentation
puredata-extra (0.51.4-1)
realtime computer music and graphics system - extra files
puredata-gui (0.51.4-1)
realtime computer music and graphics system - GUI
puredata-gui-l10n (0.51.4-1)
realtime computer music and graphics system - translations
puredata-import (1.3-5+b1 [amd64], 1.3-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Pd object for loading libraries within a patch
puredata-utils (0.51.4-1)
realtime computer music and graphics system - utility programs
purify (2.0.0-5+b4)
Collection of routines for radio interferometric imaging
purifyeps (1.1-2.1)
creates EPS files usable in TeX and pdfTeX
purity (1-19)
automated purity testing software
purity-off (0-4.1)
Sex related purity tests
purple-discord (0.9.2020.10.14.git.8216905-1)
Discord messaging service plugin for libpurple
purple-lurch (0.6.8+git20200527.388605-3)
XEP-0384 OMEMO Encryption plugin for libpurple
purple-mm-sms (0.1.7-1)
libpurple plugin for SMS
purple-rocketchat (0.1~hg20200403.800ef89-1)
Rocket.Chat protocol plugin for libpurple
purple-xmpp-carbons (0.2.3-1)
XMPP XEP-0280: Message Carbons plugin for libpurple
purple-xmpp-http-upload (0.2.2-1)
HTTP File Upload plugin for libpurple
pushover (0.0.5+git20180909-4+b2)
Fun puzzle game with dominos
pushover-data (0.0.5+git20180909-4)
Fun puzzle game with dominos, music files
pushpin (1.31.0-1)
HTTP reverse proxy server for streaming and long-polling services
putty (0.74-1+deb11u1)
Telnet/SSH client for X
putty-doc (0.74-1+deb11u1)
PuTTY HTML documentation
putty-tools (0.74-1+deb11u1)
command-line tools for SSH, SCP, and SFTP
puzzle-jigsaw (1.0.2+git20201007.527c529+dfsg-3)
tile puzzle game
pv (1.6.6-1+b1 [amd64], 1.6.6-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Shell pipeline element to meter data passing through
pv-grub-menu (1.3+nmu1)
Creates a menu.lst file for PV-GRUB
pvm (3.4.6-3)
Parallel Virtual Machine - binaries
pvm-dev (3.4.6-3)
Parallel Virtual Machine - development files
pvm-examples (3.4.6-3)
Parallel Virtual Machine - examples
pvpgn (1.8.5-2.1+b2) [contrib]
gaming server that emulates Battle.net(R)
pvrg-jpeg (1.2.1+dfsg1-6)
Stanford PVRG JPEG tool
pwauth (2.3.11-4)
authenticator for mod_authnz_external and the Apache HTTP Daemon
pwgen (2.08-2)
Automatic Password generation
pwgen-udeb (2.08-2)
Automatic Password generation
pwget (2016.1019+git75c6e3e-4)
downloader utility which resembles wget (implemented in Perl)
pwman3 (0.12.1-2)
console password management application
px (1.4.0-1)
ps and top for human beings
pxe-kexec (0.2.4-3+b5)
Fetch PXE configuration file and netboot using kexec
pxelinux (3:6.04~git20190206.bf6db5b4+dfsg1-3)
collection of bootloaders (PXE network bootloader)
pxfw (0.8.1-1)
Plextor firmware updater
pxlib-dev (0.6.8-1+b1 [amd64], 0.6.8-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to read/write Paradox database files (development files)
pxlib1 (0.6.8-1+b1 [amd64], 0.6.8-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library to read/write Paradox database files
py-cpuinfo (5.0.0-2)
Python script for getting CPU info
py3c-dev (1.3.1-1)
Python 2/3 compatibility layer for C extensions
py3status (3.31-1)
extensible i3status wrapper written in Python (Python3 package)
pyacidobasic (2.10-2)
simulation tool for acido-basic titrations
pybedtools-bin (0.8.0-5)
Scripts produced for pybedtools
pybik (3.0-3.1)
Rubik's cube game
pybik-bin (3.0-3.1)
Rubik's cube game - architecture dependent files
pybind11-dev (2.6.2-1)
seamless operability between C++11 and Python
pybind11-doc (2.6.2-1)
documentation for pybind11
pybind11-json-dev (0.2.7-1)
Bridge between nlohmann::json and pybind11 (headers)
pybtex (0.23.0-1)
BibTeX-compatible bibliography processor
pycadf-common (3.1.1-2)
implementation of DMTF Cloud Audit (CADF) data model - common files
pychess (1.0.0-1.2)
Fully featured, nice looking, easy to use Chess Client
pycirkuit (0.5.1-1)
front-end for "Circuit Macros" and the PIC language
pycode-browser (1:1.02+git20181006-5)
environment to teach with Python code snippets
pycodestyle (2.6.0-1)
Python style guide checker (formerly called pep8)
pyconfigure (0.2.3-2.1)
automatic configure script builder for Python software
pycoqc (2.5.2+dfsg-1)
computes metrics and generates Interactive QC plots
pycorrfit (1.1.7+dfsg-1+b2)
tool for fitting correlation curves on a logarithmic plot
pycsw (2.6.0+dfsg-1) [non-free]
OGC compliant metadata (Catalogue Service for the Web) server
pycsw-doc (2.6.0+dfsg-1) [non-free]
OGC compliant metadata (Catalogue Service for the Web) server - documentation
pycsw-wsgi (2.6.0+dfsg-1) [non-free]
WSGI Apache CSW service based on pycsw
pydf (12+nmu1)
colourised df(1)-clone
pydocstyle (2.1.1-1)
Python docstring style checker (PEP-257 conventions)
pydoctor (19.11.0+git20200303.47424e7-1)
Python API document generator
pyecm (2.0.3-1)
integer factorization with the Elliptic Curve Method (ECM)
pyfai (0.20.0+dfsg1-3)
Fast Azimuthal Integration scripts
pyflakes3 (2.2.0-2)
passive checker of Python 3 programs
pyfr (1.5.0-3)
flux reconstruction in Python
pyfr-doc (1.5.0-3)
documentation for PyFR
pygac-bin (1.4.0-2)
Python package to read and calibrate NOAA AVHRR GAC data - scripts
pygtail (0.6.1-2)
read log file lines that have not been read
pyhoca-cli (0.6.1.2-2)
Command line X2Go client written in Python
pyhoca-gui (0.6.1.1-1)
Graphical X2Go client written in (wx)Python
pyjoke (0.5.0-3)
Command line utility to make programmer jokes
pykwalify (1.8.0-1)
Python YAML/JSON schema validation library (command line program)
pylama (7.4.3-3)
code audit tool for Python in Python3
pyliblo-utils (0.10.0-4)
Command line utilities for sending and receiving OSC messages
pylint (2.7.2-3)
Python 3 code static checker and UML diagram generator
pylint-doc (2.7.2-3)
Python code static checker and UML diagram generator (documentation)
pylint3 (2.7.2-3)
Transitional dummy package
pylint3
本虛擬套件由這些套件填實: pylint
pymacs (0.25-3)
interface between Emacs Lisp and Python
pymca (5.6.3+dfsg-1)
Applications and toolkit for X-ray fluorescence analysis -- scripts
pymca-data (5.6.3+dfsg-1)
Architecture independent data files for PyMca
pymca-doc (5.6.3+dfsg-1)
Documentation files for PyMca
pymoctool (0.5.0-5)
Python Multi-Order Coverage maps tool for Virtual Observatory
pymol (2.4.0+dfsg-2)
Molecular Graphics System
pymol-data (2.4.0+dfsg-2)
data files for PyMOL
pympress (1.5.3+dfsg-1)
simple and powerful dual-screen PDF reader
pympress-doc (1.5.3+dfsg-1)
Pympress documentation
pynag (1.1.2+dfsg-2)
Command line interface to nagios configuration
pynslcd (0.9.11-1)
daemon for NSS and PAM lookups via LDAP - Python version
pyosmium (3.1.3-1)
Osmium library bindings for Python - utilities
pyosmium-doc (3.1.3-1)
Osmium library bindings for Python - Documentation
pyotherside (1.5.9-2)
transitional dummy package
pyotherside-doc (1.5.9-2)
asynchronous Python 3 Bindings for Qt 5 (documentation)
pyotherside-tests (1.5.9-2+b3)
Asynchronous Python 3 Bindings for Qt 5 (tests)
pyp (2.12-2)
sed/awk-like tool with Python language
pypass (0.2.1-1.1)
lightweight directory-based password manager in Python
pypi2deb (2.20180804+nmu1)
PyPI to Debian converter
pyppd (1.1.0-1)
CUPS PostScript Printer Driver's compressor and generator
pyprof2calltree (1.4.4-2)
visualise Python cProfile data with this kcachegrind converter
pypump-shell (0.7-3)
interface to the pump.io APIs (pypump-shell program)
pypy (7.3.3+dfsg-2)
fast alternative implementation of Python - PyPy interpreter
pypy-abi-73
本虛擬套件由這些套件填實: pypy
pypy-appdirs (1.4.4-1)
determining appropriate platform-specific directories (PyPy)
pypy-asn1crypto (1.4.0-1)
Fast ASN.1 parser and serializer (PyPy)
pypy-cffi (= 1.14.3)
本虛擬套件由這些套件填實: pypy-lib
pypy-cffi-backend-api-10241
本虛擬套件由這些套件填實: pypy-lib
pypy-cffi-backend-api-max (= 10495)
本虛擬套件由這些套件填實: pypy-lib
pypy-cffi-backend-api-min (= 9729)
本虛擬套件由這些套件填實: pypy-lib
pypy-coverage (5.1+dfsg.1-2)
code coverage tool for Python 2 PyPy
pypy-dev (7.3.3+dfsg-2)
header files for PyPy (an alternative Python interpreter)
pypy-doc (7.3.3+dfsg-2)
developer Documentation for PyPy (an alternative Python interpreter)
pypy-enum34 (1.1.6-4)
backport of Python 3.4's enum package (PyPy package)
pypy-fastimport (0.9.8-5)
Fastimport file format parser and generator library
pypy-ipaddress (1.0.23-1)
Backport of Python 3 ipaddress module (PyPy)
pypy-lib (7.3.3+dfsg-2)
standard library for PyPy (an alternative Python interpreter)
pypy-lib-testsuite (7.3.3+dfsg-2)
standard library test suite for PyPy (an alternative Python interpreter)
pypy-packaging (20.9-2)
core utilities for pypy packages
pypy-pathlib2 (2.3.5-3)
Backport of the "pathlib" stdlib module (PyPy)
pypy-pkg-resources (44.1.1-1)
Package Discovery and Resource Access using pkg_resources
pypy-pretend (1.0.9-1)
Python library for stubbing (PyPy)
pypy-pyasn1 (0.4.8-1)
ASN.1 library for Python (PyPy module)
pypy-pyparsing (2.4.7-1)
alternative to creating and executing simple grammars - pypy
pypy-scandir (1.10.0-4)
Backport of the "scandir" stdlib module (PyPy)
pypy-setuptools (44.1.1-1)
PyPy Distutils Enhancements
pypy-six (1.16.0-2)
Python 2 and 3 compatibility library (PyPy interface)
pypy-stem (1.8.0-3)
Tor control library for PyPy
pypy-tk (7.3.3+dfsg-2)
Tkinter module for PyPy (an alternative Python interpreter)
pypy-wand (0.6.5-1)
Python interface for ImageMagick library (PyPy)
pypy3 (7.3.5+dfsg-2+deb11u2)
fast alternative implementation of Python 3.x - PyPy interpreter
pypy3-cffi (= 1.14.5)
本虛擬套件由這些套件填實: pypy3-lib
pypy3-cffi-backend-api-10241
本虛擬套件由這些套件填實: pypy3-lib
pypy3-cffi-backend-api-max (= 10495)
本虛擬套件由這些套件填實: pypy3-lib
pypy3-cffi-backend-api-min (= 9729)
本虛擬套件由這些套件填實: pypy3-lib
pypy3-dev (7.3.5+dfsg-2+deb11u2)
header files for PyPy (an alternative Python 3.x interpreter)
pypy3-doc (7.3.5+dfsg-2+deb11u2)
developer Documentation for PyPy (an alternative Python 3.x interpreter)
pypy3-lib (7.3.5+dfsg-2+deb11u2)
standard library for PyPy (an alternative Python 3.x interpreter)
pypy3-lib-testsuite (7.3.5+dfsg-2+deb11u2)
standard library test suite for PyPy (an alternative Python 3,x interpreter)
pypy3-tk (7.3.5+dfsg-2+deb11u2)
Tkinter module for PyPy (an alternative Python 3.x interpreter)
pypy37-abi-pp73
本虛擬套件由這些套件填實: pypy3
pyqi (0.3.2+dfsg-7)
Python framework for wrapping general commands in multiple interfaces
pyqso (1.1.0-3)
logging tool for amateur radio operators
pyqt5-dev (5.15.2+dfsg-3)
Development files for PyQt5
pyqt5-dev-tools (5.15.2+dfsg-3)
Development tools for PyQt5
pyqt5-examples (5.15.2+dfsg-3)
Examples and demos for PyQt5
pyqt5.qsci-dev (2.11.6+dfsg-2)
Development files for Python Qscintilla2 (Qt5)
pyqt5chart-dev (5.15.2+dfsg-2)
Development files for PyQtCharts
pyracerz (0.2-9)
multiplayer top view 2D racing game
pyro4 (4.80-1)
distributed object middleware for Python (RPC)
pyro4-doc (4.80-1)
distributed object middleware for Python (RPC), documentation
pyro4-examples (4.80-1)
distributed object middleware for Python (RPC), examples
pyroman (0.6.2-1.1)
Very fast firewall configuration tool
pysassc (0.20.1-3)
SASS for Python: command line utility for libsass
pysatellites (2.6-1)
simulates the launching of satellites
pyscanfcs (0.3.6+ds-2+b2)
scientific tool for perpendicular line scanning FCS
pysdl2-doc (0.9.7+dfsg1-1)
Python bindings to the SDL2 C-library - documentation
pyside2-tools (5.15.2-1)
development tools for PySide2 (lupdate)
pysiogame (4.20.01-1)
educational activity pack for kids
pysolfc (2.6.4-3)
collection of more than 1000 solitaire card games
pysolfc-cardsets (2.0+dfsg2-2.1)
additional card graphics for PysolFC
pyspectral-bin (0.10.4+ds-1)
Reading and manipulaing satellite sensor spectral responses - scripts
pyspf-milter (2.9.2-1+deb11u1)
Modern milter for SPF checking
pysph-doc (1.0~b0~20191115.gite3d5e10-4)
documentation and examples for PySPH
pysph-viewer (1.0~b0~20191115.gite3d5e10-4)
viewer for PySPH - framework for Smoothed Particle Hydrodynamics
pyspread (1.99.5-1)
cross-platform Python spreadsheet application
pysrs-bin (1.0.3-2)
Python SRS (Sender Rewriting Scheme) binaries
pyssim (0.2-2)
Tool computing the Structural Similarity Image Metric (SSIM)
pytest-benchmark (3.2.2-2)
tool for inspecting benchmark data from pytest-benchmark
python (= 2.7.18-2)
本虛擬套件由這些套件填實: python-is-python2
python-abydos-doc (0.5.0+git20201231.344346a-3)
Documentation of Abydos NLP/IR library
python-acme-doc (1.12.0-2+deb11u1)
ACME protocol library for Python 3 - Documentation
python-agate-doc (1.6.1-1)
documentation for agate
python-agatedbf-doc (0.2.0-2)
documentation for agate-dbf
python-agateexcel-doc (0.2.3-1)
documentation for agate-excel
python-agatesql-doc (0.5.5-4)
documentation for agate-sql
python-aioamqp-doc (0.14.0-1)
AMQP implementation using asyncio (Documentation)
python-aiocoap-doc (0.3-3.1)
Python implementation of CoAP (doc)
python-aioftp-doc (0.18.1-1)
FTP client and server for asyncio (common documentation)
python-aiohttp-doc (3.7.4-1)
documentation of python3-aiohttp
python-aiohttp-security-doc (0.4.0-2)
provides identity and authorization for aiohttp.web (documentation)
python-aiohttp-session-doc (2.9.0-2)
provides sessions for aiohttp.web (documentation)
python-aiomysql-doc (0.0.20-2)
library for accessing a MySQL database from the asyncio (common documentation)
python-aiorpcx-doc (0.18.5-1)
generic async RPC implementation, including JSON-RPC (documentation)
python-aiosqlite-doc (0.16.1-3)
sqlite library for Python 3 using asyncio (documentation)
python-all (2.7.18-3)
package depending on all supported Python2 runtime versions
python-all-dbg (2.7.18-3)
package depending on all supported Python2 debugging packages
python-all-dev (2.7.18-3)
package depending on all supported Python2 development packages
python-altgraph-doc (0.17+ds0-1)
Python graph (network) package - API documentation
python-amqp-doc (5.0.3-3)
Low-level AMQP client (Documentation)
python-amqplib-doc (1.0.2-2)
simple non-threaded Python AMQP client library (Documentation)
python-aodhclient-doc (2.1.1-1)
OpenStack Alarming as a Service - client doc
python-apptools-doc (4.5.0-1.1)
ETS Application Tools (doc)
python-apsw-doc (3.34.0-r1-1)
documentation for python-apsw
python-apt-common (2.2.1)
Python interface to libapt-pkg (locales)
python-apt-dev (2.2.1)
Python interface to libapt-pkg (development files)
python-apt-doc (2.2.1)
Python interface to libapt-pkg (API documentation)
python-argon2-doc (18.3.0-2)
Argon2 password hashing library - Python Module Documentation
python-argparse
本虛擬套件由這些套件填實: libpython2.7-stdlib
python-arpeggio-doc (1.10.1-1)
parser interpreter based on PEG grammars (common documentation)
python-arrow-doc (0.17.0-1)
Python library to manipulate dates, times, timestamps: documentation
python-asdf-doc (2.7.2-1)
Python library for the Advanced Scientific Data Format (documentation)
python-ase-doc (3.21.1-2)
Atomic Simulation Environment (common documentation)
python-astroplan-doc (0.7-1)
Observation planning package for astronomers (documentation)
python-astropy-doc (4.2-6)
Core functionality for performing astrophysics with Python (doc)
python-astroquery-doc (0.4.1+dfsg-4)
Python online astronomical database querying (documentation)
python-asyncssh-doc (2.5.0-0.1)
asyncio-based client and server implementation of SSHv2 protocol (doc)
python-attr-doc (20.3.0-1)
documentation for the attrs Python library
python-authlib-doc (0.15.4-1)
Python library for OAuth and OpenID Connect servers (docs)
python-automaton-doc (2.2.0-2)
friendly state machines - Python 3.x
python-azure-doc (20201208+git-6)
Microsoft Azure SDK for Python - Documentation
python-babel-doc (2.8.0+dfsg.1-7)
tools for internationalizing Python applications - documentation
python-babel-localedata (2.8.0+dfsg.1-7)
tools for internationalizing Python applications - locale data files
python-bashate-doc (0.6.0-4)
bash script style guide checker - doc
python-behave-doc (1.2.6-3)
behaviour-driven development, documentation
python-betamax-doc (0.8.1-2)
VCR imitation designed only for python-requests - Documentation
python-bibtexparser-doc (1.1.0+ds-3)
documentation for BibtexParser
python-billiard-doc (3.6.3.0-2)
Multiprocessing Pool Extensions for Python (Documentation)
python-bioblend-doc (0.7.0-3)
CloudMan and Galaxy API library (common documentation)
python-biom-format-doc (2.1.10-1)
documentation for BIOM format
python-biopython-doc (1.78+dfsg-4)
Documentation for the Biopython library
python-bitstring-doc (3.1.7-2)
Python module for manipulation of binary data (documentation)
python-black-doc (20.8b1-4)
uncompromising Python code formatter (common documentation)
python-bleach-doc (3.2.1-2.1)
whitelist-based HTML-sanitizing library (common documentation)
python-blinker-doc (1.4+dfsg1-0.3)
Documentation for the blinker signaling library
python-blosc-doc (1.9.2+ds1-3)
Python bindings for the Blosc meta-compressor (docs)
python-bootstrapform-doc (3.4-3)
generate twitter-bootstrap form output for django form - doc
python-bottle-doc (0.12.19-1+deb11u1)
fast and simple WSGI-framework for Python - documentation
python-bottleneck-doc (1.2.1+ds1-2)
documentation for bottleneck
python-brian-doc (2.4.2-6)
simulator for spiking neural networks - documentation
python-bs4-doc (4.9.3-1)
error-tolerant HTML parser for Python - documentation
python-bsddb3-doc (6.2.9-1)
Documentation for the python Berkeley DB interface module
python-btrees-doc (4.3.1-2)
scalable persistent object containers for Python - documentation
python-build-doc (0.1.0-3)
Simple, correct PEP517 package builder (common documentation)
python-bumps-doc (0.8.0-1)
data fitting and Bayesian uncertainty modeling for inverse problems (docs)
python-cairocffi-doc (1.2.0-1)
cffi-based cairo bindings for Python - Documentation
python-caja-common (1.24.0-3)
Python binding for Caja components (common files)
python-can-doc (3.3.2.final~github-2)
Controller Area Network (CAN) interface module - API documentation
python-cartopy-data (0.18.0+dfsg-2)
cartographic library for Python (package data)
python-case-doc (1.5.3+dfsg-3)
Python unittest Utilities (documentation)
python-castellan-doc (3.6.0-2)
generic key manager interface for OpenStack - doc
python-cbor2-doc (5.2.0-4)
Python implementation of CBOR (common documentation)
python-ceilometerclient-doc (2.9.0-6)
Client library for Openstack Ceilometer API server - doc
python-ceilometermiddleware-doc (2.1.0-2)
OpenStack Telemetry middleware for generating metrics - doc
python-celery-common (5.0.0-3)
async task/job queue - transitional dummy package
python-celery-doc (5.0.0-3)
async task/job queue based on message passing (Documentation)
python-certbot-dns-cloudflare-doc (1.6.0-1)
Documentation for the Cloudflare DNS plugin for Certbot
python-certbot-dns-digitalocean-doc (1.3.0-1)
Documentation for the DigitalOcean DNS plugin for Certbot
python-certbot-dns-dnsimple-doc (1.3.0-1)
Documentation for the DNSimple DNS plugin for Certbot
python-certbot-dns-gehirn-doc (1.3.0-1)
Documentation for the Gehirn DNS plugin for Certbot
python-certbot-dns-google-doc (1.11.0-1)
Documentation for the Google DNS plugin for Certbot
python-certbot-dns-linode-doc (1.7.0-1)
Documentation for the Linode DNS plugin for Certbot
python-certbot-dns-ovh-doc (1.3.0-1)
Documentation for the OVH DNS plugin for Certbot
python-certbot-dns-rfc2136-doc (1.10.1-1)
Documentation for the RFC 2136 DNS plugin for Certbot
python-certbot-dns-route53-doc (1.3.0-1)
Documentation for the Route53 DNS plugin for Certbot
python-certbot-dns-sakuracloud-doc (1.3.0-1)
Documentation for the SakuraCloud DNS plugin for Certbot
python-certbot-doc (1.12.0-2)
client documentation for certbot
python-cffi-doc (1.14.5-1)
Foreign Function Interface for Python calling C code - documentation
python-cheetah-doc (3.2.6-1)
documentation for the Cheetah template engine
python-cherrypy3-doc (8.9.1-8)
Documentation for CherryPy web framework
python-circuits-doc (3.1.0+ds1-2)
event-driven framework with a component architecture (Documentation)
python-clang (1:11.0-51+nmu5)
transitional package to python3-clang
python-clang-9 (1:9.0.1-16.1)
transitional package to python3-clang-9
python-clang-x.y
本虛擬套件由這些套件填實: python3-clang-13, python3-clang-11, python3-clang-9, python3-clang-16
python-click-doc (7.1.2-1)
Wrapper around optparse for command line utilities - documentation
python-cliff-doc (3.4.0-2)
command line interface formulation framework documentation
python-cloudkittyclient-doc (4.1.0-2)
API client for Rating as a Service project - doc
python-cobra-data (0.21.0-1)
constraint-based modeling of biological networks (data)
python-cogent
本虛擬套件由這些套件填實: python3-cogent3
python-cogent-doc (2020.12.21a+dfsg-4+deb11u1)
docs for python3-cogent3
python-collada-doc (0.6-2)
Python module for creating, editing and loading COLLADA - docs
python-commonmark-bkrs-doc (0.5.4+ds-5)
Python parser for the CommonMark Markdown spec -- doc
python-configobj-doc (5.0.6-4)
simple but powerful config file reader and writer (documentation)
python-congressclient-doc (2.0.1-2)
client for the open policy framework for the cloud - doc
python-cotyledon-doc (1.7.3-2)
framework for defining long-running services - doc
python-coverage-doc (5.1+dfsg.1-2)
code coverage tool for Python — documentation
python-cppy-doc (1.1.0-2)
C++ headers for (Python) C extension development (documentation)
python-cryptography-doc (3.3.2-1)
Python library exposing cryptographic recipes and primitives (documentation)
python-cssselect2-doc (0.3.0-1)
implementation of CSS3 Selectors (Documentation)
python-ctypes
本虛擬套件由這些套件填實: python2
python-cursive-doc (0.2.2-4)
OpenStack specific validation of digital signatures - doc
python-cvxopt-doc (1.2.5+dfsg-3)
Python package for convex optimization (documentation)
python-cyborgclient-doc (1.2.1-3)
Python client for cyborg API - doc
python-cycler-doc (0.10.0-3)
composable kwarg iterator (documentation)
python-cymruwhois-doc (1.6-3.2)
python-cymruwhois common documentation
python-cypari2-doc (2.1.2-2)
Python interface to PARI -- documentation
python-cysignals-doc (1.10.2+ds-6)
interrupt and signal handling for Cython -- doc
python-darts.lib.utils.lru-doc (0.5-5)
Simple dictionary with LRU behaviour in Python (common documentation)
python-dask-doc (2021.01.0+dfsg-1)
Minimal task scheduling abstraction documentation
python-dbfread-doc (2.0.7-3)
documentation for dbfread
python-dbus-dev (1.2.16-5)
main loop integration development files for python3-dbus
python-dbus-doc (1.2.16-5)
Documentation for the D-Bus Python interface
python-ddt-doc (1.4.1-2.1)
Data-Driven/Decorated Tests - doc
python-debtcollector-doc (2.2.0-3)
collection of patterns to collect technical debt - doc
python-designateclient-doc (4.1.0-2)
client library for the OpenStack Designate API - doc
python-dev (= 2.7.18-2)
本虛擬套件由這些套件填實: python-dev-is-python2
python-dev-is-python2 (2.7.18-9)
symlinks /usr/bin/python-config to the DEPRECATED python2-config
python-dev-is-python3 (3.9.2-1)
symlinks /usr/bin/python-config to python3-config
python-diaspy-doc (0.6.0-2)
unofficial interface to the Diaspora social network (documentation)
python-dicom-doc
本虛擬套件由這些套件填實: python-pydicom-doc
python-digitalocean-doc (1.13.2-2)
Python bindings for the DigitalOcean API (common documentation)
python-dipy-doc (1.3.0-3)
Python library for the analysis of diffusion MRI datasets -- documentation
python-distribute
本虛擬套件由這些套件填實: python-setuptools
python-distributed-doc (2021.01.0+ds.1-2.1+deb11u1)
Dask Distributed computing documentation
python-django-allauth-doc (0.44.0+ds-1+deb11u1)
Django app for local and social authentication (Documentation)
python-django-appconf-doc (1.0.3-1)
helper class handling configuration defaults of apps - doc
python-django-assets-doc (2.0-1)
integrate webassets into Django applications (Documentation)
python-django-auth-ldap-doc (2.2.0-1)
Django LDAP authentication backend (documentation)
python-django-babel-doc (0.6.2-5)
Utilities for using Babel in Django - doc
python-django-braces-doc (1.14.0-1)
reusable, generic mixins for Django class based views (Documentation)
python-django-casclient-doc (1.5.3-1)
CAS client library for Django (documentation)
python-django-celery-beat-doc (2.1.0-1)
Database-backed Periodic Tasks (Python3 version)
python-django-celery-results-doc (2.0.0-1)
Celery result backends for Django (Documentation)
python-django-channels-doc (3.0.3-1)
Developer-friendly asynchrony for Django (Documentation)
python-django-classy-tags-doc (1.0.0-2)
Class based template tags for Django projects (Documentation)
python-django-common
本虛擬套件由這些套件填實: python3-django
python-django-contact-form-doc (1.4.2-3)
extensible contact-form application for Django (documentation)
python-django-crispy-forms-doc (1.9.2-1)
app for Django providing elegant form rendering (Documentation)
python-django-csp-doc (3.7-1)
Content Security Policy for Django (Documentation)
python-django-debreach-doc (2.0.1-2)
some protection against the BREACH attack in Django - doc
python-django-debug-toolbar-doc (1:3.2.1-1)
Embedded debugging toolbar for Django projects (documentation)
python-django-dirtyfields-doc (1.3.1-2)
package for tracking dirty fields on a Django model instance (documentation)
python-django-doc (2:2.2.28-1~deb11u2)
High-level Python web development framework (documentation)
python-django-etcd-settings-doc (0.1.13+dfsg-3)
config manager for Django apps based on ETCD (Documentation)
python-django-extensions-doc (3.0.3-3)
Useful extensions for Django projects (Documentation)
python-django-extra-views-doc (0.13.0-1)
Complementary class-based generic views for Django (Documentation)
python-django-filters-doc (2.4.0-1)
filter Django QuerySets based on user selections (Documentation)
python-django-formtools-doc (2.2-2)
set of high-level abstractions for Django forms - doc
python-django-guardian-doc (2.0.0-2)
per object permissions of django (documentation)
python-django-haystack-doc (3.0-1)
modular search for Django (Documentation)
python-django-horizon
本虛擬套件由這些套件填實: python3-django-horizon
python-django-imagekit-doc (4.0.2-3+deb11u1)
Automated image processing for Django (Documentation)
python-django-memoize-doc (2.2.0+dfsg-1)
implementation of memoization technique for Django (common documentation)
python-django-modeltranslation-doc (0.16.1-1)
Translate dynamic content of Django models (common documentation)
python-django-mptt-doc (0.11.0-1)
Modified Preorder Tree Traversal Django application (documentation)
python-django-openstack-auth
本虛擬套件由這些套件填實: python3-django-horizon
python-django-otp-doc (1.0.2-1)
pluggable framework for two-factor authentication (Documentation)
python-django-pipeline-doc (1.6.14-3)
Documentation for Django Pipeline library
python-django-polymorphic-doc (3.0.0-1)
Seamless Polymorphic Inheritance for Django Models (Documentation)
python-django-recurrence-doc (1.10.3-1)
Django utility wrapping dateutil.rrule (documentation)
python-django-registration-doc (2.2-5)
User-registration application for Django (Documentation)
python-django-reversion-doc (3.0.8-1)
Provides comprehensive version control facilities for Django (Documentation)
python-django-sekizai-doc (2.0.0-2)
template blocks for Django projects (Documentation)
python-django-sitetree-doc (1.16.0+dfsg-1)
site tree, menu and breadcrumbs navigation for Django (Documentation)
python-django-split-settings-doc (0.3.0-2)
Organize Django settings into multiple files and directories (Documentation)
python-django-storages-doc (1.11-1)
storage backends in Django (Documentation)
python-django-tables2-doc (2.1.1-1)
Table/data-grid framework for Django (Documentation)
python-django-treebeard-doc (4.3.1+dfsg-1)
Efficient implementations of tree data structures for Django (documentation)
python-django-uwsgi-doc (0.2.2-2)
uWSGI related tools for Django (Documentation)
python-django-waffle-doc (2.0.0-1)
feature flipper for Django (Documentation)
python-djangorestframework-api-key-doc (2.0.0-2)
API key permissions for Django REST Framework (documentation)
python-djangorestframework-doc (3.12.1-1)
Web APIs for Django, made easy (documentation)
python-djvu-doc (0.8.4-3)
Python support for the DjVu image format (documentation)
python-dmidecode-data (3.12.2-11)
Python extension module for dmidecode (debug) - Data
python-doc8-doc (0.8.0-4)
style checker for Sphinx (or other) RST documentation - doc
python-dogpile.cache-doc (1.0.2-2)
caching front-end based on the Dogpile lock - doc
python-doit-doc (0.31.1-3.3)
Automation tool for executing any kind of task in a build-tools fashion - doc
python-dracclient-doc (3.1.0-3)
Library for managing machines with Dell iDRAC cards - doc
python-drizzle-doc (1.13.1-2)
Dithered image combination for Python (API documentation)
python-drizzle-testdata (1.13.1-2)
Dithered image combination for Python (Test data)
python-dtcwt-doc (0.12.0-2)
documentation for dtcwt
python-dugong-doc (3.8.1+dfsg-1)
HTTP 1.1 client module for Python (documentation)
python-duniterpy-doc (0.60.1-1)
Duniter Python API - documentation
python-elasticsearch-curator-doc (5.8.1-1)
Python library for managing Elasticsearch time-series indices (documentation)
python-elasticsearch-doc (7.1.0-3)
Python client for Elasticsearch (Documentation)
python-email
本虛擬套件由這些套件填實: python2
python-empy-doc (3.3.2-5.1)
documentation for python-empy
python-enmerkar-doc (0.7.1-3)
Utilities for using Babel in Django - doc
python-enum34-doc (1.1.6-4)
backport of Python 3.4's enum package (documentation)
python-epr-doc (1.0.1-1)
Python ENVISAT Product Reader API (common documentation)
python-escript-doc (5.6-3)
Documentation for Escript/Finley
python-etcd3-doc (0.12.0-2)
client for the etcd3 API - doc
python-etcd3gw-doc (0.2.5-1)
client for etcd3 grpc-gateway v3 API - doc
python-evdev-doc (1.4.0+dfsg-1+b1)
Python bindings for the Linux input subsystem (docs)
python-eventlet-doc (0.26.1-7+deb11u1)
concurrent networking library - doc
python-ewmh-doc (0.1.6-2)
Python interface to EWMH-compliant window managers (common documentation)
python-ezdxf-doc (0.14.2-3)
python module to create and modify DXF drawings (documentation)
python-fabio-doc (0.11.0+dfsg-3)
I/O library for images produced by 2D X-ray detector - documentation
python-factory-boy-doc (2.11.1-3)
test fixtures replacement based on factory_girl for Ruby - doc
python-fastchunking-doc (0.0.3-2)
Fast text chunking algorithms for Python (common documentation)
python-fedora-doc (1.1.1-1)
Python modules for interacting with Fedora Services (common documentation)
python-ffcx-doc (2019.2.0~git20210122.22ca4c0-2)
next-gen compiler for finite element variational forms (docs)
python-fiat-doc (2019.2.0~git20210116.0439689-2)
tabulation of finite element function spaces (docs)
python-fisx-common (1.2.0-1)
Quantitative X-Ray Fluorescence Analysis Support Library - (Common)
python-fitbit-doc (0.3.1-2)
FitBit REST API Client Implementation - Documentation
python-flask-api-common (1.1+dfsg-1.1)
Browsable web APIs for the Flask micro web framework - static resources
python-flask-autoindex-doc (0.6.6-2)
automatically generated index pages for Flask applications (documentation)
python-flask-babel-doc (0.12.2-2)
internationalization and localization support for Flask (documentation)
python-flask-bcrypt-doc (0.7.1-2)
Flask extension that provides bcrypt hashing utilities (doc)
python-flask-doc (1.1.2-2+deb11u1)
micro web framework based on Werkzeug and Jinja2 - documentation
python-flask-flatpages-doc (0.6-1.1)
Provides flat static pages to a Flask application (doc)
python-flask-httpauth-doc (3.2.4-3.1)
Basic and Digest HTTP authentication for Flask (documentation)
python-flask-login-doc (0.5.0-1)
user session management for Flask -- documentation
python-flask-openid-doc (1.2.5+dfsg-4)
OpenID support for Flask applications (documentation)
python-flask-peewee-doc (0.6.7-2)
Documentation for python-flask-peewee
python-flask-restful-doc (0.3.8-5)
REST API framework for Flask applications (Documentation)
python-flask-silk-doc (0.2-18)
FamFamFam Silk icon set for Flask applications (documentation)
python-flask-testing-doc (0.8.1-1)
documentation for the Flask-Testing Python library - documentation
python-flaskext.wtf-doc (0.14.3-1)
Simple integration of Flask and WTForms (doc)
python-flufl.bounce-doc (3.0.1-1)
email bounce detectors (common documentation)
python-flufl.enum-doc (4.1.1-3)
yet another Python enumeration package (common documentation)
python-flufl.i18n-doc (3.0.1-1)
high level API for Python internationalization (common documentation)
python-flufl.lock-doc (5.0.1-1)
NFS-safe file-based lock with timeouts (common documentation)
python-flufl.password-doc (1.3-3)
password hashing and verification (common documentation)
python-fluids-doc (0.1.78-3)
Python fluid dynamics and engineering design library documentation
python-fontparts-doc (0.9.6-1)
API for interacting with the parts of fonts (common documentation)
python-fonttools-doc (4.19.1-1)
Converts OpenType and TrueType fonts to and from XML (Documentation)
python-fpylll-doc (0.5.2+ds1-3)
Python wrapper for LLL-reduction of Euclidean lattices -- doc
python-freezerclient-doc (4.0.0-2)
OpenStack backup restore and disaster recovery service - doc files
python-fsspec-doc (0.8.4-1)
specification that Python filesystems should adhere to (documentation)
python-fudge-doc (1.1.0-2)
Python module for using fake objects for tests, documentation package
python-funcsigs-doc (1.0.2-5)
function signatures from PEP362 - doc
python-future-doc (0.18.2-5)
Clean single-source support for Python 3 and 2 - doc
python-futurist-doc (2.3.0-2)
useful additions to futures, from the future - doc
python-gabbi-doc (2.0.1-1)
declarative HTTP testing library - doc
python-gbulb-doc (0.6.1-0.1)
PEP 3156 event loop based on GLib (common documentation)
python-gccjit-doc (0.4-11)
Python bindings for libgccjit (documentation)
python-gdbm (2.7.18-1)
GNU dbm database support for Python2
python-gdbm-dbg (2.7.18-1)
GNU dbm database support for Python2 (debug extension)
python-genshi-doc (0.7.5-1)
Python XML-based template engine (documentation and examples)
python-geoalchemy2-doc (0.8.4-3)
SQLAlchemy extension for spatial databases using PostGIS (Documentation)
python-getdns-doc (1.0.0~b1-2)
modern asynchronous DNS API (documentation)
python-gevent-doc (20.9.0-2)
gevent is a coroutine-based Python networking library - documentation
python-gi-dev (3.38.0-2)
development headers for GObject Python bindings
python-git-doc (3.1.14-1)
Python library to interact with Git repositories - docs
python-gitlab-doc (1:2.5.0-1)
Python 3 GitLab API client library - documentation
python-glance-store-doc (2.3.0-4)
OpenStack Image Service store library - doc
python-glanceclient-doc (1:3.2.2-2)
Client library for Openstack glance server - doc
python-glareclient-doc (0.5.3-6)
client library for the OpenStack GLare Artifact REpository API - doc
python-gmpy2-common (2.1.0~b5-0.1)
common files for python3-gmpy2
python-gmpy2-doc (2.1.0~b5-0.1)
documentation for python-gmpy2
python-gnocchiclient-doc (7.0.6-1)
bindings to the OpenStack Gnocchi API - doc
python-gphoto2-doc (1.9.0-1)
Python interface to libgphoto2 (common documentation)
python-gpyfft-doc (0.7.0-2)
Wrapper for the OpenCL FFT library clFFT (common documentation)
python-greenlet-dev (0.4.17-1+b1)
Lightweight in-process concurrent programming - development files
python-greenlet-doc (0.4.17-1)
Lightweight in-process concurrent programming - documentation
python-grib-doc (2.1.3-1)
Documentation and examples for Python GRIB
python-gsd-doc (2.4.0-1)
native file format for HOOMD-blue (documentation)
python-gtkspellcheck-doc (4.0.5-2)
Python GTK+ Spellcheck common documentation
python-guidata-doc (1.7.9-1)
dataset manipulation GUI generator - Documentation
python-guiqwt-doc (3.0.5-1)
efficient 2D data-plotting library - Documentation
python-guizero-doc (1.1.1+dfsg1-3)
module to allow quick and easy GUI creation (documentation)
python-gwcs-doc (0.16.1-1)
Tools for managing the WCS of astronomical data (documentation)
python-h5py-doc (2.10.0-9)
documentation for h5py
python-hacking-doc (1.1.0-4)
Flake8 OpenStack Hacking Guidelines Enforcement plugins - doc
python-healpy-data
本虛擬套件由這些套件填實: healpy-data
python-hiera-doc (0.0.1+20190629-2)
Documentation of the Python interface for the hiera hierarchical database
python-hiro-doc (0.5-2)
time manipulation utilities for Python - documentation
python-hl7
本虛擬套件由這些套件填實: python3-hl7
python-hpilo-doc (4.3-3)
HP iLO XML interface access from Python - Documentation
python-hplefthandclient-doc (1.0.1-3)
HP LeftHand/StoreVirtual HTTP REST Client - doc
python-hsi
本虛擬套件由這些套件填實: hugin-tools
python-htseq
本虛擬套件由這些套件填實: python3-htseq
python-humanfriendly-doc (9.1-1)
Python3 library to make user friendly text interfaces - Documentation
python-hypothesis-doc (5.43.3-1)
advanced Quickcheck style testing library (documentation)
python-icalendar-doc (4.0.3-4)
parser/generator of iCalendar files for use with Python -- docs
python-icinga2
本虛擬套件由這些套件填實: icinga2-common
python-ilorest-doc (3.1.1+ds-1)
Documentation of RESTful API for HPE iLO and HPE Chassis Manager
python-imageio-doc (2.4.1-3)
documentation for imageio
python-imexam-doc (0.9.1-1)
Simple interactive astronomical image examination and plotting (Doc)
python-importlib
本虛擬套件由這些套件填實: python2
python-iptables-doc (1.0.0-1)
documentation for the python-iptables library
python-ipyparallel-doc (6.3.0-2)
Interactive Parallel Computing with IPython (documentation)
python-ipython-doc (7.20.0-1+deb11u1)
Enhanced interactive Python shell (documentation)
python-ipywidgets-doc (6.0.0-8)
Interactive widgets for the Jupyter notebook (documentation)
python-ironicclient-doc (4.4.0-1)
Client for OpenStack bare metal Service - doc
python-is-python2 (2.7.18-9)
symlinks /usr/bin/python to the DEPRECATED python2
python-is-python3 (3.9.2-1)
symlinks /usr/bin/python to python3
python-itango-doc (0.1.7-2)
Interactive Tango client - documentation
python-itsdangerous-doc (1.1.0-3)
Various helpers to pass trusted data to untrusted environment - doc
python-jellyfish-doc (0.8.2-1)
Library for approximate and phonetic matching of strings (documentation)
python-jenkins-doc (0.4.16-2)
bindings for the Jenkins Remote API - doc
python-jieba-doc (0.42.1-2)
Jieba Chinese text segmenter (common documentation)
python-jinja2 (2.11.3-1)
small but fast and easy to use stand-alone template engine
python-jinja2-doc (2.11.3-1)
documentation for the Jinja2 Python library
python-jira-doc (3.0-1)
JIRA Python library (common documentation)
python-josepy-doc (1.2.0-2)
JOSE implementation for Python - Documentation
python-jpylyzer-doc (2.0.0-3)
JP2 (JPEG 2000 Part 1) validator and properties extractor - doc
python-json-pointer-doc (2.0-2)
resolve JSON pointers - doc
python-jsonpath-rw-ext-doc (1.2.2-2)
Extensions for JSONPath RW - doc
python-jsonpickle-doc (1.2-1)
Python library for serializing object graphs into JSON (documentation)
python-jsonrpc-doc (1.13.0-1)
documentation for json-rpc
python-jsonschema-doc (3.2.0-3)
An(other) implementation of JSON Schema (Draft 3 and 4) - doc
python-jupyter-client-doc (6.1.11-2)
Jupyter protocol client APIs (documentation)
python-jupyter-console-doc (6.2.0-1)
Jupyter terminal client (documentation)
python-jupyter-core-doc (4.7.1-1+deb11u1)
Core common functionality of Jupyter projects (documentation)
python-k8sclient-doc (0.3.0-4)
Kubernetes API Python client code - doc
python-kafka-doc (2.0.1-2)
Pure Python client for Apache Kafka - doc
python-kajiki-doc (0.8.2-1)
Really fast well-formed xml templates - doc
python-karborclient-doc (2.1.0-2)
karbor API client - doc
python-keystoneauth1-doc (4.2.1-2)
authentication library for OpenStack Identity - doc
python-keystoneclient-doc (1:4.1.1-2)
client library for the OpenStack Keystone API - doc
python-keystonemiddleware-doc (9.1.0-2)
Middleware for OpenStack Identity (Keystone) - doc
python-kitchen-doc (1.2.6-2)
Python library of containers, text and i18n helpers (common documentation)
python-kivy-examples (1.11.0-2)
Kivy - Multimedia / Multitouch framework in Python (examples)
python-kombu-doc (5.0.2-3)
AMQP Messaging Framework for Python (Documentation)
python-landslide (1.1.3+0)
Lightweight markup language-based html5 slideshow generator (compatibility package)
python-lark-doc (0.10.0-1)
Parsing library for Python (common documentation)
python-latexcodec-doc (2.0.1-1)
LaTeX lexer and codec library for Python (docs)
python-lazr.config-doc (2.2.3-1)
ini-file format handling supporting schemas and inheritance (documentation)
python-lazr.delegates-doc (2.0.3-2)
easily write objects that delegate behavior (documentation)
python-lazr.smtptest-doc (2.0.3-2)
framework for testing SMTP-based applications and libraries
python-leather-doc (0.3.3-1.1)
documentation for leather
python-libcomps-doc (0.1.15-4)
Python 2 and 3 bindings for the libcomps library (common documentation)
python-libdiscid-doc (1.2-1)
libdiscid binding for Python (documentation)
python-libevdev-doc (0.5-3)
Python wrapper for libevdev (common documentation)
python-liblo-docs (0.10.0-4)
Python bindings for liblo, the lightweight OSC library
python-libnmap-doc (0.7.2-1)
Python NMAP Library (common documentation)
python-librdf (1.0.17.1+dfsg-1.4+b1)
Python language bindings for the Redland RDF library
python-libvoikko
本虛擬套件由這些套件填實: python3-libvoikko
python-link-grammar-examples (5.8.1-1)
CMU's link grammar parser (Python examples)
python-listparser-doc (0.18-2)
Parse OPML, RDF+FOAF, and iGoogle subscription lists (documentation)
python-livereload-doc (2.6.3-2)
automatic browser refresher (documentation)
python-lldb (1:11.0-51+nmu5)
transitional package to python3-lldb
python-llfuse-doc (1.3.8+dfsg-2)
Python bindings for the low-level FUSE API (documentation)
python-lmfit-doc (1.0.1-6)
Least-Squares Minimization with Constraints (Documentation)
python-lockfile-doc (1:0.12.2-2.2)
file locking library for Python — documentation
python-logbook-doc (1.5.3-4)
logging system for Python that replaces the standard library's module (doc)
python-logutils-doc (0.3.3-7)
handlers for the Python standard library's logging package - docs
python-logzero-doc (1.6.3-1)
robust and effective logging for Python (common documentation)
python-lxml-doc (4.6.3+dfsg-0.1+deb11u1)
pythonic binding for the libxml2 and libxslt libraries (documentation)
python-ly
本虛擬套件由這些套件填實: python3-ly
python-ly-doc (0.9.6-1)
Tool and library for manipulating LilyPond files (documentation)
python-m2crypto-doc (0.37.1-2)
Python wrapper for the OpenSSL library (docs)
python-m2r-doc (0.2.1-5)
Markdown and reStructuredText in a single file - documentation
python-macholib-doc (1.14+ds0-1)
module for Mach-O header analysis and editing (API documentation)
python-magnumclient-doc (3.2.1-2)
client library for Magnum API - doc
python-mako-doc (1.1.3+ds1-2)
documentation for the Mako Python library
python-mando-doc (0.6.4-5)
command line argument parser for python3 (common documentation)
python-manilaclient-doc (2.3.0-3)
OpenStack shared file system as a service - client doc
python-markdown-doc (3.3.4-1)
text-to-HTML conversion library/tool (documentation)
python-markupsafe (1.1.1-1+b3)
HTML/XHTML/XML string library for Python
python-markupsafe-dbg (1.1.1-1+b3)
HTML/XHTML/XML string library for Python - debug version
python-matplotlib-data (3.3.4-1)
Python based plotting system (data package)
python-matplotlib-doc (3.3.4-1)
Python based plotting system (documentation package)
python-maxminddb-doc (2.0.3-1)
Python module for reading the MaxMind DB format (documentation)
python-mbed-host-tests-doc (1.4.4-5)
module and utilities to flash, reset and test Mbed Enabled devices (docs)
python-mbed-ls-doc (1.6.2+dfsg-6)
mbed-ls library documentation
python-mdtraj-doc (1.9.5-1)
Read, write and analyze MD trajectories in Python (documentation)
python-measurement-doc (2.0.1-2)
unit-aware measurement objects (Documentation)
python-mecavideo
本虛擬套件由這些套件填實: python3-mecavideo
python-meshplex-doc (0.15.13-1)
fast tools for simplex meshes (documentation)
python-metakernel-doc (0.27.5-1)
Jupyter kernel base class (documentation)
python-microversion-parse-doc (1.0.1-2)
OpenStack microversion header parsing library - doc
python-midiutil-doc (1.2.1-4)
Python library to write multi-track MIDI files - documentation
python-migrate-doc (0.13.0-2)
Database schema migration for SQLAlchemy - doc
python-milter-doc (1.0.4-2)
Documentation for the Python Milter extension
python-mistral-lib-doc (2.3.0-2)
Mistral shared routings and utilities - doc
python-mlpy-doc (3.5.0+ds-1.2)
documentation and examples for mlpy
python-mock-doc (4.0.3-1)
Mocking and Testing Library (Documentation)
python-mockldap-doc (0.3.0-4)
simple mock implementation of python-ldap (Documentation)
python-mongoengine-doc (0.21.0-1)
Python Document-Object Mapper for working with MongoDB (documentation)
python-morris-doc (1.2-2)
documentation for the Python morris module
python-mpd-doc (3.0.3-1)
Python MPD client library (documentation)
python-mpi4py-doc (3.0.3-8)
bindings of the MPI standard -- documentation
python-mpltoolkits.basemap-data (1.2.2+dfsg-1)
matplotlib toolkit to plot on map projections (data package)
python-mpltoolkits.basemap-doc (1.2.2+dfsg-1)
matplotlib toolkit to plot on map projections (documentation)
python-mpmath-doc (1.2.1-1)
library for arbitrary-precision floating-point arithmetic - Documentation
python-multipledispatch-doc (0.6.0-2)
documentation for multipledispatch
python-multipletau-doc (0.3.3+ds-3)
documentation for multipletau Python module
python-murano-pkg-check-doc (0.3.0-7)
murano package validator tool - doc
python-muranoclient-doc (2.1.1-2)
cloud-ready application catalog - client doc
python-musicbrainzngs-doc (0.7.1-2)
Documentation for the Python Musicbrainz NGS interface modules
python-mutagen-doc (1.45.1-2)
audio metadata editing library - documentation
python-nacl-doc (1.4.0-1)
Python bindings to libsodium (documentation)
python-natsort-doc (7.1.0-1)
Natural sorting for Python (doc)
python-nbclient-doc (0.5.2-1)
Client to execute jupyter notebooks (documentation)
python-nbconvert-doc (5.6.1-3)
Jupyter notebook conversion (documentation)
python-nbformat-doc (5.1.2-1)
Jupyter notebook format (documentation)
python-nbsphinx-doc (0.8.0+ds-1)
Jupyter Notebook Tools for Sphinx -- doc
python-nbxmpp-doc (2.0.2-1+deb11u1)
Non blocking Jabber/XMPP Python library, documentation
python-ncclient-doc (0.6.3-1)
Documentation for python-ncclient (Python library for NETCONF clients)
python-nemo
本虛擬套件由這些套件填實: nemo-python
python-networkx-doc (2.5+ds-2)
tool to create, manipulate and study complex networks - documentation
python-neutron-lib-doc (2.6.1-2)
Neutron shared routines and utilities - doc
python-nibabel-doc (3.2.1-2)
documentation for NiBabel
python-nipype-doc (1.6.0-2)
Neuroimaging data analysis pipelines in Python3 -- documentation
python-nitime-doc (0.9-1)
timeseries analysis for neuroscience data (nitime) -- documentation
python-nose-doc (1.3.7-7)
documentation for discovery and running for Python's unittest
python-nose2-doc (0.9.2-1)
Next generation of nicer testing for Python2/3 (documentation)
python-notebook-doc (6.2.0-1)
Jupyter interactive notebook (documentation)
python-novaclient-doc (2:17.2.1-3)
client library for OpenStack Compute API - doc
python-nox-doc (2019.5.30-2)
Flexible test automation (Documentation)
python-numpy-doc (1:1.19.5-1)
NumPy documentation
python-nxs-doc (4.4.1-3)
NeXus scientific data file format - documentation
python-objgraph-doc (3.5.0-1)
Module for exploring Python object reference graphs (Documentation)
python-octavia-lib-doc (2.2.0-2)
library to support Octavia provider drivers - doc
python-octaviaclient-doc (2.2.0-2)
Octavia client for OpenStack Load Balancing - doc
python-odf-doc (1.4.1-1)
documentation and examples for python-odf and python3-odf
python-odf-tools (1.4.1-1)
Python tools to manipulate OpenDocument files
python-odoorpc-doc (0.7.0-3)
pilot Odoo servers through RPC (documentation)
python-opcodes-doc (0.0~git20180424.6e2b0cd-3)
Database of Processor Instructions/Opcodes (common documentation)
python-opcua-tools (0.98.11-1)
Pure Python OPC-UA Client and Server (tools)
python-openslide-examples (1.1.2-1)
Python examples for python-openslide and python3-openslide
python-openssl-doc (20.0.1-1)
Python wrapper around the OpenSSL library (documentation package)
python-openstackclient-doc (5.4.0-4)
OpenStack Command-line Client - doc
python-openstacksdk-doc (0.50.0-6)
SDK for building applications to work with OpenStack - doc
python-opentracing-doc (2.4.0-1)
opentracing interface for Python - documentation
python-os-api-ref-common (1.6.2+dfsg1-1)
Sphinx Extensions to support API reference sites in OpenStack - common
python-os-brick-doc (4.0.1-2)
Library for managing local volume attaches - doc
python-os-client-config-doc (2.1.0-1)
OpenStack client configuration library - doc
python-os-faults-doc (0.2.1-3)
OpenStack fault-injection library - doc
python-os-ken-doc (1.2.0-2)
component-based software defined networking framework for OpenStack - doc
python-os-resource-classes-doc (1.0.0-2)
Resource Classes for OpenStack - doc
python-os-service-types-doc (1.7.0-2)
lib for consuming OpenStack sevice-types-authority data - doc
python-os-testr-doc (1.1.0-3)
Utility wrappers for testrepository for OpenStack projects - doc
python-os-traits-doc (2.4.0-2)
standardized trait strings - doc
python-os-vif-doc (2.2.0-2)
Integration library between network and compute - doc
python-os-win-doc (5.2.0-1)
Windows / Hyper-V library for OpenStack projects - doc
python-os-xenapi-doc (0.3.4-4)
XenAPI library for OpenStack projects - doc
python-osc-lib-doc (2.2.1-2)
OpenStackClient Library - doc
python-osc-placement-doc (2.1.0-2)
OpenStackClient plugin for the Placement service - doc
python-oslo.cache-doc (2.6.1-2)
cache storage for Openstack projects - doc
python-oslo.concurrency-doc (4.3.1-1)
oslo.concurrency library - doc
python-oslo.config-doc (1:8.3.3-1)
Common code for Openstack Projects (configuration API) - doc
python-oslo.context-doc (3.1.1-2)
WSGI context helpers for OpenStack - doc
python-oslo.db-doc (8.4.0-2)
database connectivity to the different backends and helper utils - doc
python-oslo.i18n-doc (5.0.1-2)
Oslo Internationalization Utilities - doc
python-oslo.log-doc (4.4.0-2)
OpenStack logging configuration library - doc
python-oslo.middleware-doc (4.1.1-2)
various WSGI middleware components for OpenStack - doc
python-oslo.policy-doc (3.5.0-2)
RBAC policy enforcement library for OpenStack - doc
python-oslo.privsep-doc (2.4.0-2)
OpenStack library for privilege separation - doc
python-oslo.reports-doc (2.2.0-2)
reports serialized in various data types - doc
python-oslo.serialization-doc (4.0.2-1)
utilities for serialization , especially JSON - doc
python-oslo.service-doc (2.4.0-2)
library for running OpenStack services - doc
python-oslo.upgradecheck-doc (1.1.1-2)
Common code for writing OpenStack upgrade checks - doc
python-oslo.utils-doc (4.6.0-2)
Oslo Utility library - doc
python-oslo.versionedobjects-doc (2.3.0-2)
deals with DB schema versions and code expectations - doc
python-oslo.vmware-doc (3.7.0-2)
VMware library for OpenStack projects - doc
python-oslosphinx-common (4.18.0-5)
theme and extension support for openstack - common
python-oslotest-doc (1:4.4.1-2)
OpenStack test framework - doc
python-osmnx-doc (1.0.1+ds-1)
tool to study street networks from OpenStreetMap -- doc
python-osprofiler-doc (3.4.0-3)
OpenStack Profiler Library - doc
python-ovn-octavia-provider-doc (0.3.1-1)
OpenStack Octavia integration with OVN - doc
python-ovsdbapp-doc (1.6.0-1)
library for creating OVSDB applications - doc
python-padme-doc (1.1.1-3)
mostly transparent proxy class for Python (documentation)
python-pairix-examples (0.3.7-3)
1D/2D indexing and querying with a pair of genomic coordinates (examples)
python-pamqp-doc (2.3.0-2)
RabbitMQ Focused AMQP low-level library (Documentation)
python-pandas-doc (1.1.5+dfsg-2)
data structures for "relational" or "labeled" data - documentation
python-pankoclient-doc (1.1.0-2)
Client library for OpenStack panko server - doc
python-panoramisk-doc (1.0-1.2)
asyncio based library to play with asterisk (doc)
python-parfive-doc (1.2.0-1)
documentation for the parfive Python library
python-parso-doc (0.8.1-1)
documentation for the parso Python library
python-parted-doc (3.11.7-0.1)
Python 3 interface for libparted (common documentation)
python-paste-doc (3.5.0+dfsg1-1)
tools for using a Web Server Gateway Interface stack - documentation
python-pastedeploy-tpl (2.1.1-1)
load, configure, and compose WSGI applications and servers - templates
python-pastescript-doc (2.0.2-4)
create file layouts for packages and serve webapps - documentation
python-patsy-doc (0.5.1-3)
documentation and examples for patsy
python-pbcore
本虛擬套件由這些套件填實: python3-pbcore
python-pbcore-doc (1.7.1+git20200430.a127b1e+dfsg-1)
Python library for processing PacBio data files (documentation)
python-pbr-doc (5.5.0-2)
inject useful and sensible default behaviors into setuptools - doc
python-pecan-doc (1.3.3-3)
WSGI object-dispatching web framework
python-peewee-doc (3.14.1+dfsg-1)
Documentation pyhton peewee simple ORM
python-pelican (4.0.1+dfsg-1.1)
transitional dummy package
python-periodictable-doc (1.5.3-1)
Extensible periodic table of the elements (common documentation)
python-periphery-doc (2.1.0-1)
Peripheral I/O (Documentation)
python-persistent-doc (4.6.4-0.2)
Automatic persistence for Python objects - documentation
python-petsc4py-doc (3.14.1-1)
Python bindings for PETSc libraries: documentation and examples
python-pex-doc (1.1.14-3.1)
library for generating Python executable zip files
python-pexpect-doc (4.8.0-2)
Python module for automating interactive applications (documentation)
python-pg8000-doc (1.10.6-2)
Pure-Python PostgreSQL Driver (documentation)
python-pika-doc (0.11.0-5)
AMQP client library documentation
python-pil-doc (8.1.2+dfsg-0.3+deb11u1)
Examples for the Python Imaging Library
python-pint-doc (0.16.1-1)
define, operate and manipulate physical quantities - doc
python-pip-whl (20.3.4-4+deb11u1)
Python package installer (pip wheels)
python-pkg-resources (44.1.1-1)
Package Discovery and Resource Access using pkg_resources
python-pkginfo-doc (1.4.2-3)
Python module to query metadata from packages documentation
python-plaster-doc (1.0-2)
Python multi-format configuration file loader interface - Documentation
python-plastex-doc (2.1-2)
LaTeX document processing framework in Python - documentation
python-ply (3.11-4)
Lex and Yacc implementation for Python2
python-ply-doc (3.11-4)
Lex and Yacc implementation for Python (documentation)
python-ply-lex-3.10
本虛擬套件由這些套件填實: python-ply
python-ply-yacc-3.10
本虛擬套件由這些套件填實: python-ply
python-pmemkv-doc (1.0-2)
key:value data store for persistent memory (documentation)
python-podcastparser-doc (0.6.5-1)
Simplified, fast RSS parsing library (common documentation)
python-polib-doc (1.1.0-7)
Python library to parse and manage gettext catalogs (documentation)
python-pomegranate-doc (0.13.5-1)
documentation accompanying probabilistic modelling library
python-ppl-doc (0.8.7-1)
Python interface to PPL -- documentation
python-profiler
本虛擬套件由這些套件填實: python2
python-proliantutils-doc (2.10.0-2)
client lib interfacing various devices in HP Proliant Servers - doc
python-prov-doc (1.5.2-2)
documentation for prov
python-pskc-doc (1.1-3)
Python module for handling PSKC files (Documentation)
python-psutil-doc (5.8.0-1)
module providing convenience functions for managing processes (doc)
python-psycopg2-doc (2.8.6-2)
Python module for PostgreSQL (documentation package)
python-ptk-doc (1.3.8+dfsg-1)
parser for Python with support for asynchronous input (documentation)
python-py-stringmatching-doc (0.4.2+git20201204.6a7fb57-2)
Library of string tokenizers and similarity measures (docs)
python-py7zr-doc (0.11.3+dfsg-1+deb11u1) [security]
pure Python 7-zip library (documentation)
python-pyasn1-doc (0.4.8-1)
ASN.1 library for Python (documentation)
python-pyaudio-doc (0.2.11-1.3)
Documentation for Python bindings for PortAudio v19
python-pybedtools-doc (0.8.0-5)
Documentation for pybedtools library
python-pybindgen-doc (0.20.0+dfsg1-2)
Python bindings generator (common documentation)
python-pybtex-doc (0.23.0-1)
documentation for pybtex
python-pybtex-docutils-doc (0.2.1-1.1)
documentation for pybtex-docutils
python-pycares-doc (3.1.1-1)
Python interface for c-ares (common documentation)
python-pycoast-doc (1.4.0+dfsg-1)
Draw coastlines, borders and rivers on images (common documentation)
python-pycparser (2.20-3)
C parser in Python
python-pycryptodome-doc (3.9.7+dfsg1-1)
cryptographic Python library (documentation)
python-pycuda-doc (2020.1~dfsg1-1) [contrib]
module to access Nvidia‘s CUDA computation API (documentation)
python-pycurl-doc (7.43.0.6-5)
Python bindings to libcurl (documentation)
python-pydbus-doc (0.6.0-4)
Pythonic D-Bus library (common documentation)
python-pydicom-doc (2.0.0-1)
DICOM medical file reading and writing (documentation)
python-pydispatch-doc (2.0.5-2)
documentation for python3-pydispatch
python-pydl-doc (1.0.0~rc1-2)
Library of IDL astronomy routines converted to Python (doc)
python-pydotplus-doc (2.0.2-3)
interface to Graphviz's Dot language - doc
python-pyeapi-doc (0.8.1-2)
Python API to interact with EOS network devices - docs
python-pyepsg-doc (0.3.2-2)
documentation for pyepsg
python-pyfai-doc (0.20.0+dfsg1-3)
Fast Azimuthal Integration scripts - Documentation
python-pyfaidx-examples (0.5.9.2-1)
example data for efficient random access to fasta subsequences for Python
python-pyfftw-doc (0.12.0-1)
Pythonic wrapper around FFTW - docs
python-pyftpdlib-doc (1.5.4-2)
documentation for Python FTP server library
python-pygame-doc (1.9.6+dfsg-4)
SDL bindings for games development in Python (documentation)
python-pygccxml-doc (1.9.1-3)
specialized XML reader reads the output from gccxml - documentation
python-pygit2-doc (1.4.0+dfsg1-1)
bindings for libgit2 - doc
python-pygments-doc (2.7.1+dfsg-2.1)
documentation for the Pygments
python-pygmsh-doc (7.1.8-1)
combine the power of Gmsh with the versatility of Python (docs)
python-pygraphviz-doc (1.7-2)
Python interface to the Graphviz graph layout and visualization package (doc)
python-pygresql-doc (1:5.1.2-1)
Python Pygresql (common documentation)
python-pygtrie-doc (2.2-1.1)
Python library implementing a trie data structure (common documentation)
python-pyinotify-doc (0.9.6-1.3)
simple Linux inotify Python bindings -- documentation
python-pylibacl-doc (0.6.0-1)
module for manipulating POSIX.1e ACLs (documentation)
python-pylibmc-doc (1.5.2-3)
libmemcached wrapper (Documentation)
python-pylibsrtp-doc (0.6.1-2)
Python wrapper around libsrtp (documentation)
python-pyluach-doc (1.2.1-1)
Hebrew dates and Hebrew-Gregorian conversions (Documentation)
python-pylxd-doc (2.2.10-1)
Python library for interacting with LXD REST API - doc
python-pymeasure-doc (0.9.0-1)
documentation for PyMeasure
python-pymediainfo-doc (5.0.3-1)
documentation for the pymediainfo Python library
python-pymodbus-doc (2.1.0+dfsg-2)
full Modbus protocol implementation, documentation
python-pymongo-doc (3.11.0-1)
Python interface to the MongoDB document-oriented database (documentation)
python-pymysql-doc (0.9.3-2)
Pure-Python MySQL driver - doc
python-pymzml-doc (2.4.7-3)
mzML mass spectrometric data parsing - documentation
python-pynfft-doc (1.3.2-3)
Python bindings for the NFFT3 library - Documentation
python-pyopencl-doc (2021.1.2-1)
module to access OpenCL parallel computation API (documentation)
python-pyorbital-doc (1.6.0-3)
Orbital and astronomy computations in Python (documentation)
python-pyparsing-doc (2.4.7-1)
alternative to creating and executing simple grammars - doc
python-pypump-doc (0.7-3)
interface to the pump.io APIs (Common Documentation)
python-pyqt5.qwt-doc (1.02.02-2)
Python Qwt6 technical widget library, documentation and examples
python-pyqtgraph-doc (0.11.1-1)
Scientific Graphics and GUI Library for Python (common documentation)
python-pyramid-chameleon-doc (0.3-4)
Chameleon templating support for the Pyramid framework - Documentation
python-pyramid-jinja2-doc (2.7+dfsg-1.2)
Jinja2 template bindings for the Pyramid (common documentation)
python-pyregion-doc (2.0-11)
Python module to parse ds9 region files (documentation)
python-pyresample-doc (1.17.0+ds-1)
Resampling of remote sensing data in Python (documentation)
python-pyresample-test (1.17.0+ds-1)
Resampling of remote sensing data in Python (test suite)
python-pyroute2-doc (0.5.14-2)
netlink and Linux network configuration library (documentation)
python-pysam-tests (0.15.4+ds-3)
interface for the SAM/BAM sequence alignment and mapping format (test data)
python-pysaml2-doc (6.5.1-1)
SAML Version 2 to be used in a WSGI environment - doc
python-pyside2-doc (5.15.2-1)
Python bindings for Qt5 (common documentation)
python-pysmi-doc (0.3.2-2)
SNMP SMI/MIB Parser (documentation)
python-pysnmp4-doc (4.4.12-1)
Python SNMP library for agents and managers (unstable branch)
python-pyswarms-doc (1.3.0-1)
documentation and examples for PySwarms
python-pyte-doc (0.8.0-2)
simple VTXXX-compatible terminal emulator (documentation)
python-pytest-dependency-doc (0.5.1-2)
Manages dependencies of pytest test cases (common documentation)
python-pytest-doc (6.0.2-2)
Simple, powerful testing in Python - Documentation
python-pytest-instafail-doc (0.4.2-1)
Documentation for pytest-instafail
python-pytest-mpi-doc (0.4-4)
a plugin for pytest testing MPI-related code (common documentation)
python-pytestqt-doc (3.2.2-2)
documentation for pytest-qt
python-pytools-doc (2021.1-2)
big bag of things supplementing Python library (documentation)
python-pyvcf-examples (0.6.8+git20170215.476169c-7)
Example data for Variant Call Format (VCF) parser for Python
python-pyvmomi-doc (6.7.1-3)
VMware vSphere Python SDK - documentation
python-pywt-doc (1.1.1-1)
Python extension implementing of wavelet transformations (Documentation)
python-pyxattr-doc (0.7.2-1)
module for manipulating filesystem extended attributes (documentation)
python-pyxs-doc (0.4.2~git20190115.97f14313-4)
Pure Python XenStore client implementation (documentation)
python-qinlingclient-doc (5.1.1-2)
client for Function as a Service for OpenStack - doc
python-qpid-proton-doc (0.22.0-5.1)
Documentation for the Python language bindings for Qpid Proton
python-qtawesome-common (1.0.2-1)
common files for QtAwesome
python-qtawesome-doc (1.0.2-1)
documentation and examples for QtAwesome
python-qtconsole-doc (5.0.2-2)
Jupyter - Qt console (documentation)
python-quart-doc (0.14.1-1)
Python ASGI web microframework with the same API as Flask (documentation)
python-qwt-doc (0.8.3-1)
Pure Python implementation of Qwt - Documentation
python-qwt3d-doc (0.1.8-6)
Documentation for the Python-qwt3d library
python-radon-doc (4.1.0+dfsg-1)
Python tool to compute code metrics (common documentation)
python-rdflib-doc (5.0.0-1.1)
Python library containing an RDF triple store [...] (documentation)
python-rdflib-tools (5.0.0-1.1)
Python tools for converting to and from RDF
python-recommonmark-doc (0.6.0+ds-1)
CommonMark utility for Docutils and Sphinx projects -- doc
python-rediscluster-doc (2.0.0-1)
Python interface to a cluster of Redis key-value stores - Documentation
python-releases-doc (1.6.3-1)
Sphinx extension for changelog manipulation documentation
python-reno-doc (2.11.2-2)
RElease NOtes manager - doc
python-reportlab-doc (3.5.59-2)
Documentation for the ReportLab Python library (PDF format)
python-repoze.tm2-doc (2.0-2)
Zope-like transaction manager WSGI middleware documentation
python-requests-cache-doc (0.5.2-1)
persistent cache for requests library (doc)
python-requests-doc (2.25.1+dfsg-2)
elegant and simple HTTP library for Python (Documentation)
python-requests-mock-doc (1.7.0-2)
mock out responses from the requests package - doc
python-requests-oauthlib-doc (1.0.0-1.1)
module providing OAuthlib auth support for requests (Common Documentation)
python-requests-toolbelt-doc (0.9.1-1)
Utility belt for python3-requests (documentation)
python-restless-doc (2.1.1-2)
lightweight REST miniframework for Python
python-ripe-atlas-sagan-doc (1.2.2-2)
Python library for parsing RIPE Atlas measurement results (documentation)
python-rlp-doc (0.5.1-1.2)
Recursive Length Prefix (RLP) library — documentation
python-rpaths-doc (0.13-1.1)
documentation for rpaths
python-ruffus-doc (2.8.4-2)
documentation for python-ruffus computation pipeline library
python-saharaclient-doc (3.2.1-2)
Client library for Openstack Sahara API server - documentation
python-sardana-doc (3.0.3-1)
instrument control and data acquisition system - documentation
python-sasmodels-doc (1.0.4-3)
Theoretical models for small angle scattering (documentation)
python-scciclient-doc (0.8.0-2)
Python ServerView Common Command Interface (SCCI) Client Library - doc
python-scipy-doc (1.6.0-2)
scientific library for Python - documentation
python-scrapy-doc (2.4.1-2+deb11u1)
Python web scraping and crawling framework documentation
python-searchlightclient-doc (2.1.1-2)
OpenStack Indexing and Search API Client Library - doc
python-secretstorage-doc (3.3.1-1)
Python module for storing secrets - documentation
python-semantic-version-doc (2.8.5-1)
implementing the SemVer scheme - doc
python-senlinclient-doc (2.1.1-2)
OpenStack Clustering API Client Library - doc
python-seqcluster-doc (1.2.7+ds-1) [contrib]
analysis of small RNA in NGS data (documentation)
python-setuptools (44.1.1-1)
Python Distutils Enhancements
python-setuptools-doc (52.0.0-4)
Python Distutils Enhancements (documentation)
python-sfepy-doc (2020.4-1)
Simple Finite Elements in Python (documentation)
python-sfml-doc (2.2~git20150611.196c88+dfsg-6)
Simple and Fast Multimedia Library - Documentation
python-shodan-doc (1.25.0-1)
Official Python library for Shodan (common documentation)
python-silx-doc (0.14.0+dfsg-1)
Toolbox for X-Ray data analysis - Documentation
python-simplebayes-doc (1.5.7-2)
Naive bayesian text classifier - documentation
python-simplejson-doc (3.17.2-1)
simple, fast, extensible JSON encoder/decoder for Python - documentation
python-simpy3-doc (3.0.11-2)
python-based simulation package, Documentation and examples
python-sip-doc (4.19.25+dfsg-1)
Python/C++ bindings generator documentation
python-six (1.16.0-2)
Python 2 and 3 compatibility library (Python 2 interface)
python-six-doc (1.16.0-2)
Python 2 and 3 compatibility library (documentation)
python-skbio-doc (0.5.6-4)
Data structures, algorithms, educational resources for bioinformatics (docs)
python-skbuild-doc (0.11.1-2)
skbuild (documentation)
python-skimage-doc (0.18.1-2)
Documentation and examples for scikit-image
python-sklearn-doc (0.23.2-5)
documentation and examples for scikit-learn
python-slepc4py-doc (3.14.0-2)
Python bindings for SLEPc libraries: documentation and examples
python-slixmpp-doc (1.7.0-2)
Threadless, event-based XMPP Python library (documentation)
python-smstrade-doc (0.2.4-6)
Python library to send SMS via the smstrade service (documentation)
python-snimpy-doc (0.8.13-2)
interactive SNMP tool with Python (documentation)
python-sorl-thumbnail-doc (12.5.0-2)
thumbnail support for the Django framework (Documentation)
python-sortedcollections-doc (1.0.1-1)
Python documentation for Sorted Collections
python-sortedcontainers-doc (2.1.0-2)
sorted container types: SortedList, SortedDict, and SortedSet (documentation)
python-sortedm2m-data (2.0.0+dfsg.1-2)
transitional package
python-soundfile-doc (0.10.3+post1-1)
Python audio module based on libsndfile - documentation
python-sphinx-click-doc (2.5.0-1)
Sphinx plugin to automatically document click-based applications (docs)
python-sphinx-copybutton-doc (0.3.1-1)
sphinx extension to add a "copy" button to code blocks (documentation)
python-sphinx-feature-classification-doc (1.0.1-2)
generate a matrix of pluggable drivers and their support to an API - doc
python-sphinx-gallery-doc (0.8.2-1)
extension that builds an HTML gallery of examples from Python scripts (Doc)
python-sphinxcontrib.bibtex-doc (1.0.0-1)
documentation for sphinxcontrib-bibtex
python-sphinxcontrib.programoutput-doc (0.16-1)
insert the output of arbitrary commands into documents - doc
python-sphinxcontrib.spelling-doc (4.3.0-1)
Sphinx "spelling" extension (documentation)
python-sqlalchemy-doc (1.3.22+ds1-1)
documentation for the SQLAlchemy Python library
python-sqlalchemy-utils-doc (0.36.8-4)
various utility functions for SQLAlchemy - doc
python-sqlobject-doc (3.9.0+dfsg-1)
Documentation for SQLObject, a Python object relational manager for databases
python-sqlparse-doc (0.4.1-1)
documentation for non-validating SQL parser in Python
python-staticconf-doc (0.10.5-1)
Python library for loading and reading configuration (documentation)
python-statsmodels-doc (0.12.2-1)
documentation and examples for statsmodels
python-stdnum-doc (1.16-1)
Python module to handle standardized numbers and codes (Documentation)
python-stestr-doc (3.0.1-2)
test runner similar to testrepository - doc
python-stevedore-doc (3.2.2-3)
manage dynamic plugins for Python applications - doc
python-stomp-doc (6.1.0-1)
Documentation of Python's STOMP client library
python-straight.plugin-doc (1.4.1-3)
Simple namespaced plugin facility (common documentation)
python-structlog-doc (20.1.0-1)
structured logging for Python (common documentation)
python-subunit2sql-doc (1.10.0-4)
subunit file/stream to DB - doc
python-sunlight-doc (1.1.5-3)
set of bindings to access U.S. government data (documentation)
python-sushy-cli-doc (0.3.1-3)
Redfish CLI tool based on sushy Redfish client library - doc
python-sushy-doc (3.4.1-2)
small library to communicate with Redfish based systems - doc
python-svgwrite-doc (1.3.1-1)
library to create SVG drawings (common documentation)
python-swiftclient-doc (1:3.10.1-2)
Client library for Openstack Swift API - doc
python-sybil-doc (1.4.0-1)
Automated testing for the examples in your documentation (documentation)
python-sympy-doc (1.7.1-3)
Computer Algebra System (CAS) in Python - Documentation
python-tables-data (3.6.1-3)
hierarchical database for Python based on HDF5 - test data
python-tables-doc (3.6.1-3)
hierarchical database for Python based on HDF5 - documentation
python-tackerclient-doc (1.3.0-2)
CLI and Client Library for OpenStack Tacker - doc
python-tango-doc (9.3.2-1)
API for the TANGO control system
python-tap-doc (3.0-2)
TAP producer/consumer tools for Python unittest -- documentation
python-taskflow-doc (4.5.0-3)
Taskflow structured state management library - doc
python-taurus-doc (4.7.0+dfsg-1)
Framework for scientific/industrial CLIs and GUIs - Documentation
python-tds-doc (1.10.0-1)
Python DBAPI driver for MSSQL using pure Python TDS (documentation)
python-tenacity-doc (6.2.0-4)
retry code until it succeeeds - doc
python-terminado-doc (0.9.2-1)
Terminals served to term.js using Tornado websockets (documentation)
python-terminaltables-doc (3.1.0-3)
Documentation for terminaltables table printer
python-testfixtures-doc (6.14.1-1)
Collection of helpers and mock objects (Documentation)
python-testpath-doc (0.4.4+dfsg-1)
Utilities for Python code working with files and commands (doc)
python-testtools-doc (2.4.0-2)
Extensions to the Python unittest library - doc
python-tinycss-doc (0.4-3)
complete yet simple CSS parser (Documentation)
python-tinycss2-common (1.0.2-1)
Low-level CSS parser (test data)
python-tinycss2-doc (1.0.2-1)
Low-level CSS parser (Documentation)
python-tinyrpc-doc (0.6-3.1)
small, modular RPC library — documentation
python-tk (2.7.18-1)
Tkinter - Writing Tk applications with Python2
python-tk-dbg (2.7.18-1)
Tkinter - Writing Tk applications with Python2 (debug extension)
python-tktreectrl-doc (2.0.2-3)
documentation and examples for Python TkTreeCtrl
python-tld-doc (0.11.11-1)
Extract the top level domain (TLD) from a given URL (common documentation)
python-toolz-doc (0.9.0-1.1)
List processing tools and functional utilities documentation
python-tooz-doc (2.7.1-2)
Coordination library for distributed systems. - doc
python-tornado-doc (6.1.0-1)
scalable, non-blocking web server and tools - documentation
python-tosca-parser-doc (2.1.1-3)
parser for TOSCA Simple Profile in YAML - doc
python-tpot-doc (0.11.7+dfsg-1)
documentation and examples for TPOT
python-traitlets-doc (5.0.5-1)
Lightweight Traits-like package for Python
python-transliterate-doc (1.10.2-3)
Bi-directional transliterator for Python (Documentation)
python-transmissionrpc-doc (0.11-4)
Transmission RPC client module for Python (documentation)
python-treq-doc (18.6.0-0.2)
Higher level API for making HTTP requests with Twisted (doc)
python-trie-doc (0.2+ds-2)
Pure Python implementation of the trie data structure (doc)
python-tweepy-doc (3.6.0-2)
Documentation for python-tweepy module
python-twitter-doc (3.3-2)
Twitter API wrapper for Python: documentation files
python-txaio-doc (20.4.1-2)
compatibility API between asyncio/Twisted/Trollius - doc
python-txtorcon-doc (20.0.0-1)
Twisted-based asynchronous Tor control protocol implementation (Documentation)
python-ufl-doc (2019.2.0~git20210211.d60cd09-1)
documentation and demos for UFL
python-uflash-doc (1.2.4+dfsg-8)
module and utility for flashing the BBC micro:bit (documentation)
python-ulmo-doc (0.8.5+dfsg1-1)
Simple & fast Python access to public hydrology & climatology data - docs
python-uncertainties-doc (3.1.5-1)
Python3 module for calculations with uncertainties: documentation
python-urwid-doc (2.1.2-1)
curses-based UI/widget library (common documentation)
python-uvicorn-doc (0.13.3-1)
ASGI server implementation, using uvloop and httptools (Documentation)
python-validictory-doc (0.8.3-4)
general purpose Python data validator (Documentation)
python-vcr-doc (4.0.2-1)
record and replay HTML interactions (Documentation)
python-vigra-doc (1.11.1+dfsg-8)
Documentation for Python3 bindings for the C++ computer vision library
python-vine-doc (5.0.0+dfsg-2)
vine Python promises documentation
python-vispy-doc (0.6.6-1)
interactive visualization in Python [documentation]
python-vitrageclient-doc (4.1.1-2)
OpenStack root cause analysis as a Service doc.
python-waitress-doc (1.4.4-1.1+deb11u1)
production-quality pure-Python WSGI server (documentation)
python-watcherclient-doc (3.1.1-2)
OpenStack Cloud Optimization as a Service - client doc
python-webassets-doc (3:0.12.1-1.1)
Asset management application for Python web development - doc
python-webob-doc (1:1.8.6-1.1)
Python module providing WSGI request and response objects (documentation)
python-webpy-doc (1:0.61-1)
Web framework for Python applications
python-webtest-doc (2.0.35-1)
wraps any WSGI application and makes it easy to test
python-webvtt-doc (0.4.5-1)
Read, write and segment WebVTT caption files (Documentation)
python-werkzeug-doc (1.0.1+dfsg1-2+deb11u1)
documentation for the werkzeug Python library (docs)
python-wheel-common (0.34.2-1)
built-package format for Python (command-line scripts)
python-whiteboard
本虛擬套件由這些套件填實: python3-whiteboard
python-whoosh-doc (2.7.4+git6-g9134ad92-5)
full-text indexing, search, and spell checking library (doc)
python-willow-doc (1.4-1)
Python image library (documentation)
python-wrapt-doc (1.12.1-4)
decorators, wrappers and monkey patching. - doc
python-ws4py-doc (0.4.2+dfsg1-5)
WebSocket library (docs)
python-wsgiref
本虛擬套件由這些套件填實: python2
python-wsproto-doc (0.15.0-3)
WebSockets state-machine based protocol implementation (Documentation)
python-wtforms-doc (2.2.1-2)
flexible forms validation and rendering library for Python (doc)
python-wxtools
本虛擬套件由這些套件填實: wxpython-tools
python-x2go-doc (0.6.1.3-2)
Python module providing X2Go client API (documentation)
python-x2gobroker-doc (0.0.4.3-1)
X2Go Session Broker (Python3 module documentation)
python-xarray-doc (0.16.2-2)
documentation for xarray
python-xkcd-doc (2.4.2-3)
Python library for accessing xkcd.com (common documentation)
python-xlrd-docs (1.2.0-2)
extract data from Microsoft Excel spreadsheet files (documentation)
python-xlwt-doc (1.3.0-3)
module for writing Microsoft Excel spreadsheet files - doc
python-xmlschema-doc (1.4.2-1)
documentation and examples for xmlschema
python-xrayutilities-doc (1.7.1-1)
X-rays data reduction and analysis (documentation)
python-xstatic-doc (1.0.0-7)
XStatic base package with minimal support code - doc
python-yapsy-doc (1.12.0-1.3)
simple plugin system for Python applications - doc
python-yarl-doc (1.6.3-2)
documentation for the yarl Python library
python-yenc-doc (0.4.0-8)
yEnc encoding/decoding extension for Python (documentation)
python-yoyo-doc (7.3.1+dfsg1-1)
database schema migration tool (documentation)
python-yubico-tools (1.3.3-0.3)
Tools for Yubico YubiKeys
python-zunclient-doc (4.1.1-2)
OpenStack container management service API client - doc
python2 (2.7.18-3)
interactive high-level object-oriented language (Python2 version)
python2-dbg (2.7.18-3)
debug build of the Python2 interpreter (version 2.7)
python2-dev (2.7.18-3)
header files and a static library for Python2
python2-doc (2.7.18-3)
documentation for the high-level object-oriented language Python2
python2-minimal (2.7.18-3)
minimal subset of the Python2 language
python2.7 (2.7.18-8+deb11u1)
Interactive high-level object-oriented language (version 2.7)
python2.7-argparse
本虛擬套件由這些套件填實: libpython2.7-stdlib
python2.7-celementtree
本虛擬套件由這些套件填實: libpython2.7-stdlib
python2.7-cjkcodecs
本虛擬套件由這些套件填實: libpython2.7-stdlib
python2.7-ctypes
本虛擬套件由這些套件填實: libpython2.7-stdlib
python2.7-dbg (2.7.18-8+deb11u1)
Debug Build of the Python Interpreter (version 2.7)
python2.7-dev (2.7.18-8+deb11u1)
Header files and a static library for Python (v2.7)
python2.7-doc (2.7.18-8+deb11u1)
Documentation for the high-level object-oriented language Python (v2.7)
python2.7-elementtree
本虛擬套件由這些套件填實: libpython2.7-stdlib
python2.7-examples (2.7.18-8+deb11u1)
Examples for the Python language (v2.7)
python2.7-gdbm
本虛擬套件由這些套件填實: python-gdbm
python2.7-librdf
本虛擬套件由這些套件填實: python-librdf
python2.7-markupsafe
本虛擬套件由這些套件填實: python-markupsafe
python2.7-minimal (2.7.18-8+deb11u1)
Minimal subset of the Python language (version 2.7)
python2.7-ply
本虛擬套件由這些套件填實: python-ply
python2.7-profiler
本虛擬套件由這些套件填實: libpython2.7-stdlib
python2.7-tk
本虛擬套件由這些套件填實: python-tk
python2.7-wsgiref
本虛擬套件由這些套件填實: libpython2.7-stdlib
python3 (3.9.2-3)
interactive high-level object-oriented language (default python3 version)
python3-a38 (0.1.3-2)
Library to generate Italian Fattura Elettronica
python3-aalib (0.4-2)
Python 3 interface to AAlib, an ASCII art library
python3-abydos (0.5.0+git20201231.344346a-3)
NLP/IR library of phonetic algorithms, string distances and more
python3-access2base (1:7.0.4-4+deb11u8)
interface between Python and the LibreOffice Access2Base library
python3-acme (1.12.0-2+deb11u1)
ACME protocol library for Python 3
python3-acme-abi-1 (= 1.12)
本虛擬套件由這些套件填實: python3-acme
python3-acora (2.2-1.3+b1)
fast multi-keyword text search engine (Python 3)
python3-acoustid (1.2.0-2)
Acoustid interface implementation and Chromaprint bindings (Python 3)
python3-actdiag (2.0.0+dfsg-1)
generate activity-diagram image file from spec-text file
python3-actionlib (1.13.2-6)
Robot OS actionlib library - Python 3 interface
python3-actionlib-msgs (1.13.0-2)
Messages relating to Robot OS actionlib, Python 3 interface
python3-actionlib-tools (1.13.2-6)
Robot OS actionlib_tools library - Python 3 interface
python3-activipy (0.1-7)
implementation of ActivityStreams 2.0 for Python 3
python3-adal (1.2.2-1)
Azure Active Directory Authentication Library for Python 3.x
python3-adios (1.13.1-28.2)
Python3 interface to the ADIOS IO system
python3-admesh (0.98.9-2+b4)
Python bindings for the ADMesh (Python 3)
python3-aeidon (1.9-1)
reading, writing and manipulating text-based subtitle files
python3-afdko (3.6.0+dfsg1-3)
Adobe Font Development Kit for OpenType (Python 3)
python3-affine (2.3.0-2)
Python 3 Library for handling affine transformations of the plane
python3-agate (1.6.1-1)
data analysis library optimized for human readability
python3-agatedbf (0.2.0-2)
support for dbf files in agate
python3-agateexcel (0.2.3-1)
support for Excel files in agate
python3-agatesql (0.5.5-4)
support for SQL read/write in agate
python3-aggdraw (1.3.12-1+b2)
High quality drawing interface for PIL - Python 3.X
python3-aioamqp (0.14.0-1)
AMQP implementation using asyncio (Python3 version)
python3-aiocoap (0.3-3.1)
Python implementation of CoAP
python3-aiodns (2.0.0-1)
Asynchronous DNS resolver library for Python 3
python3-aiodogstatsd (0.14.0-2)
asyncio-based client for sending metrics to StatsD with support of DogStatsD
python3-aiofiles (0.6.0-2)
support for Python asyncio file operations
python3-aioftp (0.18.1-1)
FTP client and server for asyncio (Python 3)
python3-aiohttp (3.7.4-1)
http client/server for asyncio
python3-aiohttp-cors (0.7.0-1)
Cross Origin Resource Sharing (CORS) support for aiohttp
python3-aiohttp-dbg (3.7.4-1)
http client/server for asyncio - debug version
python3-aiohttp-jinja2 (1.2.0-1)
jinja2 template renderer for aiohttp.web
python3-aiohttp-mako (0.4.0-1)
mako template renderer for aiohttp.web
python3-aiohttp-proxy (0.1.1+dfsg-2)
full-featured proxy connector for aiohttp
python3-aiohttp-security (0.4.0-2)
provides identity and authorization for aiohttp.web
python3-aiohttp-session (2.9.0-2)
provides sessions for aiohttp.web
python3-aiohttp-socks (0.5.3-1)
SOCKS proxy connector for aiohttp (Python 3)
python3-aiohttp-wsgi (0.8.2-2)
WSGI adapter for aiohttp (Python 3)
python3-aioice (0.6.18-2)
implementation of ICE
python3-aioinflux (0.9.0-2)
Asynchronous Python client for InfluxDB
python3-aiomeasures (0.5.14-3)
collect and send metrics to StatsD for Python
python3-aiomysql (0.0.20-2)
library for accessing a MySQL using asyncio (Python 3)
python3-aionotify (0.2.0-2)
Simple, asyncio-based inotify library for Python
python3-aioopenssl (0.6.0-1)
Asyncio TLS Transport using PyOpenSSL
python3-aiopg (1.2.0~b2-1)
PostgreSQL integration with asyncio
python3-aioprocessing (1.1.0-2)
integrate the Python multiprocessing module with asyncio
python3-aioredis (1.3.1-1)
asyncio (PEP 3156) Redis support
python3-aioresponses (0.7.1-1)
mock out Python aiohttp ClientSession requests
python3-aioresponses-doc (0.7.1-1)
mock out Python aiohttp ClientSession requests - documentation
python3-aiorpcx (0.18.5-1)
generic async RPC implementation, including JSON-RPC (Python 3)
python3-aiorwlock (1.0.0-0.2)
Synchronization primitive RWLock for asyncio (Python 3)
python3-aiosasl (0.5.0-1)
Protocol-agnostic SASL library for use with asyncio
python3-aiosmtpd (1.2.2-1)
Python3 asyncio based SMTP server
python3-aiosqlite (0.16.1-3)
sqlite library for Python 3 using asyncio
python3-aiostream (0.4.1-2)
Generator-based operators for asynchronous iteration
python3-aiowsgi (0.7-1.1)
minimalist WSGI server implementation using async
python3-aioxmlrpc (0.5-1.1)
XML-RPC for asyncio
python3-aioxmpp (0.12.2-1)
XMPP/Jabber client library for asyncio
python3-aioxmpp-doc (0.12.2-1)
XMPP/Jabber client library for asyncio (documentation)
python3-aiozmq (0.9.0-1)
ZeroMQ integration with asyncio
python3-airr (1.3.1-1)
Data Representation Standard library for antibody and TCR sequences
python3-ais (0.17+git.20190917.master.e464cf8-2+b3)
Library for decoding maritime Automatic Identification System messages
python3-ajax-select (1.7.0-3)
Django library for editing fields with autocomplete
python3-ajpy (0.0.4-2.1)
Python module to craft AJP requests
python3-alabaster (0.7.8-1.1)
Configurable sidebar-enabled Sphinx theme (Python 3)
python3-alembic (1.4.3-1)
lightweight database migration tool for SQLAlchemy - Python module
python3-alignlib (0.1.1+dfsg-1.1+b2)
edit and Hamming distances for biological sequences
python3-all (3.9.2-3)
package depending on all supported Python 3 runtime versions
python3-all-dbg (3.9.2-3)
package depending on all supported Python 3 debugging packages
python3-all-dev (3.9.2-3)
package depending on all supported Python 3 development packages
python3-alsaaudio (0.8.4-1.1+b3)
Alsa bindings for Python 3
python3-altair (4.0.1-2)
Declarative statistical visualization library for Python
python3-altgraph (0.17+ds0-1)
Python 3 graph (network) package
python3-amp (0.6.1-1+b4)
Atomistic Machine-learning Package (python 3)
python3-amqp (5.0.3-3)
Low-level AMQP client (Python3 version)
python3-amqplib (1.0.2-2)
simple non-threaded Python AMQP client library (Python3 version)
python3-angles (1.9.13-2)
Robot OS set of simple math utilities to work with angles (Python 3)
python3-aniso8601 (8.1.1-1)
python3 library for parsing dates and time
python3-anndata (0.7.5+ds-3)
annotated gene by sample numpy matrix
python3-annexremote (1.4.3-2)
abstraction for git-annex special remote implementations
python3-anosql (1.0.1-1)
Manage your raw SQL Queries in an elegant manner
python3-ansi (0.1.5-1)
cursor movement and graphics - Python 3
python3-ansimarkup (1.5.0-1)
Produce colored terminal text with an xml-like markup
python3-antlr (2.7.7+dfsg-10)
language tool for constructing recognizers, compilers etc - Python 3.x
python3-antlr4 (4.9.1-1)
ANTLR 4.9 runtime for Python 3
python3-anyio (2.0.2-2)
Asynchronous compatibility layer (Python 3)
python3-anyjson (0.3.3-2)
Common interface for the best available JSON implementation (Python3 version)
python3-aodh (11.0.0-2)
OpenStack Telemetry (Ceilometer) Alarming - Python libraries
python3-aodhclient (2.1.1-1)
OpenStack Alarming as a Service - Python 3.x client
python3-apbslib (3.0.0+dfsg1-3+b1)
Adaptive Poisson Boltzmann Solver
python3-apertium-core (3.7.1-1)
Shallow-transfer machine translation engine (Python 3 module)
python3-apertium-lex-tools (0.2.7-1)
Apertium lexical selection module (Python 3 module)
python3-apipkg (1.5-7)
namespace control and lazy-import mechanism for Python 3
python3-aplpy (2.0.3-2)
Astronomical Plotting Library in Python
python3-apparmor (2.13.6-10)
AppArmor Python3 utility library
python3-appdirs (1.4.4-1)
determining appropriate platform-specific directories (Python 3)
python3-applicationinsights (0.11.9-3)
Azure Application Insights API for Python 3.x
python3-apptools (4.5.0-1.1)
ETS Application Tools (Python 3)
python3-apriltag (0.10.0-6+b2)
AprilTags Visual Fiducial System
python3-apscheduler (3.7.0-1)
In-process task scheduler with Cron-like capabilities
python3-apsw (3.34.0-r1-1)
another Python SQLite 3 wrapper (Python 3 version)
python3-apsw-dbg (3.34.0-r1-1)
another Python 3 SQLite 3 wrapper (debug extension)
python3-apt (2.2.1)
Python 3 interface to libapt-pkg
python3-apt-dbg (2.2.1)
Python 3 interface to libapt-pkg (debug extension)
python3-aptly (0.12.10-2)
Aptly REST API client and useful tooling - Python 3.x
python3-arcp (0.2.1-3)
(Archive and Package) URI parser and generator
python3-arcus (4.8-1+b1)
message queue for Cura based on protobuf (Python bindings)
python3-argcomplete (1.8.1-1.5)
bash tab completion for argparse (for Python 3)
python3-argh (0.26.2-1.1)
simple argparse wrapper (Python 3)
python3-argon2 (18.3.0-2+b2)
Argon2 password hashing library - Python 3.x Module
python3-argparse-manpage (1.2.2-1)
Automatically build a manpage from argparse
python3-args (0.1.0-3)
Command Arguments for Humans (Python 3)
python3-arpeggio (1.10.1-1)
parser interpreter based on PEG grammars (Python 3)
python3-arpy (1.1.1-4)
library for accessing "ar" archives
python3-arpys (2.0.2-3)
ARPES (Angle Resolved PhotoEmission Spectroscopy) data analysis
python3-arrow (0.17.0-1)
Python3 library to manipulate dates, times, and timestamps
python3-artifacts (20201106-1)
knowledge base of forensic artifacts (Python 3)
python3-asciitree (0.3.3-2)
Draw tree structures using (ASCII or Unicode) characters
python3-asdf (2.7.2-1)
Python 3 library for the Advanced Scientific Data Format
python3-ase (3.21.1-2)
Atomic Simulation Environment (Python 3)
python3-asgiref (3.3.1-1)
ASGI in-memory channel layer (Python3 version)
python3-asn1crypto (1.4.0-1)
Fast ASN.1 parser and serializer (Python 3)
python3-asteval (0.9.19-2)
minimalistic evaluator of Python 3 expression using ast module
python3-astlib (0.11.5-1)
General Python3 tools for astronomy
python3-astor (0.8.1-1)
Python 3 AST manipulator
python3-astral (1.6.1-2)
Calculations for the position of the sun and moon (Python 3)
python3-astrodendro (0.2.0+dfsg1-2)
Astronomical Dendrograms in Python 3
python3-astroid (2.5.1-1)
rebuild a new abstract syntax tree from Python's AST (Python3)
python3-astrometry (0.82+dfsg-1)
Astrometry plate solver (Python package)
python3-astroml (0.4.post1-6)
Python 3 Machine Learning library for astronomy
python3-astroplan (0.7-1)
Observation planning package for astronomers (Python 3)
python3-astropy (4.2-6)
Core functionality for performing astrophysics with Python
python3-astropy-affiliated (1.5)
Collection of all astropy affiliated packages
python3-astropy-healpix (0.6-1)
HEALPix representation of spherical data - Python 3
python3-astropy-helpers (4.0.1-1)
Utilities to install Astropy affiliated packages
python3-astropy-sphinx-theme (1.1-1)
Sphinx themes for Astropy and affiliated packages
python3-astroquery (0.4.1+dfsg-4)
Python online astronomical database querying (Python 3)
python3-astroscrappy (1.0.8-1+b4)
Optimized Cosmic Ray Annihilation in Python
python3-asttokens (2.0.4-1)
annotate Python asbtract syntax trees with code references (Python 3)
python3-async-generator (1.10-3)
extensions to asynchronous generators for Python3
python3-async-generator-doc (1.10-3)
extensions to asynchronous generators for Python3 (documentation)
python3-async-timeout (3.0.1-1.1)
timeout context manager for asyncio programs in Python
python3-asyncpg (0.21.0-1+b2)
asyncio PosgtreSQL driver
python3-asyncssh (2.5.0-0.1)
asyncio-based client and server implementation of SSHv2 protocol
python3-atomicwrites (1.4.0-2)
Atomic file writes - Python 3.x
python3-attr (20.3.0-1)
Attributes without boilerplate (Python 3)
python3-aubio (0.4.9-4+b4)
Python 3 interface for aubio, a library for audio segmentation
python3-audioread (2.1.9-1)
Backend-agnostic audio decoding Python 3 package
python3-audit (1:3.0-2)
Python3 bindings for security auditing
python3-augeas (0.5.0-1.1)
Python3 bindings for Augeas
python3-authheaders (0.13.1-1)
Python 3 module for generating email authentication headers
python3-authlib (0.15.4-1)
Python library for OAuth and OpenID Connect servers
python3-authres (1.2.0-2)
RFC 8601 Authentication Results Header manipulation for Python3
python3-autobahn (17.10.1+dfsg1-7)
WebSocket client and server library, WAMP framework - Python 3.x
python3-automat (20.2.0-1)
Self-service finite-state machines for the programmer on the go
python3-automaton (2.2.0-2)
friendly state machines - Python 3.x
python3-autopep8 (1.5.5-1)
tool that automatically formats Python code to conform to PEP 8
python3-av (8.0.2-2)
pythonic bindings for FFmpeg's libraries
python3-avahi (0.8-5+deb11u2)
Python utility package for Avahi
python3-avogadro (1.93.1-3)
Molecular Graphics and Modelling System (Python 3 module)
python3-avro (1.10.1+dfsg-1)
Apache Avro serialization system (Python 3 library)
python3-aws-requests-auth (0.4.3-1)
AWS Signature Version 4 Signing Process (Python 3)
python3-aws-xray-sdk (0.95-2)
AWS X-Ray SDK for Python 3
python3-awsauth (0.1.5-2)
AWS authentication for Amazon S3 for the python3-requests module
python3-axolotl (0.2.3-4)
Python 3 port of libaxolotl-android
python3-axolotl-curve25519 (0.4.1.post2-2+b4)
Python 3 wrapper for curve25519 library with ed25519 signatures
python3-azext-devops (0.19.0-1)
Azure DevOps Extension for Azure CLI
python3-azure (20201208+git-6)
Microsoft Azure SDK for Python 3.x
python3-azure-cli (2.18.0-2)
Azure Command-Line Interface (CLI)
python3-azure-cli-core (2.18.0-2)
Azure Command-Line Interface (CLI)
python3-azure-cli-telemetry (2.18.0-2)
Azure Command-Line Interface (CLI)
python3-azure-cli-testsdk (2.18.0-2)
Azure Command-Line Interface (CLI)
python3-azure-cosmos (3.1.1-3)
Azure DocumentDB Python SDK
python3-azure-cosmosdb-table (1.0.5+git20191025-5)
Azure CosmosDB services Python SDK
python3-azure-datalake-store (0.0.51-1)
Azure Data Lake Store Filesystem Library for Python
python3-azure-devtools (1.2.0-1)
Microsoft Azure Development Tools for Python 3.x
python3-azure-functions-devops-build (0.0.22-5)
Azure Devops Build Manager For Azure Functions
python3-azure-kusto-data (1.0.3-1)
Microsoft Azure Kusto (Azure Data Explorer) SDK for Python
python3-azure-multiapi-storage (0.5.2-1)
Azure Storage Data Plane SDK supporting multiple API versions
python3-azure-storage (20201208+git-6)
Microsoft Azure Storage Library for Python 3.x
python3-b2sdk (1.3.0-1)
Python library to access B2 cloud storage
python3-babel (2.8.0+dfsg.1-7)
tools for internationalizing Python applications - Python 3.x
python3-babelfish (0.5.4-3)
library to work with countries and languages (Python 3)
python3-babelgladeextractor (0.7.0-2)
Babel Glade XML files translatable strings extractor
python3-babeltrace (1.5.8-1+b3)
Babeltrace Python 3 bindings
python3-backcall (0.2.0-1)
Callback functions for Python
python3-ball (1.5.0+git20180813.37fc53c-6+b3)
Python bindings for the Biochemical Algorithms Library
python3-bandit (1.6.2-1)
Security oriented static analyzer for Python code - Python 3.x
python3-barbican (1:11.0.0-3+deb11u1)
OpenStack Key Management Service - Python files
python3-barbicanclient (5.0.1-2)
OpenStack Key Management API client - Python 3.x
python3-barectf (2.3.1-1)
C99 code generator to write native CTF
python3-barman (2.12-2)
Backup and Recovery Manager for PostgreSQL - Python modules
python3-base58 (1.0.3-1.1)
base58 encode/decode for Python
python3-bashate (0.6.0-4)
bash script style guide checker - Python 3.x
python3-basix (0.0.1~git20210122.4f10ef2-2)
Finite Element Basis Function Definition Runtime Library (Python 3)
python3-bayespy (0.5.18-3)
variational Bayesian inference tools for Python
python3-bcbio (1.2.5-1) [contrib]
library for analysing high-throughput sequencing data
python3-bcbio-gff (0.6.6-3)
Python3 library to read and write Generic Feature Format
python3-bcdoc (0.16.0-2)
ReST document generation tools for botocore (Python 3)
python3-bcolz (1.2.1+ds2-7+b2)
high performant compressed data container based on NumPy (Python 3)
python3-bcrypt (3.1.7-4)
password hashing library for Python 3
python3-bdist-nsi (0.1.5-2)
Create NSIS windows installers for Python modules (Python 3)
python3-bdsf (1.9.2-3+b2)
Python Blob Detection and Source Finder
python3-beaker (1.11.0-1.1)
cache and session library for Python 3
python3-beancount (2.3.3-1+b1)
Double-entry accounting from text files - Python module
python3-behave (1.2.6-3)
behaviour-driven development for Python 3
python3-bel-resources (0.0.3-2)
Python3 utilities for BEL resource files
python3-bernhard (0.2.6-2)
client for the Riemann event stream processor - Python 3.X
python3-berrynet (3.10.2-1)
deep learning gateway - python3 modules
python3-betamax (0.8.1-2)
VCR imitation designed only for python-requests - Python 3.X
python3-bibtexparser (1.1.0+ds-3)
Python 3 library to parse bibtex files
python3-bidict (0.21.2-2)
Bidirectional map data structures and related functionality
python3-bids-validator (1.6.0-1)
validator for the Brain Imaging Data Structure (BIDS) datasets
python3-billiard (3.6.3.0-2)
Multiprocessing Pool Extensions for Python (Python3 version)
python3-binary-memcached (0.30.0+dfsg1-2)
pure python module to access memcached via its binary protocol - Python 3.x
python3-binaryornot (0.4.4+dfsg-4)
check if a file is binary or text (Python 3 module)
python3-binoculars (0.0.6-1)
Surface X-ray diffraction 2D detector data reduction - Python3
python3-binwalk (2.3.1+dfsg1-1)
Python3 library for analyzing binary blobs and executable code
python3-bioblend (0.7.0-3)
CloudMan and Galaxy API library (Python 3)
python3-biom-format (2.1.10-1+b1)
Biological Observation Matrix (BIOM) format (Python 3)
python3-biomaj3 (3.1.18-2)
BioMAJ workflow management library
python3-biomaj3-cli (3.1.11-1)
BioMAJ client
python3-biomaj3-core (3.0.26-1)
BioMAJ core library - Python 3.X
python3-biomaj3-daemon (3.0.22-2)
BioMAJ daemon library
python3-biomaj3-download (3.2.4-1)
BioMAJ download management library
python3-biomaj3-process (3.0.16-2)
BioMAJ process management library
python3-biomaj3-user (3.0.10-1)
BioMAJ user management library
python3-biomaj3-zipkin (0.2.2-1.1)
BioMAJ zipkin log tracing library
python3-biopython (1.78+dfsg-4)
Python3 library for bioinformatics
python3-biopython-sql (1.78+dfsg-4)
Biopython support for the BioSQL database schema (Python 3)
python3-biosig (2.1.2-4)
Python3 bindings for BioSig library
python3-biotools (1.2.12-5)
Python3 bioinformatics utilities for high-throughput genomic sequencing
python3-bip32utils (0.0~git20170118.dd9c541-2)
Python implementation of Bitcoin hierarchical deterministic wallet
python3-biplist (1.0.3-3)
Python 3 library for reading/writing Mac OS X binary plists
python3-bitarray (1.6.3-2+b1 [amd64], 1.6.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Python3 module for efficient boolean array handling
python3-bitbucket-api (0.5.0-3)
library to interact with bitbucket API (Python 3)
python3-bitcoinlib (0.11.0-1)
Python module with an easy interface to the Bitcoin protocol
python3-bitstring (3.1.7-2)
Python module for manipulation of binary data (Python 3)
python3-bitstruct (8.9.0-1+b3)
Python bit pack/unpack package
python3-bjdata (0.2.6-1+b3 [amd64], 0.2.6-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Binary JData (BJData) encoder/decoder for python 3
python3-bladerf (0.2019.07-7)
Nuand bladeRF software-defined radio device (Python)
python3-blazarclient (3.1.1-2)
client for OpenStack Reservation Service
python3-bleach (3.2.1-2.1)
whitelist-based HTML-sanitizing library (Python 3)
python3-blends (0.7.2)
Python 3 module for Debian Pure Blends support
python3-blessed (1.17.12-1)
Thin, practical wrapper around terminal capabilities in Python3
python3-blessings (1.6-3)
simple but powerful module to manage terminal color and styling (Python 3)
python3-blinker (1.4+dfsg1-0.3)
fast, simple object-to-object and broadcast signaling library
python3-blist (1.3.6-7+b1)
List-like type for Python 3 with better asymptotic performance
python3-blockdev (2.25-2)
Python 3 gobject-introspection bindings for libblockdev
python3-blockdiag (2.0.1+dfsg-1)
generate block-diagram image file from spec-text file for Python 3
python3-bloom (0.10.0-1)
Bloom is a release automation tool from Robot OS (Python 3)
python3-blosc (1.9.2+ds1-3)
Python 3 bindings for the Blosc meta-compressor
python3-bluetooth
本虛擬套件由這些套件填實: python3-bluez
python3-bluez (0.23-3)
Python 3 wrappers around BlueZ for rapid bluetooth development
python3-bmtk (0.0+git20210109.8572664+ds-1)
development package for building, simulating and analysing large-scale networks
python3-bmtk-doc (0.0+git20210109.8572664+ds-1)
documentation for python3-bmtk
python3-bmtk-examples (0.0+git20210109.8572664+ds-1)
example Python files for python3-bmtk
python3-boltons (19.1.0-3)
set of pure-Python utilities (Python 3)
python3-bond (1.8.6-3)
Messages related to Robot OS bond_core - Python 3
python3-bondpy (1.8.6-3)
Python 3 implementation of bond
python3-booleanoperations (0.9.0-1)
Python library for Boolean operations on paths
python3-bootstrapform (3.4-3)
generate twitter-bootstrap form output for django form - Python 3.x
python3-bornagain (1.18.0-1+b1)
Simulate and fit X-ray and neutron GISAXS -- Python3
python3-botan (2.17.3+dfsg-2)
multiplatform crypto library (2.x version), Python3 module
python3-boto (2.49.0-3)
Python interface to Amazon's Web Services - Python 3.x
python3-boto3 (1.13.14-1)
Python interface to Amazon's Web Services - Python 3.x
python3-botocore (1.20.0+repack-1)
Low-level, data-driven core of boto 3 (Python 3)
python3-bottle (0.12.19-1+deb11u1)
fast and simple WSGI-framework for Python 3
python3-bottle-beaker (0.1.3-4)
Bottle plugin beaker, WSGI middleware for sessions and caching - Python 3
python3-bottle-cork (0.12.0-5)
Authentication/Authorization library for Bottle
python3-bottle-sqlite (0.2.0-1)
SQLite3 integration for Bottle - Python 3.x
python3-bottleneck (1.2.1+ds1-2+b4)
Fast NumPy array functions written in C (Python 3)
python3-bottleneck-dbg (1.2.1+ds1-2+b4)
debug extensions for bottleneck (Python 3)
python3-box (3.4.6-2)
Python dictionaries with advanced dot notation access
python3-bpfcc (0.18.0+ds-2)
Python 3 wrappers for BPF Compiler Collection (BCC)
python3-braceexpand (0.1.6-1)
Bash-style brace expansion for Python
python3-braintree (3.57.1-1)
Braintree Python library
python3-branca (0.4.2-1)
library with non-map-specific features for folium
python3-breathe (4.26.0-1)
Sphinx autodox support for languages with doxygen support (Python 3)
python3-breezy (3.1.0-8)
distributed version control system - Python 3 library
python3-breezy.tests (3.1.0-8)
distributed version control system - Python 3 testsuite
python3-brial (1.2.10-1+b1)
polynomials over Boolean Rings, Python 3 module
python3-brian (2.4.2-6)
simulator for spiking neural networks
python3-brian-lib (2.4.2-6)
simulator for spiking neural networks -- extensions
python3-brlapi (6.3+dfsg-1+deb11u1)
Braille display access via BRLTTY - Python3 bindings
python3-broker (1.4.0+ds1-1)
Bro's messaging library -- Python 3 bindings
python3-brotli (1.0.9-2+b2)
lossless compression algorithm and format (Python 3 version)
python3-bs4 (4.9.3-1)
error-tolerant HTML parser for Python 3
python3-bsddb3 (6.2.9-1)
Python interface for Berkeley DB (Python 3.x)
python3-bsddb3-dbg (6.2.9-1)
Python interface for Berkeley DB (debug extension, Python 3.x)
python3-bson (3.11.0-1+b1)
Python3 implementation of BSON for MongoDB
python3-bson-ext (3.11.0-1+b1)
C-coded extension to the python3-bson package
python3-bt2 (2.0.4-1)
Babeltrace2 Python 3 bindings
python3-btchip (0.1.31-1.1)
Python library to communicate with BTChip dongle (Python 3)
python3-btrees (4.3.1-2+b4)
scalable persistent object containers for Python 3
python3-btrfs (12-2)
Python module to inspect an online btrfs filesystem
python3-btrfsutil (5.10.1-2)
Checksumming Copy on Write Filesystem utilities (python3 bindings)
python3-bugzilla (3.0.2-1)
Python library for interacting with Bugzilla (Python 3)
python3-build (0.1.0-3)
Simple, correct PEP517 package builder (Python 3)
python3-buildbot (2.10.1-1)
transitional package for buildbot
python3-buildbot-doc (2.10.1-1)
transitional package for buildbot-doc
python3-buildbot-worker (2.10.1-1)
yet another transitional package for buildbot-worker
python3-buildstream (1.4.3-0.1)
Python library for BuildStream toolset
python3-bumps (0.8.0-1)
data fitting and Bayesian uncertainty modeling for inverse problems (Python 3)
python3-bx (0.8.9-1)
library to manage genomic data and its alignment
python3-bx-tools
本虛擬套件由這些套件填實: python3-bx
python3-bytesize (2.5-1)
Python 3 bindings for libbytesize
python3-bz2file (0.98-3)
Python3 library for reading and writing bzip2-compressed files
python3-cachecontrol (0.12.6-1)
caching algorithms in httplib2 for use with requests
python3-cached-property (1.5.2-1)
Provides cached-property for decorating methods in classes (Python 3)
python3-cachetools (4.2.1-1)
extensible memoizing collections and decorators for Python 3
python3-cachy (0.3.0-3)
Provide a simple yet effective caching library (Python 3)
python3-caffe (1.0.0+git20180821.99bd997-8+b2)
Python3 interface of Caffe (CPU_ONLY)
python3-cairo (1.16.2-4+b2)
Python3 bindings for the Cairo vector graphics library
python3-cairo-dbg (1.16.2-4+b2)
Python3 bindings for the Cairo vector graphics library (debug extension)
python3-cairo-dev (1.16.2-4)
Python3 cairo bindings: development files
python3-cairo-doc (1.16.2-4)
Python 3 cairo bindings: documentation files
python3-cairocffi (1.2.0-1)
cffi-based cairo bindings for Python (Python3)
python3-cairosvg (2.5.0-1.1+deb11u2)
SVG to PDF/PS/PNG converter based on Cairo (Python3 library)
python3-caja (1.24.0-3)
Python3 binding for Caja components
python3-caldav (0.6.2-1)
CalDAV (RFC4791) client library for Python 3
python3-calmjs (3.4.1-3)
Node.js Python framework for building toolchains and utilities
python3-calmjs.parse (1.2.5-2)
various parsers for ECMA standards
python3-calmjs.types (1.0.1-2)
types for the calmjs framework
python3-camera-calibration (1.15.2-4)
Robot OS camera_calibration Python3 package
python3-camera-calibration-parsers (1.12.0-3)
Robot OS camera_calibration_parsers Python 3 package
python3-can (3.3.2.final~github-2)
Controller Area Network (CAN) interface module
python3-canmatrix (0.9.3~github-1)
Handle CAN (Controller Area Network) database formats
python3-canonicaljson (1.4.0-1)
canonical JSON implementation for Python 3
python3-cap-ng (0.7.9-2.2+b1)
Python3 bindings for libcap-ng
python3-capstone (4.0.2-3)
lightweight multi-architecture disassembly framework - Python bindings
python3-carquinyol (0.118-2)
Sugar Learning Platform - datastore
python3-cartopy (0.18.0+dfsg-2+b1)
Cartographic library for Python 3
python3-casacore (3.3.1-1+b4)
Python bindings to the casacore library
python3-case (1.5.3+dfsg-3)
Python unittest Utilities (Python3 version)
python3-cassandra (3.24.0-1)
Python driver for Apache Cassandra (Python 3)
python3-cassandra-doc (3.24.0-1)
Python driver for Apache Cassandra (documentation)
python3-castellan (3.6.0-2)
generic key manager interface for OpenStack - Python 3.x
python3-catkin (0.8.9-2)
Python 3 modules for the ROS build system
python3-catkin-lint (1.6.12-1)
Check Robot OS catkin packages for common errors (transitional package)
python3-catkin-lint
本虛擬套件由這些套件填實: catkin-lint
python3-catkin-pkg (0.4.23-1)
Low-level build system macros for Robot OS -- Python 3 module
python3-cbor (1.0.0-1.1+b4)
Python3 Implementation of RFC 7049. Concise Binary Object Representation (CBOR)
python3-cbor2 (5.2.0-4)
Implements Concise Binary Object Representation (Python 3)
python3-cccolutils (1.4-2+b4)
Python Kerberos Credential Cache Collection Utilities (Python 3)
python3-ccdproc (2.1.0-4)
Basic data reductions of astronomy CCD images
python3-cclib (1.6.2-2)
Parsers and algorithms for computational chemistry (Python3 module)
python3-cdiff (1.0-1.1)
Colored, incremental diff with side by side and auto pager support (Python 3)
python3-cdio (2.1.0-1+b4)
Python3 interface to libcdio optical media control library
python3-cdo (1.5.4-1)
Python3 module for Climate Data Operators
python3-cdsapi (0.4.0-1)
Python 3 interface for the ECMWF CDS API
python3-cec (6.0.2-2)
Python 3 bindings for USB CEC Adaptor
python3-ceilometer (1:15.0.0-3)
OpenStack efficient metering counters system - Python libraries
python3-ceilometerclient (2.9.0-6)
Client library for Openstack Ceilometer API server - Python 3.x
python3-ceilometermiddleware (2.1.0-2)
OpenStack Telemetry middleware for generating metrics - Python 3.x
python3-celery (5.0.0-3)
async task/job queue based on message passing (Python3 version)
python3-ceph (14.2.21-1)
Meta-package for all Python 3.x modules for the Ceph libraries
python3-ceph-argparse (14.2.21-1)
Python 3 utility libraries for Ceph CLI
python3-cephfs (14.2.21-1)
Python 3 libraries for the Ceph libcephfs library
python3-cerberus (1.3.2-1)
Lightweight, extensible data validation library for Python
python3-cerealizer (0.8.1-3)
secure pickle-like module for Python 3
python3-certbot (1.12.0-2)
main library for certbot
python3-certbot-abi-1 (= 1.12)
本虛擬套件由這些套件填實: python3-certbot
python3-certbot-apache (1.10.1-1)
Apache plugin for Certbot
python3-certbot-dns-cloudflare (1.6.0-1)
Cloudflare DNS plugin for Certbot
python3-certbot-dns-digitalocean (1.3.0-1)
DigitalOcean DNS plugin for Certbot
python3-certbot-dns-dnsimple (1.3.0-1)
DNSimple DNS plugin for Certbot
python3-certbot-dns-gandi (1.2.5-3)
Gandi LiveDNS plugin for Certbot
python3-certbot-dns-gehirn (1.3.0-1)
Gehirn DNS plugin for Certbot
python3-certbot-dns-google (1.11.0-1)
Google DNS plugin for Certbot
python3-certbot-dns-linode (1.7.0-1)
Linode DNS plugin for Certbot
python3-certbot-dns-ovh (1.3.0-1)
OVH DNS plugin for Certbot
python3-certbot-dns-rfc2136 (1.10.1-1)
RFC 2136 DNS plugin for Certbot
python3-certbot-dns-route53 (1.3.0-1)
Route53 DNS plugin for Certbot
python3-certbot-dns-sakuracloud (1.3.0-1)
SakuraCloud DNS plugin for Certbot
python3-certbot-nginx (1.10.1-1)
Nginx plugin for Certbot
python3-certifi (2020.6.20-1)
root certificates for validating SSL certs and verifying TLS hosts (python3)
python3-cffi (1.14.5-1)
Foreign Function Interface for Python 3 calling C code
python3-cffi-backend (1.14.5-1)
Foreign Function Interface for Python 3 calling C code - runtime
python3-cffi-backend-api-9729
本虛擬套件由這些套件填實: python3-cffi-backend
python3-cffi-backend-api-max (= 10495)
本虛擬套件由這些套件填實: python3-cffi-backend
python3-cffi-backend-api-min (= 9729)
本虛擬套件由這些套件填實: python3-cffi-backend
python3-cffi-backend-dbg (1.14.5-1)
Foreign Function Interface for Python 3 calling C code (Debug version)
python3-cffsubr (0.2.7-2)
CFF subroutinizer based on the AFDKO tx
python3-cfgrib (0.9.8.5-1)
Python 3 module supporting the CF convention in GRIB files
python3-cfgv (3.2.0-5)
Python module to validate configuration files
python3-cftime (1.4.1+ds-1)
Time-handling functionality from netcdf4-python (Python 3)
python3-cg3 (1.3.2-1)
Wrapper for CG-3
python3-cgecore (1.5.6+ds-1)
Python3 module for the Center for Genomic Epidemiology
python3-chameleon (3.8.1-1)
XML-based template compiler
python3-changelog (0.5.5-1)
Sphinx extension to generate changelog files (Python 3)
python3-channels-redis (3.2.0-1)
Redis channel layer backend for Django Channels (Python3 version)
python3-characteristic (14.3.0-7)
helper for implementing attribute-related object protocols (Python 3)
python3-chardet (4.0.0-1)
universal character encoding detector for Python3
python3-chargebee (1.6.6-1)
Python library for integrating with Chargebee (Python 3/API v1)
python3-chargebee2 (2.7.3-1)
Python library for integrating with Chargebee (Python 3/API v2)
python3-charon (4.8-1)
file metadata and streaming library for cura
python3-chartkick (0.5.0-2)
create beautiful JavaScript charts with minimal code (Python 3)
python3-cheetah (3.2.6-1+b1)
text-based template engine and Python code generator (Python 3)
python3-chemps2 (1.8.10-2)
Python 3 interface for libchemps2-3
python3-cheroot (8.5.2+ds1-1)
Pure-Python HTTP server
python3-cherrypy3 (8.9.1-8)
Python web development framework - Python 3 module
python3-chm (0.8.6-2+b3)
Python binding for CHMLIB - Python 3
python3-chm-dbg (0.8.6-2+b3)
Python binding for CHMLIB - Python 3 debug extension
python3-cinder (2:17.0.1-1+deb11u1)
OpenStack block storage system - Python libraries
python3-cinderclient (1:7.2.0-3)
Python bindings to the OpenStack Volume API - Python 3.x
python3-circuits (3.1.0+ds1-2)
event-driven framework with a component architecture (Python3 version)
python3-ciso8601 (2.1.3-3+b1)
fast ISO8601 date time parser for Python written in C
python3-citeproc (0.5.1-1)
Citation Style Language (CSL) processor for Python3
python3-ck (1.9.4-1.1)
Python3 light-weight knowledge manager
python3-clang (1:11.0-51+nmu5)
Clang Python Bindings
python3-clang-11 (1:11.0.1-2)
Clang Python Bindings
python3-clang-13 (1:13.0.1-6~deb11u1)
Clang Python Bindings
python3-clang-16 (1:16.0.6-15~deb11u2)
Clang Python Bindings
python3-clang-9 (1:9.0.1-16.1)
Clang Python Bindings
python3-clap (0.14.0-2)
command line arguments parser
python3-cliapp (1.20180812.1-4)
Python framework for Unix command line programs
python3-click (7.1.2-1)
Wrapper around optparse for command line utilities - Python 3.x
python3-click-didyoumean (0.0.3-2)
enables git-like did-you-mean feature in click
python3-click-log (0.2.1-2)
Logging integration for Click - Python 3.x
python3-click-man (0.4.1-2)
Generate man pages for click based CLI applications (Python 3)
python3-click-plugins (1.1.1-3)
Click extension to register external CLI commands (Python 3)
python3-click-repl (0.1.6-2)
REPL plugin for Click - Python 3.x
python3-click-threading (0.4.4-2)
Utilities for multithreading in click - Python 3.x
python3-clickhouse-driver (0.2.0-1)
Python driver with native interface for ClickHouse
python3-clickhouse-driver-doc (0.2.0-1)
Python driver with native interface for ClickHouse
python3-cliff (3.4.0-2)
command line interface formulation framework - Python 3.x
python3-cligj (0.7.1-1)
Python 3 library for processing GeoJSON commands
python3-clikit (0.6.2-2)
utilities to build beautiful command lines interfaces
python3-clint (0.5.1-3)
Python Command-line Application Tools
python3-cloud-sptheme (1.10.1.post20200504175005-1)
Cloud Sphinx theme and related extensions (python3 version)
python3-cloudflare (2.8.14-1)
Python module to interface with Cloudflare's v4 API
python3-cloudkitty (13.0.0-6)
OpenStack Rating as a Service - Python library
python3-cloudkitty-dashboard (11.0.0-2)
OpenStack data processing cluster as a service - dashboard plugin
python3-cloudkittyclient (4.1.0-2)
API client for Rating as a Service project - Python 3.x
python3-cloudpickle (1.6.0-1)
Extended pickling support for Python 3 objects
python3-cluster (1.3.3-3)
allows grouping a list of arbitrary objects into related groups (clusters)
python3-clustershell (1.8.3-1)
clustershell python3 libraries
python3-cmarkgfm (0.4.2-1+b3 [amd64], 0.4.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GitHub-flavored Markdown renderer Python bindings
python3-cmd2 (0.8.5-2.1)
enhanced Python cmd module - Python 3.x
python3-cmor (3.6.1-1)
Python3 interface to CMOR
python3-coards (1.0.5-4)
COARDS-compliant time parser (Python 3)
python3-cobra (0.21.0-1)
constraint-based modeling of biological networks with Python 3
python3-coda (2.21.2-1+b1)
Python3 interface for CODA science formats library
python3-codegen (1.0-4)
extension to ast that allows AST -> Python code generation
python3-codicefiscale (0.9+ds0-2)
Generate and validate Italian "codice fiscale" (Python 3.x)
python3-cogent3 (2020.12.21a+dfsg-4+deb11u1)
framework for genomic biology
python3-collada (0.6-2)
Python 3 module for creating, editing and loading COLLADA
python3-colorama (0.4.4-1)
Cross-platform colored terminal text in Python - Python 3.x
python3-colorcet (2.0.2-2+b1 [amd64], 2.0.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
set of useful perceptually uniform colormaps for plotting scientific data
python3-colorclass (2.2.0-2.1)
ANSI color text library for Python
python3-colored (1.4.2-1)
simple library for coloring and formatting in terminal
python3-coloredlogs (7.3-2)
colored terminal output for Python 3's logging module
python3-colorlog (4.7.2-1)
formatter to use with the logging module of Python 3
python3-colormap (1.0.3-1)
ease manipulation of matplotlib colormaps and color codecs (Python 3)
python3-colormath (3.0.0-1.1)
Abstracts common color math operations (Python 3 version)
python3-colors (1.1.8-4)
ANSI colors for Python
python3-colorspacious (1.1.2-2)
library for doing colorspace conversions - Python 3.x
python3-colour (0.1.5-2)
converts and manipulates various color representation - Python 2.X
python3-comedilib (0.11.0+5-1+b3)
Python3 wrapper for Comedilib
python3-commando (1.0.0-1)
wrapper for argparse to define declaratively (Python 3)
python3-commentjson (0.8.3-2)
module for json that supports comments
python3-commonmark (0.9.1-3)
Python parser for the CommonMark Markdown spec
python3-commonmark-bkrs (0.5.4+ds-5)
Python parser for the CommonMark Markdown spec -- Python 3
python3-compizconfig (2:0.8.18-1+b3)
Compizconfig bindings for python3
python3-compreffor (0.5.1-1)
CFF table subroutinizer for FontTools
python3-compyle (0.7-2)
Execute a subset of Python on HPC platforms
python3-confget (2.3.4-1)
read variables from INI-style configuration files - Python 3.x library
python3-configargparse (1.2.3-1)
replacement for argparse with config files and environment variables (Python 3)
python3-configobj (5.0.6-4)
simple but powerful config file reader and writer for Python 3
python3-configshell-fb (1:1.1.28-2)
Python library for building configuration shells - Python 3
python3-confluent-kafka (1.3.0-2+b2)
Python client to interact with Kafka - Python 3.x
python3-congressclient (2.0.1-2)
client for the open policy framework for the cloud - Python 3.x
python3-consonance (0.1.3-3)
WhatsApp handshake implementation using Noise Protocol
python3-constantly (15.1.0-2)
Symbolic constants in Python
python3-construct (2.10.58+dfsg1-1)
powerful declarative parser (and builder) for binary data
python3-construct.legacy (2.5.3-2.1)
legacy fork of declarative binary data parser/builder (Python 3)
python3-consul (0.7.1-1.1)
Python client for Consul.io - Python 3.X
python3-contextlib2 (0.6.0.post1-1)
Backport and enhancements for the contextlib module - Python 3.x
python3-convertdate (2.3.2-1)
Converts between Gregorian dates and other calendar systems. (Python 3)
python3-cookies (2.2.1-3)
Python 3 RFC 6265-compliant cookie parser and renderer
python3-coreapi (2.3.3-4)
Python3 client library for Core API
python3-corepywrap (1.005-10)
library that exports C++ mmCIF accessors to Python3
python3-coreschema (0.0.4-3)
Python3 utilities to describe an abstract data schema to coreapi
python3-cotyledon (1.7.3-2)
framework for defining long-running services - Python 3.x
python3-cov-core (1.15.0-3)
plugin core for use by pytest-cov, nose-cov and nose2-cov
python3-coverage (5.1+dfsg.1-2+b2)
code coverage tool for Python 3
python3-coverage-test-runner (1.13.1-4)
fail Python program unit tests unless they test everything
python3-cpl (0.7.4-2+b4)
Control pipeline recipes from the ESO (Python3)
python3-cppy (1.1.0-2)
C++ headers for (Python) C extension development
python3-cpuinfo (5.0.0-2)
Python module for getting CPU info (Python 3)
python3-cpuset (1.6-4.1)
manipluation of cpusets and provides higher level fun - Python 3.x
python3-cracklib (2.9.6-3.4)
Python3 bindings for password checker library cracklib2
python3-cram (0.7-4)
functional testing framework for command line applications - Python 3.x
python3-crank (0.7.2-5)
dispatch mechanism for use across frameworks - Python 3.x
python3-crashtest (0.3.1-2)
makes exceptions handling and inspection easier
python3-crayons (0.4.0-1)
Text UI colors for Python
python3-crcelk (1.3-3)
Implementation of the CRC algorithm (Python 3)
python3-crcmod (1.7+dfsg-2+b4)
CRC Generator - Python 3.x
python3-createrepo-c (0.17.0-1)
library for creating RPM repository metadata (Python bindings)
python3-croniter (0.3.34-3)
provides iteration for datetime object with cron like format - Python 3.x
python3-crontab (2.5.1-1)
Python3 module for reading and writing crontab files
python3-cryptography (3.3.2-1)
Python library exposing cryptographic recipes and primitives (Python 3)
python3-cryptography-vectors (3.3.2-1)
Test vectors for python-cryptography (Python 3)
python3-cryptominisat (5.8.0+dfsg1-1+b3)
Python bindings for the CryptoMiniSat SAT solver (Python 3)
python3-cs (2.7.1-1)
simple, yet powerful CloudStack API client (Python 3 module)
python3-csa (0.1.12-1.1)
Connection-Set Algebra (CSA) implemented in Python
python3-csb (1.2.5+dfsg-5)
Python framework for structural bioinformatics (Python3 version)
python3-cson (0.8-1+b1)
python parser for the Coffeescript Object Notation (CSON)
python3-csound (1:6.14.0~dfsg-6+b1)
Python bindings for Csound
python3-css-parser (1.0.6-1)
CSS related utilities (parsing, serialization, etc) for Python 3
python3-csscompressor (0.9.5-2)
Python port of YUI CSS Compressor - Python 3.x
python3-cssmin (0.2.0-10)
YUI CSS compression algorithm (Python 3 version)
python3-cssselect (1.1.0+ds-1)
cssselect parses CSS3 Selectors and translates them to XPath 1.0
python3-cssselect2 (0.3.0-1)
implementation of CSS3 Selectors (Python3 version)
python3-cssutils (1.0.2-3)
Python3 CSS Cascading Style Sheets parser and builder
python3-csvkit (1.0.5-2)
public modules for csvkit (Python 3)
python3-ctdopts (1.4-2)
Gives your Python tools a CTD-compatible interface
python3-cups (2.0.1-4+b1)
Python3 bindings for CUPS
python3-cupshelpers (1.5.14-1)
Python utility modules around the CUPS printing system
python3-cursive (0.2.2-4)
OpenStack specific validation of digital signatures - Python 3
python3-curtsies (0.3.5-4)
library for terminal interaction (Python 3)
python3-custodia (0.6.0-5)
Python3 bindings to Custodia -- Modular and pluggable Secrets Manager
python3-cutadapt (3.2-2)
Clean biological sequences from high-throughput sequencing reads (Python 3)
python3-cv-bridge (1.15.0+ds-4)
cv_bridge ROS package - Python 3 bindings
python3-cvxopt (1.2.5+dfsg-3+b1)
Python3 package for convex optimization
python3-cwcwidth (0.1.4-1)
Python bindings for wc(s)width (Python 3)
python3-cwiid (0.6.91-2+b1)
library to interface with the wiimote
python3-cxx-dev (7.1.4-0.1)
Set of facilities to extend Python3 with C++
python3-cyarray (1.1-2+b3)
Fast, typed, resizable, Cython array
python3-cyborgclient (1.2.1-3)
Python client for cyborg API - Python 3.x
python3-cycler (0.10.0-3)
composable kwarg iterator (Python 3)
python3-cylc (8.0~a2-1)
Python3 libraries for cylc workflow scheduler
python3-cymem (2.0.2-1+b5 [amd64], 2.0.2-1+b4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
cython memory pool for RAII-style memory management
python3-cymruwhois (1.6-3.2)
Python library for interfacing with the whois.cymru.com service (Python 3)
python3-cypari2 (2.1.2-2)
Python interface to PARI -- Python 3
python3-cysignals
本虛擬套件由這些套件填實: python3-cysignals-pari, python3-cysignals-bare
python3-cysignals-bare (1.10.2+ds-6)
interrupt and signal handling for Cython -- Python 3 - bare
python3-cysignals-pari (1.10.2+ds-6)
interrupt and signal handling for Cython -- Python 3 - PARI/GP
python3-cytoolz (0.11.0-1+b1)
Toolz in Cython: High performance functional utilities
python3-cyvcf2 (0.30.4-4)
VCF parser based on htslib (Python 3)
python3-d2to1 (0.2.12-2)
Python3 support for distutils2-like setup.cfg files as package metadata
python3-dacite (1.6.0-1)
Simple creation of data classes from dictionaries
python3-daemon (2.2.4-1.1)
library for making a Unix daemon process — Python 3
python3-daemonize (2.4.7-4)
enable your code to run as a daemon process - Python 3.x
python3-daiquiri (1.6.0-1)
Python library to easily setup basic logging functionality
python3-daphne (3.0.1-1)
Django Channels HTTP/WebSocket server (Python3 version)
python3-darkslide
本虛擬套件由這些套件填實: darkslide
python3-darts.lib.utils.lru (0.5-5)
Simple dictionary with LRU behaviour in Python3
python3-dask (2021.01.0+dfsg-1)
Minimal task scheduling abstraction for Python 3
python3-dask-sphinx-theme (1.3.1-2)
Dask theme for Sphinx
python3-databases (0.4.1-1)
async database support for Python's asyncio
python3-datalad (0.14.0-1)
data files management and distribution platform
python3-dateparser (1.0.0-1)
python parser for human readable dates
python3-dateutil (2.8.1-6)
powerful extensions to the standard Python 3 datetime module
python3-datrie (0.8.2-1+b1)
Super-fast, efficiently stored Trie for Python 3
python3-dbf (0.96.005-2)
Python module for reading and writing dbf files (Python 3)
python3-dbfread (2.0.7-3)
read DBF Files with Python
python3-dbg (3.9.2-3)
debug build of the Python 3 Interpreter (version 3.9)
python3-dbus (1.2.16-5)
simple interprocess messaging system (Python 3 interface)
python3-dbus-dbg (1.2.16-5)
debug build of the D-Bus Python 3 interface
python3-dbus-tests (1.2.16-5)
simple interprocess messaging system (Python 3 interface - tests)
python3-dbus.mainloop.pyqt5 (5.15.2+dfsg-3)
D-Bus Qt main loop support for Python 3
python3-dbus.mainloop.pyqt5-dbg (5.15.2+dfsg-3)
D-Bus Qt main loop support for Python 3 (debug extension)
python3-dbusapi
本虛擬套件由這些套件填實: python3-dbusdeviation
python3-dbusdeviation (0.6.0-2)
parse D-Bus introspection XML and process it in various ways
python3-dbusmock (0.22.0-1)
mock D-Bus objects for tests
python3-dbussy (1.3-1.1)
Python 3 language bindings for libdbus
python3-dcos (0.2.0-4)
DCOS Common Modules - Python 3.x
python3-ddt (1.4.1-2.1)
Data-Driven/Decorated Tests - Python 3.x
python3-deap (1.3.1-2+b2)
Distributed Evolutionary Algorithms in Python3
python3-debconf (1.5.77)
interact with debconf from Python 3
python3-debian (0.1.39)
Python 3 modules to work with Debian-related data formats
python3-debianbts (3.1.0)
Python interface to Debian's Bug Tracking System
python3-debiancontributors (0.7.8-2)
Manage submissions to contributors.debian.org (Python3)
python3-debmutate (0.20)
Format-preserving manipulation of Debian control files in Python
python3-debtcollector (2.2.0-3)
collection of patterns to collect technical debt - Python 3.x
python3-decorator (4.4.2-2)
simplify usage of Python decorators by programmers
python3-decouple (3.1-4)
Helps you to organize your Django|Flask settings
python3-deepdiff (3.3.0-2)
Deep Difference and search of any Python object/data
python3-deepmerge (0.0.5-3)
handle merging of nested data structures in Python
python3-deeptools (3.5.0-1)
platform for exploring biological deep-sequencing data
python3-deeptoolsintervals (0.1.9-3+b2)
handlig GTF-like sequence-associated interal-annotation
python3-defcon (0.7.2-1)
UFO based objects for use in font editing applications
python3-defconqt (0.6.6+ds-2)
Qt objects for use in defcon applications
python3-defer (1.0.6-2.1)
Small framework for asynchronous programming (Python 3)
python3-defusedxml (0.6.0-2)
XML bomb protection for Python stdlib modules (for Python 3)
python3-demjson (2.2.4-5)
encoder, decoder, and lint/validator for JSON in Python (python3)
python3-dendropy (4.5.1-1)
DendroPy Phylogenetic Computing Library (Python 3)
python3-denss (0.0.1+20200710gac8923a-2)
calculate electron density from a solution scattering profile
python3-depinfo (1.6.0-1)
retrieve and print Python 3 package dependencies
python3-deprecated (1.2.11-1)
Python decorator for old classes, functions or methods
python3-deprecation (2.1.0-1)
Library to handle automated deprecations
python3-derpconf (0.8.3-2)
Python module to abstract loading configuration files for your app
python3-descartes (1.1.0-4)
Matplotlib extension to work with geometric objects (Python3)
python3-designate (1:11.0.0-2)
OpenStack DNS as a Service - Python libs
python3-designate-dashboard (11.0.0-2)
OpenStack DNS as a Service - dashboard plugin
python3-designateclient (4.1.0-2)
client library for the OpenStack Designate API - Python 3.x
python3-dev (3.9.2-3)
header files and a static library for Python (default)
python3-devpi-common (3.2.2-1.1)
PyPI server and packaging/testing/release tool - Common modules
python3-dexml (0.5.1-2)
Dead-simple Object-XML mapper for Python3
python3-dfdatetime (20200824-1)
Digital Forensics date and time library for Python 3
python3-dfvfs (20201219-1)
Digital Forensics Virtual File System
python3-dfwinreg (20201006-1.1)
Digital Forensics Windows Registry library for Python 3
python3-diagnostic-analysis (1.10.1+ds1-3)
Python library diagnostic_analysis (Robot OS)
python3-diagnostic-common-diagnostics (1.10.1+ds1-3)
Python library diagnostic_common_diagnostics (Robot OS)
python3-diagnostic-msgs (1.13.0-2)
Messages relating to Robot OS diagnostic, Python 3 interface
python3-diagnostic-updater (1.10.1+ds1-3)
Python library diagnostic_updater (Robot OS)
python3-diagrams (0.19.1-1)
Code for prototyping cloud system architectures (Python 3)
python3-dialog (3.5.1-1)
Python module for making simple terminal-based user interfaces
python3-dials-data (2.0.0-3)
Python data files used for regression tests in DIALS, dxtbx, xia2
python3-diaspy (0.6.0-2)
unofficial interface to the Diaspora social network (Python 3)
python3-dib-utils (0.0.11-2)
Standalone tools related to diskimage-builder - Python 3.x
python3-dicoclient (2.10-1)
RFC 2229 compliant dictionary client module and shell
python3-dicom (2.0.0-1)
transitional package for python3-pydicom
python3-dicom
本虛擬套件由這些套件填實: python3-pydicom
python3-dicompylercore (0.5.5-2)
core radiation therapy modules for DICOM / DICOM RT used by dicompyler
python3-dict2xml (1.7.0-1)
Utility module to convert a Python dictionary to an xml string
python3-dicteval (0.0.6-3)
Library to evaluate expressions in dict/json objects
python3-dictobj (0.4-4)
Python3 dictionary where keys can be accessed as instance attributes
python3-dicttoxml (1.7.4-3)
Python 3 module for converting dict into a XML string
python3-diff-match-patch (20200713-1)
robust algorithms for synchronizing plain text (Python 3 module)
python3-digitalocean (1.13.2-2)
Python bindings for the DigitalOcean API (Python 3)
python3-dijitso (2019.2.0~git20190418.c92dcb0-2)
distributed just-in-time building of shared libraries (Python 3)
python3-dill (0.3.3-1)
Serialize all of Python 3 (almost)
python3-dipy (1.3.0-3)
Python library for the analysis of diffusion MRI datasets
python3-dipy-lib (1.3.0-3)
Python library for the analysis of diffusion MRI datasets -- Python3 extensions
python3-discogs-client (2.3.5-2)
Python module to access the Discogs API
python3-diskcache (5.2.1-2)
Python3 module for disk and file backed persistent cache
python3-diskimage-builder (3.2.1-4)
image building tools for Openstack - Python 3.x
python3-dissononce (0.34.3-2)
Python implementation for Noise Protocol Framework
python3-distance (0+git20131122.ad7f9dc-2)
Python library for comparing sequences
python3-distlib (0.3.2+really+0.3.1-0.1)
low-level components of python distutils2/packaging
python3-distorm3 (3.4.1-5)
powerful disassembler library for x86/AMD64 binary streams (Python3 bindings)
python3-distributed (2021.01.0+ds.1-2.1+deb11u1)
Dask Distributed computing for Python 3
python3-distro (1.5.0-1)
Linux OS platform information API
python3-distro-info (1.0+deb11u1)
information about distributions' releases (Python 3 module)
python3-distutils (3.9.2-1)
distutils package for Python 3.x
python3-distutils-extra (2.45)
enhancements to the Python3 build system
python3-dj-database-url (0.5.0-2)
Django utility to utilize a DB env var (Python 3)
python3-dj-static (0.0.6-6)
Serve production static files with Django (Python 3)
python3-django (2:2.2.28-1~deb11u2)
High-level Python web development framework
python3-django-adminsortable (2.0.10-3)
drag-and-drop ordering for objects in Django Admin (Python 3)
python3-django-allauth (0.44.0+ds-1+deb11u1)
Django app for local and social authentication (Python 3 version)
python3-django-anymail (7.1.0-1)
Django email backend for multiple ESPs (Python 3)
python3-django-appconf (1.0.3-1)
helper class handling configuration defaults of apps - Python 3.x
python3-django-assets (2.0-1)
integrate webassets into Django applications (Python3 version)
python3-django-auth-ldap (2.2.0-1)
Django LDAP authentication backend (Python3 version)
python3-django-auto-one-to-one (3.3.0-1)
Django module to connect child model instances to parent class
python3-django-axes (5.4.3-1)
Keep track of failed login attempts in Django-powered sites
python3-django-axes-doc (5.4.3-1)
Keep track of failed login attempts in Django - documentation
python3-django-babel (0.6.2-5)
Utilities for using Babel in Django - Python 3.x
python3-django-bitfield (1.9.6-2)
Django module implementing BitFields (Python3 version)
python3-django-braces (1.14.0-1)
reusable, generic mixins for Django class based views (Python3 version)
python3-django-cache-machine (1.1.0-3)
Cache machines for Django (Python 3)
python3-django-captcha (0.5.6-2)
Django Simple Captcha Django application
python3-django-cas-server (1.2.0-1)
CAS server library for Django
python3-django-cas-server-doc (1.2.0-1)
CAS server library for Django (documentation)
python3-django-casclient (1.5.3-1)
CAS client library for Django, K-State's version (Python 3)
python3-django-celery-beat (2.1.0-1)
Database-backed Periodic Tasks (Documentation)
python3-django-celery-results (2.0.0-1)
Celery result backends for Django (Python3 version)
python3-django-channels (3.0.3-1)
Developer-friendly asynchrony for Django (Python3 version)
python3-django-classy-tags (1.0.0-2)
Class based template tags for Django projects (Python3 version)
python3-django-colorfield (0.4.1+ds1-1)
Simple color fields for Django (Python 3)
python3-django-compressor (2.4-2)
Compresses linked, inline JS or CSS into single cached files - Python 3.x
python3-django-contact-form (1.4.2-3)
extensible contact-form application for Django (Python 3)
python3-django-cors-headers (2.2.0-2)
Django application for handling CORS.
python3-django-countries (6.0-1)
provides a country field for Django models (Python3 version)
python3-django-crispy-forms (1.9.2-1)
app for Django providing elegant form rendering (Python3 version)
python3-django-csp (3.7-1)
Content Security Policy for Django (Python3 version)
python3-django-dbconn-retry (0.1.5-1.1)
reconnect on a failed database (Python3 version)
python3-django-debreach (2.0.1-2)
some protection against the BREACH attack in Django - Python 3.x
python3-django-debug-toolbar (1:3.2.1-1)
Embedded debugging toolbar for Django projects (Python 3 version)
python3-django-dirtyfields (1.3.1-2)
package for tracking dirty fields on a Django model instance (Python 3)
python3-django-downloadview (2.1.1-1)
efficient static file serving with Django (Python3 version)
python3-django-environ (0.4.4-2)
Simplified environment variables for Django
python3-django-etcd-settings (0.1.13+dfsg-3)
config manager for Django apps based on ETCD (Python3 version)
python3-django-extensions (3.0.3-3)
Useful extensions for Django projects (Python 3 version)
python3-django-extra-views (0.13.0-1)
Complementary class-based generic views for Django (Python3 version)
python3-django-filters (2.4.0-1)
filter Django QuerySets based on user selections
python3-django-formtools (2.2-2)
set of high-level abstractions for Django forms - Python 3.x
python3-django-fsm (2.7.1-1)
Django friendly finite state machine support (Python3 version)
python3-django-fsm-admin (1.2.4-2)
django-fsm state transitions for Django admin (Python3 version)
python3-django-gravatar2 (1.4.4-2)
Python3 library that provides essential Gravatar support
python3-django-guardian (2.0.0-2)
per object permissions of django for Python3
python3-django-haystack (3.0-1)
modular search for Django (Python version)
python3-django-horizon (3:18.6.2-5+deb11u2)
Django module providing web interaction with OpenStack
python3-django-housekeeping (1.2-2)
Pluggable housekeeping framework for Django sites in Python 3
python3-django-hvad (1.8.0-1.1)
Painless translations in Django
python3-django-hyperkitty (1.3.4-4)
Web user interface to access GNU Mailman3 archives
python3-django-ical (1.7.1-2)
iCalendar feeds for Django (Python 3)
python3-django-imagekit (4.0.2-3+deb11u1)
Automated image processing for Django (Python3 version)
python3-django-impersonate (1.5-1)
Django module for superusers to impersonate accounts (Python 3)
python3-django-import-export (2.5.0-1)
Django application and library for data import/export (Python 3)
python3-django-ipware (3.0.0-1)
Django app to retrieve client's IP address
python3-django-jinja (2.7.0-1)
Jinja2 templating language integrated in Django (Python 3 version)
python3-django-js-asset (1.2.2-2)
script tag with additional attributes for django.forms.Media
python3-django-js-reverse (0.7.3-1.1)
Javascript url handling for Django that doesn't hurt
python3-django-jsonfield (1.4.0-2)
JSON field for Django models (Python 3)
python3-django-ldapdb (1.5.1-2)
Python3 LDAP database backend for Django
python3-django-libsass (0.8-3)
django-compressor filter using libsass (Python3 version)
python3-django-macaddress (1.5.0-2)
MAC address model and form fields for Django apps
python3-django-mailman3 (1.3.5-2)
Django library to help interaction with Mailman3 (Python 3 version)
python3-django-maintenancemode (0.11.3-1)
django module that sets a site down for maintenance (Python 3)
python3-django-markupfield (2.0.0-1)
custom Django field for easy use of markup in text fields (Python3 version)
python3-django-measurement (3.2.3-1)
fields and classes for handling measurements for Django
python3-django-memoize (2.2.0+dfsg-1)
implementation of memoization technique for Django (Python 3)
python3-django-model-utils (3.1.1-2)
Django model mixins and utilities — Python 3
python3-django-modelcluster (5.1-1)
clusters of models as a single unit (Python3 version)
python3-django-modeltranslation (0.16.1-1)
Translate dynamic content of Django models (Python 3)
python3-django-mptt (0.11.0-1)
Modified Preorder Tree Traversal Django application
python3-django-navtag (2.1.3-2)
Django template tag to handle navigation (Python3 version)
python3-django-netfields (1.2.2-2)
Django PostgreSQL netfields implementation (Python3 version)
python3-django-nose (1.4.6-2.1)
Django test runner that uses python-nose (Python3 version)
python3-django-notification (1.2.0-3)
user notification management for Django (Python 3 version)
python3-django-oauth-toolkit (1.3.3-1)
OAuth2 capabilities for Django projects (Python3 version)
python3-django-openstack-auth
本虛擬套件由這些套件填實: python3-django-horizon
python3-django-ordered-model (3.4.1-1)
Allows Django models to be ordered (Python3 version)
python3-django-organizations (1.1.2-1)
Django groups and multi-user account management module (Python 3)
python3-django-otp (1.0.2-1)
pluggable framework for two-factor authentication (Python3 version)
python3-django-pagination (1.0.7-4)
utilities for creating pagination tools for Django
python3-django-paintstore (0.2-4)
Integrates jQuery ColorPicker in Django admin (Python 3 version)
python3-django-picklefield (3.0.1-1)
Pickled object field for Django (Python3 version)
python3-django-pipeline (1.6.14-3)
Asset packaging library for Django (Python3 version)
python3-django-polymorphic (3.0.0-1)
Seamless Polymorphic Inheritance for Django Models (Python3 version)
python3-django-postorius (1.3.4-2+deb11u1)
Web user interface to access GNU Mailman3
python3-django-prometheus (2.1.0-1)
Django middlewares to enable monitoring with Prometheus (Python 3)
python3-django-pyscss (2.0.2-9)
makes it easier to use PySCSS in Django - Python 3.x
python3-django-python3-ldap (0.11.3-1)
Django LDAP user authentication backend (Python3 version)
python3-django-q (1.2.1-1)
Django multiprocessing distributed task queue (Python 3 version)
python3-django-qr-code (2.2.0-1)
Tools for displaying QR codes on your Django site
python3-django-ranged-response (0.2.0-5)
Django file response to stream to browsers properly (Python 3)
python3-django-recurrence (1.10.3-1)
Django utility wrapping dateutil.rrule (Python3 version)
python3-django-redis (4.12.1-1)
Redis cache backend for Django (Python 3)
python3-django-redis-admin (1.4.0-2)
Django admin panel add-on to view/delete Redis keys (Python 3)
python3-django-redis-sessions (0.6.1-2)
Redis database backend for your Django sessions (Python 3)
python3-django-registration (2.2-5)
User-registration application for Django (Python 3)
python3-django-rest-hooks (1.6.0-1.1)
Add webhook subscriptions to Django apps (Python3 version)
python3-django-restframework-guardian (0.3.0-2)
django-guardian support for Django REST Framework (Python3 version)
python3-django-restricted-resource (2016.8-3)
Django Base model for ownership and access control (Python 3)
python3-django-reversion (3.0.8-1)
Provides comprehensive version control facilities for Django (Python3 version)
python3-django-rules (2.2.0-1)
object-level permissions for Django (Python3 version)
python3-django-saml2 (0.50.0-1)
Django application that integrates PySAML2 (Python3 version)
python3-django-sass (1.0.0-2)
simplest way to use Sass with Django
python3-django-sass-processor (0.8.2-1)
compile files from markup languages such as SASS/SCSS
python3-django-sekizai (2.0.0-2)
template blocks for Django projects (Python3 version)
python3-django-session-security (2.6.6+dfsg-1)
Python3 Django module to log a user out after X minutes
python3-django-setuptest (0.2.1-4)
simple test suite enabling Django app testing via setup.py (Python3 version)
python3-django-shortuuidfield (0.1.3-3)
Short UUIDField for Django for Python 3
python3-django-simple-history (2.7.0-1.1)
simple version control from Django model objects (Python 3)
python3-django-sitetree (1.16.0+dfsg-1)
site tree, menu and breadcrumbs navigation for Django (Python3 version)
python3-django-split-settings (0.3.0-2)
Organize Django settings into multiple files and directories (Python3 version)
python3-django-storages (1.11-1)
storage backends in Django (Python3 version)
python3-django-storages-boto3 (1.11-1)
storage backends in Django (Boto3)
python3-django-storages-dropbox (1.11-1)
storage backends in Django (Dropbox)
python3-django-storages-ftp (1.11-1)
storage backends in Django (FTP)
python3-django-storages-libcloud (1.11-1)
storage backends in Django (Libcloud)
python3-django-storages-sftp (1.11-1)
storage backends in Django (SFTP)
python3-django-stronghold (0.3.0+debian-2)
Python3 Django app to make all views default login_required
python3-django-swapper (1.1.2-2)
Django Swappable Models (Python3 version)
python3-django-tables2 (2.1.1-1)
Table/data-grid framework for Django (Python 3)
python3-django-tagging (1:0.4.5-3)
Generic tagging application for Django projects (Python 3)
python3-django-taggit (0.24.0-2)
simple tagging for Django (Python 3)
python3-django-tastypie (0.13.3-1.1)
webservice API framework for Django (Python 3)
python3-django-testproject (0.1.3-3)
Django test project support (Python 3 version)
python3-django-testscenarios (0.10-2)
Django unit test scenarios support (Python 3)
python3-django-timezone-field (4.1-1)
database and form fields for pytz objects (Python3 version)
python3-django-treebeard (4.3.1+dfsg-1)
Efficient implementations of tree data structures for Django (Python3 version)
python3-django-uwsgi (0.2.2-2)
uWSGI related tools for Django (Python3 version)
python3-django-waffle (2.0.0-1)
feature flipper for Django (Python3 version)
python3-django-webpack-loader (0.6.0-2)
Transparently use webpack with Django (Python3 version)
python3-django-websocket-redis (0.4.7-2)
Websockets for Django applications using Redis (Python3 version)
python3-django-wkhtmltopdf (3.3.0-1)
Django module with views for HTML to PDF conversions (Python 3)
python3-django-x509 (0.9-1)
x509 PKI certificates management for Django (Python3 version)
python3-django-xmlrpc (0.1.8-2)
XMLRPC library for Django
python3-djangorestframework (3.12.1-1)
Web APIs for Django, made easy for Python3
python3-djangorestframework-api-key (2.0.0-2)
API key permissions for Django REST Framework
python3-djangorestframework-extensions (0.6.0-3)
custom extensions for Django REST framework (Python3 version)
python3-djangorestframework-filters (1.0.0.dev0-1)
Filters for Django REST Framework (Python3 version)
python3-djangorestframework-generators (0.5.0-1)
Generate DRF Serializers, Views, and URLs (Python3 version)
python3-djangorestframework-gis (0.16-1)
Geographic add-ons for Django REST Framework (Python3 version)
python3-djangorestframework-haystack (1.8.9-1)
Haystack for Django REST Framework (Python3 version)
python3-djoser (2.1.0-1)
REST implementation of Django authentication system (Python3 version)
python3-djvu (0.8.4-3+b3)
Python3 support for the DjVu image format
python3-djvu-dbg (0.8.4-3+b3)
Python3 support for the DjVu image format (debug extension)
python3-dkim (1.0.6-0+deb11u1)
Python 3 module for DKIM and ARC signing and verification
python3-dlt (2.0-3)
Python wrapper for libdlt to read and process DLT logs from devices
python3-dmidecode (3.12.2-11+b2)
Python extension module for dmidecode - Python 3
python3-dmidecode-dbg (3.12.2-11+b2)
Python extension module for dmidecode (debug) - Python 3
python3-dmsh (0.2.11-3)
High-quality 2D mesh generator based on distmesh
python3-dna-jellyfish (2.3.0-10)
count k-mers in DNA sequences (Python bindings of jellyfish)
python3-dnaio (0.5.0-1)
Python 3 library for fast parsing of FASTQ and FASTA files
python3-dnapilib (1.1-3)
adapter prediction for small RNA sequencing - library
python3-dnf (4.5.2-6)
Python interface to DNF (Python 3)
python3-dnf-doc (4.5.2-6)
Python 3 interface to DNF (common documentation)
python3-dns (3.2.1-1)
DNS client module for Python 3
python3-dnslib (0.9.14-1)
Module to encode/decode DNS wire-format packets (Python 3)
python3-dnspython (2.0.0-1)
DNS toolkit for Python 3
python3-dnsq (1.1.2-1.1)
Python DNS query tool
python3-doc (3.9.2-3)
documentation for the high-level object-oriented language Python 3
python3-doc8 (0.8.0-4)
Style checker for Sphinx (or other) RST documentation - Python 3.x
python3-docker (4.1.0-1.2)
Python 3 wrapper to access docker.io's control socket
python3-dockerpty (0.4.1-2)
Pseudo-tty handler for docker Python client (Python 3.x)
python3-dockerpycreds (0.3.0-1.1)
Python3 bindings for the docker credentials store API
python3-docopt (0.6.2-3)
command-line interface description language (Python3)
python3-docs-theme (2018.2-2)
theme for the Python core documentation.
python3-docutils (0.16+dfsg-4)
text processing system for reStructuredText (implemented in Python 3)
python3-dogpile.cache (1.0.2-2)
caching front-end based on the Dogpile lock - Python 3.x
python3-dogtail (0.9.11-7)
GUI test tool and automation framework
python3-doit (0.31.1-3.3)
Automation tool (Python3) to execute any kind of task in a build-tools fashion
python3-dolfin (2019.2.0~git20201207.b495043-5)
Base Python interface for DOLFIN (Python 3)
python3-dolfin-real (2019.2.0~git20201207.b495043-5)
Python interface for DOLFIN
python3-dolfin64-real (2019.2.0~git20201207.b495043-5)
Python interface for DOLFIN (with 64-bit PETSc integers)
python3-dolfinx (2019.2.0~git20210130.c14cb0a-5)
Python interface for DOLFIN (Python 3)
python3-dolfinx-complex (2019.2.0~git20210130.c14cb0a-5)
Python interface for DOLFIN (Python 3)
python3-dolfinx-real (2019.2.0~git20210130.c14cb0a-5)
Python interface for DOLFIN (Python 3)
python3-dominate (2.3.1-2)
Python 3 library for creating and manipulating HTML documents
python3-doris (5.0.3~beta+dfsg-14) [contrib]
Delft object-oriented radar interferometric software - Python tools
python3-dotenv (0.15.0-1)
Get and set values in the .env file in local and production servers
python3-dotenv-cli (2.2.0-1)
CLI that loads .env configuration
python3-doubleratchet (0.6.0-2)
Python 3 implementation of the Double Ratchet algorithm
python3-doxypypy (0.8.8.6-4)
More Pythonic version of doxypy, a Doxygen filter for Python
python3-dpkt (1.9.2-2)
Python 3 packet creation / parsing module for basic TCP/IP protocols
python3-dput (1.33)
next generation Debian package upload tool (Python 3 library)
python3-dracclient (3.1.0-3)
library for managing machines with Dell iDRAC cards - Python 3.x
python3-drizzle (1.13.1-2+b4)
Dithered image combination for Python
python3-drms (0.6.1-1)
Access HMI, AIA and MDI data with Python
python3-dropbox (10.10.0-1)
Official Dropbox API Client (Python3 version)
python3-drslib (0.3.1.p3-2)
Library for processing the CMIP5 Data Reference Syntax (Python3)
python3-dsv (1.4.1-11)
Python module for delimiter-separated-value files (Python 3)
python3-dtcwt (0.12.0-2)
Dual-Tree Complex Wavelet Transform library for Python 3
python3-dtfabric (20200621-1)
Tooling for data type and structure management - Python 3.x
python3-duckpy (3.1.0-2)
simple Python library for searching on DuckDuckGo
python3-duecredit (0.8.0-1)
Publications (and donations) tracer
python3-dugong (3.8.1+dfsg-1)
HTTP 1.1 client module for Python
python3-dulwich (0.20.15-1)
Python Git library - Python3 module
python3-duniterpy (0.60.1-1)
Duniter Python API - Python3 library
python3-dyda (1.41.1-1.1)
dynamic data pipeline framework for DT42 applications
python3-dyda-pipeline-config (1.41.1-1.1)
dynamic data pipeline framework for DT42 applications (config)
python3-dynaconf (2.2.3-2)
Easy and Powerful Settings Configuration for Python
python3-dynamic-reconfigure (1.7.1-3)
Robot OS dynamic-reconfigure library - Python 3 bindings
python3-eagerpy (0.29.0-3)
Wrapper around various Python multidimensional array types
python3-easyansi (0.3-4)
terminal framework for colors, cursor movements, and drawing
python3-easydev (0.10.1+dfsg-1)
common utilities to ease the development of Python packages (Python 3)
python3-easydict (1.9-1)
Javascript-like properties dot notation for Python dicts
python3-easygui (0.98.1-1)
module for very simple, very easy GUI programming in Python3
python3-easyprocess (0.2.5-2)
easy to use python subprocess interface - Python 3.X
python3-easywebdav (1.2.0-8)
straight-forward WebDAV client module (Python 3 interface)
python3-ebooklib (0.17.1-1)
Python 3 E-book library for handling EPUB2/EPUB3/Kindle formats
python3-ecasound (2.9.3-2)
multitrack-capable audio recorder and effect processor (Python bindings)
python3-eccodes (2:1.1.0-1)
Python 3 module Python interface to the ecCodes BUFR and GRIB de/encoder
python3-ecdsa (0.16.1-1)
ECDSA cryptographic signature library (Python 3)
python3-ecflow (5.6.0-1+b2)
Python3 libraries for ecFlow workflow tools
python3-echo (0.5-2)
Callback Properties in Python
python3-edgegrid (1.1.2-2)
OPEN client authentication protocol for python-requests - Python 3.x
python3-editobj3 (0.1+ds1-2)
automatic dialog box generator for Python objects (Python 3)
python3-editor (1.0.3-2)
programmatically open an editor, capture the result - Python 3.x
python3-editorconfig (0.12.2-2.1)
library for working with EditorConfig — Python 3
python3-edlib (1.2.6-1)
library for sequence alignment using edit distance (Python3 module)
python3-efilter (1.5-2.1)
EFILTER query language (Python 3)
python3-einsteinpy (0.3.0-2)
General Relativity in Python
python3-elasticsearch (7.1.0-3)
Python client for Elasticsearch (Python3 version)
python3-elasticsearch-curator (5.8.1-1)
Python 3 library for managing Elasticsearch time-series indices
python3-electrum (4.0.9-1)
Easy to use Bitcoin client - Python module
python3-elementpath (2.1.2-1)
Providing XPath selectors for Python's XML data structures
python3-eliot (1.11.0-1)
logging library for Python that tells you why things happen
python3-email-validator (1.1.1-3)
Robust email address syntax and deliverability validation library (Python 3)
python3-emcee (3.0.2-2)
Affine-invariant ensemble MCMC sampling for Python 3
python3-empy (3.3.2-5.1)
templating system for Python (Python 3)
python3-enchant (3.2.0-1)
spellchecking library for Python 3
python3-enet (0.0~vcs.2017.05.26.git-2.2+b2)
Python3 wrapper for the ENet library
python3-engineio (4.0.0-1)
python3 implementation of the Engine.IO realtime server
python3-enigma (0.1-3)
historically accurate Enigma Machine library
python3-enki2 (1:1.6.99-2+b2)
Python3 bindings for the library libenki2
python3-enlighten (1.7.2-1)
console progress bar module for Python3
python3-enlighten-doc (1.7.2-1)
console progress bar module for Python3 (documentation)
python3-enlighten-examples (1.7.2-1)
console progress bar module for Python3 (examples)
python3-enmerkar (0.7.1-3)
Utilities for using Babel in Django - Python 3.x
python3-entrypoints (0.3-5)
Discover and load entry points from installed packages (Python 3)
python3-envisage (4.9.0-2.1)
Extensible Application Framework
python3-envparse (0.2.0-2)
environment variable parsing (Python 3)
python3-envs (1.2.6-1.1)
Easy access to environment variables in Python
python3-enzyme (0.4.1-2)
video metadata parser (Python 3)
python3-epc (0.0.5-3)
RPC stack for Emacs Lisp (Python3 version)
python3-ephem (3.7.7.1-1+b3)
Compute positions of the planets and stars with Python
python3-epr (1.0.1-1+b3)
Python ENVISAT Product Reader API (Python 3)
python3-epr-dbg (1.0.1-1+b3)
Python ENVISAT Product Reader API (debug extension for Python 3)
python3-erfa (1.7.2+ds-1)
Python bindings for ERFA routines
python3-escript (5.6-3)
Escript/Finley finite elements Python3 system (with OpenMP)
python3-escript-mpi (5.6-3)
Escript/Finley finite elements Python3 system (OpenMP + MPI)
python3-esmre (0.5.2-1+b2)
Regular expression acceleration in Python3
python3-espeak (0.5-3+b1)
Python bindings for eSpeak
python3-et-xmlfile (1.0.1-2.1)
low memory library for creating large XML files (Python 3)
python3-etcd (0.4.5-2)
Python Etcd client library - Python3 module
python3-etcd3 (0.12.0-2)
client for the etcd3 API - Python 3.x
python3-etcd3gw (0.2.5-1)
client for etcd3 grpc-gateway v3 API - Python 3.x
python3-ete3 (3.1.2+dfsg-2)
Python Environment for (phylogenetic) Tree Exploration - Python 3.X
python3-etelemetry (0.2.0-4)
lightweight Python3 client to communicate with the etelemetry server
python3-etesync (0.12.1-1)
python client library for EteSync
python3-ethtool (0.14-3+b3)
Python bindings for the ethtool kernel interface - Python 3.x
python3-evdev (1.4.0+dfsg-1+b1)
Python 3 bindings for the Linux input subsystem
python3-evemu (2.7.0-3)
Linux Input Event Device Emulation Library - Python3 bindings
python3-eventlet (0.26.1-7+deb11u1)
concurrent networking library
python3-evtx (0.6.1-2)
parser for recent Windows Event Log files -- Python 3 version
python3-ewmh (0.1.6-2)
Python interface to EWMH-compliant window managers (Python 3)
python3-exabgp (4.2.8-2)
BGP swiss army knife of networking - Python 3 module
python3-exactimage (1.0.2-8+b3)
fast image manipulation library (Python3 bindings)
python3-exam (0.10.5-3)
Python module to help write better tests
python3-examples (3.9.2-3)
examples for the Python language (default version)
python3-exchangelib (3.2.0-1)
Client for Microsoft Exchange Web Services (Python3 version)
python3-execnet (1.7.1-4)
rapid multi-Python deployment (Python 3)
python3-executing (0.5.3-1)
Inspect Python AST node being executed
python3-exif (2.3.2-1)
Transition package for python3-exifread
python3-exifread (2.3.2-1)
Python library to extract Exif data from TIFF and JPEG files
python3-exotel (0.1.5-2)
Python library for exotel APIs (Python 3)
python3-expeyes (4.8.8+repack-2)
Python3 library for expeyes
python3-expiringdict (1.1.4-1)
Python3 caching libarry
python3-extension-helpers (0.1-3)
Utilities for building and installing packages
python3-extras (1.0.0-4)
extensions to the Python standard library (Python 3.x)
python3-eyed3 (0.8.10-4)
Python module for id3-tags manipulation (Python 3 version)
python3-ezdxf (0.14.2-3)
python module to create and modify DXF drawings (Python 3)
python3-f2py
本虛擬套件由這些套件填實: python3-numpy
python3-fabio (0.11.0+dfsg-3)
I/O library for images produced by 2D X-ray detector - Python3
python3-fabio-dbg (0.11.0+dfsg-3)
I/O library for images produced by 2D X-ray detector - Python3 debug
python3-fabric (2.5.0-0.3)
Simple Pythonic remote deployment tool
python3-fabulous (0.3.0+dfsg1-8)
Python module to make your terminal output fabulous
python3-factory-boy (2.11.1-3)
test fixtures replacement based on factory_girl for Ruby - Python 3.x
python3-fake-factory (0.9.3-0.1)
Faker is a Python library that generates fake data (Python 3)
python3-fakeredis (1.4.5-4)
Fake version of a redis-py
python3-fakesleep (0.1-2)
Fake version of time.sleep() for use in tests
python3-falcon (2.0.0-2+b1)
supersonic micro-framework for building cloud APIs - Python 3.x
python3-fann2 (1:1.2.0+ds-2+b2)
Python 3 bindings for FANN
python3-fast-histogram (0.9-2)
Fast 1D and 2D histogram functions in Python
python3-fast5 (0.6.5-4+b4)
library for reading Oxford Nanopore Fast5 files -- Python 3
python3-fastapi (0.63.0-2)
modern, fast, web framework for building APIs, based on type hints
python3-fastchunking (0.0.3-2+b4)
Fast text chunking algorithms for Python (Python 3)
python3-fastcluster (1.1.25-3+b5)
Fast hierarchical clustering routines for Python 3
python3-fasteners (0.14.1-2)
provides useful locks - Python 3.x
python3-fastentrypoints (0.12-2)
Make entry_points specified in setup.py load more quickly
python3-fastimport (0.9.8-5)
Fastimport file format parser and generator library
python3-fastkml (0.11-3)
fast KML processing (Python 3)
python3-fasttext (0.9.2-3+b2)
fastText binding for Python3
python3-fava (1.18-1)
Web interface for the double-entry accounting tool Beancount
python3-feather-format (0.3.1+dfsg1-4+b2)
Python interface to the Apache Arrow-based Feather File Format (Python 3)
python3-feature-check (0.2.2-7)
query a program for supported features - Python 3.x library
python3-fedora (1.1.1-1)
Python modules for interacting with Fedora Services (Python 3)
python3-feedgenerator (1.9-2)
Syndication feed generation library (Python 3 version)
python3-feedparser (5.2.1-3)
Universal Feed Parser for Python 3
python3-ferret (7.6.3-3+b1)
Python3 wrapper for the Ferret visualization and analysis environment,
python3-ffc (2019.2.0~git20210115.cb26c91-1)
compiler for finite element variational forms (Python 3)
python3-ffcx (2019.2.0~git20210122.22ca4c0-2)
next-gen compiler for finite element variational forms (Python 3)
python3-fftw3
本虛擬套件由這些套件填實: python3-pyfftw
python3-fiat (2019.2.0~git20210116.0439689-2)
tabulation of finite element function spaces (Python 3)
python3-fido2 (0.9.1-1)
Python library for implementing FIDO 2.0
python3-fido2-api (= 0.9)
本虛擬套件由這些套件填實: python3-fido2
python3-fife (0.4.2-3)
FIFE is a multi-platform isometric game engine
python3-file-encryptor (0.2.9-5)
Python 3 module to convergently encrypt and decrypt files
python3-filelock (3.0.12-2)
platform independent file locking module
python3-fiona (1.8.18-1+b1)
Python 3 API for reading/writing vector geospatial data
python3-fire (0.4.0-1)
automatically generate CLIs from absolutely any Python object
python3-firewall (0.9.3-2)
Python3 bindings for firewalld
python3-first (2.0.0-3)
simple function that returns the first true value from an iterable
python3-fissix (20.8.0-2)
backport of lib2to3 that supports the latest Python3 grammars
python3-fisx (1.2.0-1)
Quantitative X-Ray Fluorescence Analysis Support Library - (Python 3)
python3-fisx-dbg (1.2.0-1)
Quantitative X-Ray Fluorescence Analysis Support Library - (Python 3 Debug)
python3-fitbit (0.3.1-2)
FitBit REST API Client Implementation - Python 3
python3-fitsio (1.1.4+dfsg-1)
Python 3 library to read from and write to FITS files
python3-fitz (1.17.4+ds1-2+b4)
Python binding for MuPDF
python3-fiu (1.00-7+b3)
userspace fault injection framework (Python 3 bindings)
python3-fixtures (3.0.0-4)
PyUnit extension for defining test fixtures outside of test cases - Python 3.x
python3-flake8 (3.8.4-1)
code checker using pycodestyle and pyflakes - Python 3.x
python3-flake8-docstrings (1.1.0-1.1)
flake8 extension to check docstrings
python3-flake8-polyfill (1.0.2-2)
polyfill package for Flake8 plugins
python3-flaky (3.7.0-1)
Plugin for nose or py.test that automatically reruns flaky tests (Python 3)
python3-flasgger (0.9.5+dfsg.2-1)
Extract swagger specs from your flask project
python3-flask (1.1.2-2+deb11u1)
micro web framework based on Werkzeug and Jinja2 - Python 3.x
python3-flask-api (1.1+dfsg-1.1)
Browsable web APIs for the Flask micro web framework
python3-flask-assets (2.0-1)
Python 3 flask extension that provides webassets integration
python3-flask-autoindex (0.6.6-2)
automatically generated index pages for Flask applications (Python 3)
python3-flask-babel (0.12.2-2)
internationalization and localization support for Flask (Python 3)
python3-flask-babelex (0.9.4-1)
Adds i18n/l10n support to Flask applications
python3-flask-bcrypt (0.7.1-2)
Python 3 flask extension that provides bcrypt hashing utilities
python3-flask-caching (1.9.0-1)
Cache support for flask applications
python3-flask-compress (1.4.0-3)
Compress responses in a Flask app with gzip
python3-flask-cors (3.0.9-2)
Flask extension for handling CORS (Python 3)
python3-flask-flatpages (0.6-1.1)
Provides flat static pages to a Python 3 Flask application
python3-flask-gravatar (0.4.2-2)
Extension for Flask to make usage of Gravatar service
python3-flask-htmlmin (1.3.2-2)
Minimize render templates html
python3-flask-httpauth (3.2.4-3.1)
Basic and Digest HTTP authentication for Flask (Python 3)
python3-flask-ldapconn (0.7.2-1.1)
LDAP connection and ORM for Flask Applications (Python 3)
python3-flask-login (0.5.0-1)
user session management for Flask -- Python 3 module
python3-flask-mail (0.9.1+dfsg1-1.1)
Flask extension for sending email
python3-flask-marshmallow (0.10.1-4)
Flask + marshmallow for beautiful APIs
python3-flask-migrate (2.6.0-1)
SQLAlchemy migrations for Flask using Alembic and Python 3
python3-flask-mongoengine (0.9.3-4)
Extension that provides integration with MongoEngine (Python 3)
python3-flask-openid (1.2.5+dfsg-4)
OpenID support for Flask applications (Python 3)
python3-flask-paranoid (0.2.0-3.1)
Simple user session protection
python3-flask-peewee (0.6.7-2)
Peewee integration for flask (Python 3)
python3-flask-principal (0.4.0-2)
identity management for Flask
python3-flask-restful (0.3.8-5)
REST API framework for Flask applications (Python3 Module)
python3-flask-script (2.0.6-2)
set of utilities for use with the Flask framework and Python 3
python3-flask-security (4.0.0-1+deb11u1)
Simple security for Flask apps (Python 3)
python3-flask-seeder (0.1~a2-2)
Flask extension to seed database through scripts
python3-flask-silk (0.2-18)
FamFamFam Silk icon set for Flask applications (Python 3)
python3-flask-socketio (5.0.1-1)
Socket.IO integration for Flask applications
python3-flask-sockets (0.2.1-4)
elegant WebSockets for your Flask apps
python3-flask-sqlalchemy (2.1-4)
adds SQLAlchemy support to your Python 3 Flask application
python3-flask-testing (0.8.1-1)
unit testing utilities for the Flask micro web framework
python3-flaskext.multistatic (1.0-2)
Simple flask plugin for overriding static files (Python 3)
python3-flaskext.wtf (0.14.3-1)
Simple integration of Flask and WTForms (Python 3)
python3-flatbuffers (1.12.1~git20200711.33e2d80+dfsg1-0.6)
efficient cross platform serialization library - Python bindings
python3-flatlatex (0.8-1.1)
Python3 LaTeX math converter to unicode text - library
python3-flexmock (0.10.4-3)
Mock/Stub/Spy library for Python 3
python3-flickrapi (2.1.2-5.1)
Flickr API wrapper for Python 3
python3-flor (1.1.3-1)
efficient Bloom filter library (Python3 version)
python3-fluent-logger (0.9.6-4)
Structured logger for Fluentd - Python
python3-flufl.bounce (3.0.1-1)
email bounce detectors (Python 3)
python3-flufl.enum (4.1.1-3)
yet another Python enumeration package (Python 3)
python3-flufl.i18n (3.0.1-1)
high level API for Python internationalization (Python 3)
python3-flufl.lock (5.0.1-1)
NFS-safe file-based lock with timeouts (Python 3)
python3-flufl.password (1.3-3)
password hashing and verification
python3-flufl.testing (0.7-2)
small collection of Python test helpers
python3-fluids (0.1.78-3)
Python 3 fluid dynamics and engineering design library
python3-folium (0.12.1+dfsg-1)
visualize geographic data in a Leaflet map
python3-fontforge (1:20201107~dfsg-4+deb11u1) [security]
font editor - Python bindings
python3-fontmake (2.3.0-2)
Python library for compiling fonts from UFO or Glyphs to OTF/TTF
python3-fontmath (0.6.0-1)
Objects for performing math operations on font data
python3-fontparts (0.9.6-1)
API for interacting with the parts of fonts
python3-fontpens (0.2.4-1)
Classes implementing Pen protocol for manipulating UFO glyphs
python3-fonttools (4.19.1-1+b1 [mips64el, mipsel], 4.19.1-1 [amd64, arm64, armel, armhf, i386, ppc64el, s390x])
Converts OpenType and TrueType fonts to and from XML (Python 3 Library)
python3-forge (1.3.0-7)
mocking and testing modules (Python 3 version)
python3-formencode (2.0.0-2)
validation and form generation Python 3 package
python3-fparser (0.0.11-2)
Python3 Parser for the Fortran language
python3-fpylll (0.5.2+ds1-3)
Python wrapper for LLL-reduction of Euclidean lattices -- Python 3
python3-freecontact (1.1-5+b7)
fast protein contact predictor - binding for Python3
python3-freetype (2.2.0-1)
Freetype Python bindings for Python 3
python3-freezegun (0.3.15-1)
Python3 library to mock the datetime module in unit testing
python3-freezer (9.0.0-2)
OpenStack backup restore and disaster recovery service - Python files
python3-freezer-api (9.0.0-2)
OpenStack backup restore and disaster recovery service - Python files
python3-freezer-web-ui (9.0.0-2)
OpenStack Freezer - Dashboard plugin
python3-freezerclient (4.0.0-2)
OpenStack backup restore and disaster recovery service - client files
python3-frozen-flask (0.11-3.1)
Freezes a Flask application into a set of static files
python3-frozendict (1.2-2)
immutable dictionary - Python 3.x
python3-fs (2.4.12-1)
Python filesystem abstraction
python3-fsapfs (20201107-1)
APFS access library -- Python 3 bindings
python3-fsquota (0.1.0+dfsg1-2)
Python filesystem quota module (Python 3)
python3-fsspec (0.8.4-1)
specification that Python filesystems should adhere to (Python 3)
python3-fswrap (1.0.1-3)
unified object oriented interface to file system objects (Python 3)
python3-ftdi1 (1.5-5+b1)
Python module to control and program the FTDI USB controllers
python3-ftputil (3.4-3)
high-level FTP client library for Python
python3-fudge (1.1.0-2)
Python 3 module for using fake objects for tests
python3-full (3.9.2-3)
Default Python Interpreter with complete class library
python3-funcparserlib (0.3.6+dfsg1-1)
Recursive descent parsing library for python3
python3-funcsigs (1.0.2-5)
function signatures from PEP362 - Python 3.x
python3-furl (2.1.0-3)
Small Python library for URLs parsing and manipulating
python3-fuse (2:1.0.2-1)
Python bindings for FUSE (Filesystems in USErspace) (Python 3 package)
python3-fusepy (3.0.1-2)
simple Python interface to FUSE (Python 3)
python3-future (0.18.2-5)
Clean single-source support for Python 3 and 2 - Python 3.x
python3-futurist (2.3.0-2)
useful additions to futures, from the future - Python 3.x
python3-fuzzywuzzy (0.18.0-2)
Python 3 module for fuzzy string matching
python3-fysom (2.1.5-3)
pYthOn Finite State Machine (Python3 package)
python3-gabbi (2.0.1-1)
declarative HTTP testing library - Python 3.x
python3-galpy (1.6.0.post0-2)
Python 3 package for Galactic Dynamics
python3-gammapy (0.17-2+b2)
Python package for gamma-ray astronomy
python3-ganeti-rapi (3.0.2-1~deb11u1)
cluster virtualization manager - RAPI client library (Python 3)
python3-gattlib (0~20201113-1+b1 [amd64], 0~20201113-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Bluetooth LE GATT library for Python 3
python3-gau2grid (2.0.7-1)
Computing gaussians on a grid (Python 3 module)
python3-gavo (2.3+dfsg-3)
Virtual Observatory data center publishing suite
python3-gavo-stc (2.3+dfsg-3)
IVOA Space-Time-Coordinate (STC) library
python3-gavo-utils (2.3+dfsg-3)
support library for the Virtual Observatory
python3-gavo-votable (2.3+dfsg-3)
library for the reading and writing of VOTables
python3-gbulb (0.6.1-0.1)
PEP 3156 event loop based on GLib (Python 3)
python3-gccjit (0.4-11)
Python3 bindings for libgccjit
python3-gccjit-dbg (0.4-11)
Python3 bindings for libgccjit (debug build)
python3-gdal (3.2.2+dfsg-2+deb11u2)
Python 3 bindings to the Geospatial Data Abstraction Library
python3-gdbm (3.9.2-1)
GNU dbm database support for Python 3.x
python3-gdbm-dbg (3.9.2-1)
GNU dbm database support for Python 3.x (debug extension)
python3-gdcm (3.0.8-2)
Grassroots DICOM Python bindings
python3-gdl (0.9.9-13+b1)
Python interface for the GNU Data Language
python3-gdspy (1.4.2-2+b3)
Python library for GDSII handling (Python 3)
python3-gencpp (0.6.5-2)
C++ Robot OS message and service generators - Python 3 version
python3-geneimpacts (0.3.7-3)
wraps command line tools to assess variants in gene sequences
python3-genetic (0.1.1b+git20170527.98255cb-2)
genetic algorithms in Python
python3-gengeo (1.4-3+b5)
DEMGenGeo is a library for creating geometry files (Python 3)
python3-genlisp (0.4.18-2)
Common-Lisp Robot OS message and service generators - Python 3 version
python3-genmsg (0.5.16-4)
Python 3 library for generating Robot OS message and service data
python3-genometools (1.6.1+ds-3)
Python3 bindings for genometools
python3-genpy (0.6.14-2)
Python 3 Robot OS message and service generators
python3-genshi (0.7.5-1)
Python XML-based template engine - Python 3.x
python3-gensio (2.2.4-1)
abstract stream I/O (python 3 bindings)
python3-genty (1.3.2-1)
Allows you to run a test with multiple data sets (Python 3)
python3-genx (3.0.2-1+b1 [amd64], 3.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
differential evolution algorithm for fitting
python3-geoalchemy2 (0.8.4-3)
SQLAlchemy extension for spatial databases using PostGIS
python3-geographiclib (1.51-1)
Python 3 implementation of GeographicLib
python3-geoip (1.3.2-3+b3)
Python3 bindings for the GeoIP IP-to-country resolver library
python3-geoip2 (2.9.0+dfsg1-2)
Python geoip2 API for web services and databases
python3-geojson (2.5.0-3)
Python 3 bindings and utilities for GeoJSON
python3-geolinks (0.2.0-5)
Python 3 Library for using geospatial links (catalogue interoperablity)
python3-geomet (0.2.1.post1-2)
convert GeoJSON to/from WKT/WKB (Python)
python3-geometry-msgs (1.13.0-2)
Messages relating to Robot OS geometry, Python 3 interface
python3-geopandas (0.8.2-1)
Python3 tools for geographic data
python3-geopy (2.0.0-1)
geocoding toolbox for Python3
python3-geotiepoints (1.2.0-3+b1)
Interpolation of geographic tiepoints in Python
python3-germinate (2.36)
expand dependencies in seed packages (Python 3 interface)
python3-gerritlib (0.8.0-2)
client library for accessing Gerrit with Python3
python3-ges-1.0 (1.18.4-2)
Python bindings for the GES library
python3-get-version (2.1-2)
Version helper in the spirit of versioneer
python3-getdns (1.0.0~b1-2+b4)
modern asynchronous DNS API (python 3 bindings)
python3-getfem++ (5.3+dfsg1-3+b9)
Python interface to the GETFEM++ generic finite element library
python3-gevent (20.9.0-2)
gevent is a coroutine-based Python networking library
python3-gevent-dbg (20.9.0-2)
gevent is a coroutine-based Python networking library - debugging symbols
python3-gevent-websocket (0.10.1-4)
websocket library for the gevent networking library (Python 3)
python3-gfapy (1.1.0+dfsg-1+b1)
flexible and extensible software library for handling sequence graphs
python3-gffutils (0.10.1-2)
Work with GFF and GTF files in a flexible database framework
python3-gflags (1.5.1-7)
implementation of the Google command line flags module - Python 3.x
python3-ghdiff (0.4-2)
Generator of Github-style HTML for unified diffs
python3-gi (3.38.0-2)
Python 3 bindings for gobject-introspection libraries
python3-gi-cairo (3.38.0-2)
Python 3 Cairo bindings for the GObject library
python3-gi-dbg (3.38.0-2)
Python 3 bindings for gobject-introspection libraries (debug extension)
python3-gimmik (2.1-1.1)
generator of matrix multiplication kernels (Python 3)
python3-ginga (3.1.0-1)
Astronomical image toolkit for Python
python3-git (3.1.14-1)
Python library to interact with Git repositories - Python 3.x
python3-git-os-job (1.0.1-4)
Show the OpenStack job logs for a commit - Python 3.x
python3-git-repo-updater (0.5.1-4)
Tool to update multiple git repositories at once (Python 3)
python3-gitdb (4.0.5-1)
pure-Python git object database (Python 3)
python3-github (1.43.7-1)
Access the full Github API v3 from Python3
python3-gitlab (1:2.5.0-1)
Python 3 GitLab API client library
python3-glad (0.1.30-1.1)
GL/GLES/EGL/GLX/WGL Loader-Generator (Python 3)
python3-glance (2:21.0.0-2+deb11u1)
OpenStack Image Registry and Delivery Service - Python library
python3-glance-store (2.3.0-4)
OpenStack Image Service store library - Python 3.x
python3-glanceclient (1:3.2.2-2)
Client library for Openstack glance server - Python 3.x
python3-glareclient (0.5.3-6)
client library for the OpenStack GLare Artifact REpository API - Python 3.x
python3-gleetex (3.1.0-1)
Module to embed LaTeX equations in HTML files
python3-glob2 (0.5-3)
Enhanced glob module for Python
python3-glue (1.0.1+dfsg-1)
Python 3 library for data interaction
python3-glymur (0.9.3-1)
Python tools for accessing JPEG2000 files
python3-glyphslib (5.3.2+ds1-1)
Library for converting between Glyphs files (.glyphs) and UFOs
python3-gmplot (1.2.0-2)
matplotlib-like interface to plotting data with Google Maps (python3)
python3-gmpy2 (2.1.0~b5-0.1+b2)
interfaces GMP to Python 3 for fast, unbound-precision computations
python3-gmsh (4.7.1+ds1-5)
Three-dimensional finite element mesh generator Python 3 wrapper
python3-gnocchi (4.4.0-1)
Metric as a Service - Python 3.x
python3-gnocchiclient (7.0.6-1)
bindings to the OpenStack Gnocchi API - Python 3.x
python3-gntp (1.0.3-2)
Python library for the Growl Notification Transport Protocol
python3-gnucap (0.0.2-1.2+b2)
Python 3 bindings for the GNU Circuit Analysis Package
python3-gnucash (1:4.4-1)
Gnucash interface for Python
python3-gnupg (0.4.6-1)
Python wrapper for the GNU Privacy Guard (Python 3.x)
python3-gnuplot (1.8-8)
Python interface to the gnuplot plotting program
python3-gnuplotlib (0.37-1)
Gnuplot-based plotter for numpy
python3-goocalendar (0.7.1-1)
Calendar widget for GTK+ using PyGoocanvas (Python 3)
python3-google-auth (1.5.1-3)
Google Authentication Library - Python 3.x
python3-google-auth-httplib2 (0.0.4-2)
Google Authentication Library: httplib2 transport
python3-google-auth-oauthlib (0.4.2-1)
oauthlib integration with google-auth
python3-google-i18n-address (2.4.0-2)
Internationalization address metadata (python3 bindings)
python3-googleapi (1.7.11-4)
Google APIs Client Library - Python 3.x
python3-googleapi-samples (1.7.11-4)
Google APIs Client Library for Python, samples
python3-gpapi (0.4.4+git20200310-2)
Unofficial Python API for Google Play
python3-gpg (1.14.0-1+b2)
Python interface to the GPGME GnuPG encryption library (Python 3)
python3-gphoto2 (1.9.0-1+b2)
Python interface to libgphoto2 (Python 3)
python3-gphoto2
本虛擬套件由這些套件填實: python3-gphoto2cffi
python3-gphoto2cffi (0.4.3~a1-1.1+b1)
GPhoto2 bindings with simpler API
python3-gpiozero (1.4.1-1.3)
simple interface to everyday GPIO components used with Raspberry Pi (Python 3)
python3-gps (3.22-4)
Global Positioning System - Python 3 libraries
python3-gpsoauth (0.4.1-3)
Client library for Google Play Services OAuth
python3-gpumodules (3.5.0-1)
adjustment and inspection of AMD GPUs
python3-gpxpy (1.4.2-1)
GPX file parser and GPS track manipulation library (Python 3)
python3-gpyfft (0.7.0-2+b4 [amd64, arm64, armhf, i386], 0.7.0-2 [armel])
Wrapper for the OpenCL FFT library clFFT (Python 3)
python3-gpyfft-dbg (0.7.0-2+b4 [amd64, arm64, armhf, i386], 0.7.0-2 [armel])
Wrapper for the OpenCL FFT library clFFT (Python 3 debug)
python3-grapefruit (0.1~a3+dfsg-8)
Python module to manipulate color information easily (Python 3)
python3-graphite2 (1.3.14-1)
Python bindings for libgraphite2
python3-graphviz (0.14.2-1)
Simple Python 3 interface for Graphviz
python3-graypy (2.1.0-1)
Python logging handler that sends messages in GELF (Python 3)
python3-greenlet (0.4.17-1+b1)
Lightweight in-process concurrent programming (python3)
python3-greenlet-dbg (0.4.17-1+b1)
Lightweight in-process concurrent programming - debugging symbols (python3)
python3-grib (2.1.3-1)
Python 3 module for reading and writing GRIB files
python3-griddataformats (0.5.0+git20200812.be6132a-1)
Handling volumetric data in Python
python3-gridfs (3.11.0-1)
Python3 implementation of GridFS for MongoDB
python3-grpc-tools (1.14.1-1+b5 [amd64], 1.14.1-1+b4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Protobuf code generator for gRPC (Python 3)
python3-grpcio (1.30.2-3)
GRPC system (Python 3)
python3-gsd (2.4.0-1)
native file format for HOOMD-blue (Python 3 module)
python3-gssapi (1.6.1-1+b3)
Python 3 interface to GSSAPI
python3-gst-1.0 (1.18.3-1)
GStreamer GObject Introspection overrides for Python (Python 3)
python3-gst-1.0-dbg (1.18.3-1)
GStreamer GObject Introspection overrides for Python (Python 3, debug extensions)
python3-gsw (3.4.0-1+b2)
Python 3 implementation of the Thermodynamic Equation of Seawater
python3-gtkspellcheck (4.0.5-2)
Python 3 spellchecking library for GTK+ based on Enchant
python3-gtts (2.0.3-1)
Wrapper and CLI utility for the Google TTS (Text-to-Speech) API (Python 3)
python3-gtts-token (1.1.3-1)
Calculates a token to run the Google Translate text to speech (Python 3)
python3-gudhi (3.4.1+dfsg-1)
Python 3 interface to the GUDHI library
python3-guess-language (0.5.2-5)
library to detect the natural language of a text (Python 3 version)
python3-guessit (3.2.0-1)
library for guessing information from video filenames (Python 3)
python3-guestfs (1:1.44.0-2)
guest disk image management system - Python 3 bindings
python3-guidata (1.7.9-1)
dataset manipulation GUI generator - Python 3
python3-guiqwt (3.0.5-1+b2)
efficient 2D data-plotting library - Python 3
python3-guizero (1.1.1+dfsg1-3)
module to allow quick and easy GUI creation (Python 3)
python3-gumbo (0.10.1+dfsg-2.4)
pure-C HTML5 parser Python 3 bindings
python3-gunicorn (20.1.0-1)
Event-based HTTP/WSGI server (Python 3 libraries)
python3-guzzle-sphinx-theme (0.7.11-5)
Sphinx theme used by Guzzle
python3-gv (2.42.2-5)
Python3 bindings for graphviz
python3-gwcs (0.16.1-1)
Tools for managing the WCS of astronomical data (Python 3)
python3-gwebsockets (0.7-2)
websocket server written in Python
python3-gyoto (1.4.4-3+b6)
General relativistic geodesic integration for the Python 3 language
python3-h11 (0.12.0-1)
Pure-Python, bring-your-own-I/O implementation of HTTP/1.1 (Python 3)
python3-h2 (4.0.0-3)
Pure-Python3 HTTP/2 State-Machine based protocol implementation in Python
python3-h5netcdf (0.8.1-2)
netCDF4 support via h5py for Python 3
python3-h5py (2.10.0-9)
general-purpose Python interface to hdf5
python3-h5py-dbg (2.10.0-9)
debug extensions for h5py (Python 3)
python3-h5py-mpi (2.10.0-9+b2)
general-purpose Python interface to hdf5 (Python 3 MPI)
python3-h5py-mpi-dbg (2.10.0-9+b2)
debug extensions for h5py (Python 3 MPI)
python3-h5py-serial (2.10.0-9+b2)
general-purpose Python interface to hdf5 (Python 3 serial)
python3-h5py-serial-dbg (2.10.0-9+b2)
debug extensions for h5py (Python 3 serial)
python3-h5sparse (0.1.0-2)
Scipy sparse matrix in HDF5
python3-hachoir
本虛擬套件由這些套件填實: hachoir
python3-hacking (1.1.0-4)
Flake8 OpenStack Hacking Guidelines Enforcement plugins - Python 3.x
python3-hamcrest (1.9.0-3)
Hamcrest framework for matcher objects (Python 3)
python3-hamlib (4.0-7)
Run-time Python3 library to control radio transceivers and receivers
python3-haproxy-log-analysis (2.0~b0-2)
generate aggregate statistics from HAProxy HTTP logs (Python 3)
python3-harmony (0.7.0-1)
program and library for creating and managing Discord accounts
python3-harp (1.12-1+b1)
Python3 interface for the HARP data harmonisation toolset
python3-hashids (1.3.1-1)
Python implementation of hashids
python3-hawkey (0.55.2-6)
Python bindings for the hawkey library (Python 3)
python3-hawkey-doc (0.55.2-6)
Python 3 bindings for the hawkey library (common documentation)
python3-hcloud (1.10.0-1)
official client library for Hetzner Cloud (Python 3)
python3-hdf-compass (0.7~b8-3)
public modules for the HDF Compass
python3-hdf4 (0.10.2-1+b3)
Python-HDF4: Python interface to the NCSA HDF4 library
python3-hdmedians (0.14.1-1+b2)
high-dimensional medians in Python3
python3-hdmf (2.3.0-1)
Hierarchical Data Modeling Framework
python3-healpy (1.14.0-1+b2)
HEALPix representation of spherical data - Python3 interface
python3-heapdict (1.0.1-1)
heap with decrease-key and increase-key operations for Python 3
python3-heat (1:15.0.0-4)
OpenStack orchestration service - Python files
python3-heat-dashboard (4.0.0-2)
OpenStack orchestration service - dashboard plugin
python3-heatclient (2.2.1-2)
client library and CLI for OpenStack Heat - Python 3.x
python3-hgapi (1.7.3+git20170127.dd8fb7b-3)
module providing a pure-Python API to Mercurial (Python 3)
python3-hglib (2.6.2-1)
Python3 library for interfacing with Mercurial's command server
python3-hid (0.9.0.post3-2+b2)
cython3 interface to hidapi
python3-hidapi (0.2.2-1.1+b2)
Python bindings for the HID API
python3-hiera (0.0.1+20190629-2)
Python language bindings for the hiera hierarchical database (Python 3)
python3-hijra (1.0-1) [non-free]
Hijri Islamic Calendar converting functions for Python
python3-hinawa-utils (0.2.0-3)
Library to control Audio and Music units on FireWire (IEEE1394)
python3-hips (0.2-3)
Python package for Hierarchical Progressive Surveys
python3-hiredis (1.0.1-1+b2)
redis protocol reader for Python using hiredis
python3-hiro (0.5-2)
time manipulation utilities for Python
python3-hisat2 (2.2.1-2)
Python scripts accompanying hisat2
python3-hivex (1.3.20-1)
Python 3 bindings for hivex
python3-hkdf (0.0.3-5)
HMAC-based Extract-and-Expand Key Derivation Function (HKDF)
python3-hl7 (0.4.1-1)
Python3 library for parsing HL7 messages
python3-hnswlib (0.4.0-3+deb11u1)
Python bindings for hnswlib: fast approximate nearest neighbor search
python3-holidays (0.10.1-2)
Python library for generating sets of holidays
python3-hpack (4.0.0-2)
Pure-Python3 HTTP/2 header encoding (HPACK)
python3-hpilo (4.3-3)
HP iLO XML interface access from Python (Python 3)
python3-hplefthandclient (1.0.1-3)
HP LeftHand/StoreVirtual HTTP REST Client - Python 3.x
python3-hsluv (5.0.2-1)
Human-friendly HSL (Python 3)
python3-html-sanitizer (1.9.1-2)
HTML sanitizer with more HTML fragment transforms
python3-html2text (2020.1.16-1)
Python module for converting HTML to Markdown text (Python3 version)
python3-html5-parser (0.4.9-3+b3)
fast, standards compliant, C based, HTML 5 parser for python
python3-html5lib (1.1-3)
HTML parser/tokenizer based on the WHATWG HTML5 specification
python3-htmlmin (0.1.12-3)
HTML Minifier
python3-htseq (0.13.5-1)
Python3 high-throughput genome sequencing read analysis utilities
python3-httmock (1.4.0-1)
Mocking library for python3-requests
python3-http-parser (0.9.0-1+b2)
http request/response parser (Python 3)
python3-httpbin (0.5.0+dfsg-4)
HTTP request and response service (Python 3 package)
python3-httpcore (0.12.3-1)
minimal low-level HTTP client
python3-httplib2 (0.18.1-3)
comprehensive HTTP client library written for Python3
python3-httpretty (0.9.5-7)
HTTP client mock - Python 3.x
python3-httpsig (1.3.0-1)
sign HTTP requests (IETF HTTP Signatures) - Python 3 version of the package
python3-httptools (0.1.1-1+b3)
framework independent HTTP protocol utils (Python3 version)
python3-httpx (0.16.1-1)
next generation HTTP client
python3-humanfriendly (9.1-1)
Python3 library to make user friendly text interfaces
python3-humanize (3.2.0-1)
Python Humanize library (Python 3)
python3-hunspell (0.5.5-2+b4)
Python 3 binding for Hunspell
python3-hupper (1.10.2-1)
Integrated process monitor for developing servers. (Python 3)
python3-hurry.filesize (0.9-3)
human readable file sizes or anything sized in bytes - Python 3.x
python3-hy (0.19.0-2)
Lisp (s-expression) based frontend to Python 3
python3-hydroffice.bag (0.2.15-3)
manage Bathymetric Attributed Grid (BAG) data files (Python 3)
python3-hypercorn (0.11.2-1)
ASGI Server based on Hyper libraries and inspired by Gunicorn
python3-hyperframe (6.0.0-1)
Pure-Python3 HTTP/2 framing code
python3-hyperlink (19.0.0-2)
Immutable, Pythonic, correct URLs.
python3-hyperspy (1.6.1-1)
interactive analysis of multidimensional datasets
python3-hypothesis (5.43.3-1)
advanced Quickcheck style testing library for Python 3
python3-hypothesis-auto (1.1.4-2)
Extends Hypothesis to add fully automatic testing of type annotated functions
python3-i3ipc (2.2.1-2)
Python library to control i3wm and sway
python3-iapws (1.5.2-1)
Python3 implementation of the international APWS-IF97 steam tables
python3-ibm-cloud-sdk-core (1.6.2-1)
Client library for the IBM Cloud services (Python3 version)
python3-ibm-watson (4.3.0-1)
Python client library to use the IBM Watson Services (Python 3)
python3-ibus-1.0 (1.5.23-2)
Intelligent Input Bus - introspection overrides for Python (Python 3)
python3-icalendar (4.0.3-4)
parser/generator of iCalendar files for use with Python3
python3-icecream (2.0.0-1)
Debugging tool for Python scripts
python3-icoextract (0.1.2-2)
icon extraction library for Windows PE files
python3-icu (2.5-1+b2)
Python 3 extension wrapping the ICU C++ API
python3-icu-dbg (2.5-1+b2)
Python 3 extension wrapping the ICU C++ API (debug extension)
python3-identify (1.5.13-1)
File identification library for Python
python3-idna (2.10-1)
Python IDNA2008 (RFC 5891) handling (Python 3)
python3-ifaddr (0.1.7-1)
Pure Python implementation for detecting IP addresses
python3-igor (0.3-3)
read files written by WaveMetrics IGOR Pro
python3-igraph (0.9.0-2)
High performance graph data structures and algorithms (Python 3)
python3-ijson (3.1.4-1)
event-driven JSON parser (Python 3 version)
python3-ilorest (3.1.1+ds-1)
RESTful API for HPE iLO and iLO Chassis Manager based HPE servers (Python3)
python3-image-geometry (1.15.0+ds-4)
image_geometry Robot OS package - Python 3 bindings
python3-image-proc (1.15.2-4)
Robot OS image_proc Python 3 package
python3-image-publisher (1.15.2-4)
Robot OS image_publisher Python 3 package
python3-image-rotate (1.15.2-4)
Robot OS image_rotate Python 3 package
python3-image-view (1.15.2-4)
Robot OS image_view Python 3 package
python3-imageio (2.4.1-3)
library for reading and writing image data (Python 3)
python3-imagesize (1.2.0-2)
Python 3 module for getting image size from png/jpeg/jpeg2000/gif file
python3-imaplib2 (2.57-5.2)
Threaded Python IMAP4 client (Python 3)
python3-imblearn (0.7.0-6)
library providing resampling techniques
python3-imdbpy (6.8-3)
Python package to access the IMDb's movie database (Python 3)
python3-imexam (0.9.1-1+b1)
Simple interactive astronomical image examination and plotting
python3-img2pdf (0.4.0-1)
Lossless conversion of raster images to PDF (library)
python3-imgviz (1.2.4+ds-1)
Image Visualization Tools (Python 3)
python3-imobiledevice (1.3.0-6)
Python 3 bindings for communicating with iPhone and other Apple device
python3-impacket (0.9.22-2)
Python3 module to easily build and dissect network protocols
python3-impass
本虛擬套件由這些套件填實: impass
python3-importlib-metadata (1.6.0-2)
library to access the metadata for a Python package - Python 3.x
python3-importlib-resources (5.1.0-1)
Read resources from Python packages
python3-importmagic (0.1.7-2)
automagically add, remove and manage Python 3 imports
python3-incremental (17.5.0-1)
Library for versioning Python projects
python3-indigo (1.2.3-3.1)
Organic Chemistry Toolkit (Python module)
python3-infinity (1.5-2)
all-in-one infinity value for Python
python3-inflect (2.1.0-3)
Generate plurals, singular nouns, ordinals, indefinite articles (Python 3)
python3-inflection (0.3.1-2)
Port of Ruby on Rails' inflector (Python 3)
python3-influxdb (5.2.3-1)
Client for InfluxDB - Python 3.x
python3-iniconfig (1.1.1-1)
brain-dead simple parsing of ini files
python3-inifile (0.4-1.1)
Small INI library for Python 3
python3-iniparse (0.4-3)
access and modify configuration data in INI files (Python 3)
python3-injector (0.18.4-2)
Python dependency injection framework
python3-instagram (1.3.2+git20160108~dfeebe9-4.1)
Python 3 client for the Instagram REST and Search APIs
python3-intelhex (2.1-2.2)
Python support for Intel HEX (Python3)
python3-interactive-markers (1.12.0-5)
Robot OS interactive_markers - Python 3 package
python3-internetarchive (1.9.9-1)
python interface to archive.org
python3-intervaltree (3.0.2-1.1)
mutable, self-balancing interval tree (Python 3)
python3-intervaltree-bio (1.0.1-4)
Interval tree convenience classes for genomic data -- Python 3 library
python3-invoke (1.4.1+ds-0.1)
Pythonic task execution - Python 3.x
python3-iowait (0.1-1.2)
Platform-independent module for I/O completion events
python3-ipaddr (2.2.0-4)
Python module for working with IP addresses, both IPv4 and IPv6
python3-ipahealthcheck-core (0.8-1)
Health check tool for FreeIPA -- core files
python3-ipdb (0.13.3-1)
IPython-based pdb replacement (Python 3 version)
python3-ipfix (0.9.7-2)
IPFIX implementation for Python 3
python3-iptables (1.0.0-1+b2)
Python bindings for iptables (Python 3 interface)
python3-ipy (1:1.01-1)
Python3 module for handling IPv4 and IPv6 addresses and networks
python3-ipykernel (5.4.3-1)
IPython kernel for Jupyter (Python 3)
python3-ipyparallel (6.3.0-2)
Interactive Parallel Computing with IPython (library & scripts)
python3-ipython (7.20.0-1+deb11u1)
Enhanced interactive Python shell (Python 3 version)
python3-ipython-genutils (0.2.0-4)
IPython vestigial utilities for Python 3
python3-ipywidgets (6.0.0-8)
Interactive widgets for the Jupyter notebook (Python 3)
python3-irc (8.5.3+dfsg-5)
Internet Relay Chat (IRC) protocol client library — Python 3
python3-irodsclient (0.8.1-2)
Client API for iRods
python3-ironic (1:16.0.3-1)
bare metal hypervisor API for OpenStack - Python lib
python3-ironic-inspector (10.4.1-1)
discovering hardware properties for OpenStack Ironic - Python 2.7
python3-ironic-inspector-client (4.4.0-1)
client for Ironic Inspector - Python 3.x
python3-ironic-lib (4.4.0-3)
common library used by various Ironic projects - Python 3.x
python3-ironic-neutron-agent (3.0.0-1)
OpenStack virtual network service - Ironic agent Python 3.x library
python3-ironic-ui (4.2.0-3)
bare metal hypervisor API for OpenStack - Dashboard plugin
python3-ironicclient (4.4.0-1)
Client for OpenStack bare metal Service - Python 3.x
python3-isbnlib (3.9.3-1.1)
ISBN processing library
python3-isc-dhcp-leases (0.9.1-2)
Python module for reading dhcp leases files (Python 3 interface)
python3-iso3166 (0.8.git20170319-2)
Standalone ISO 3166-1 country definitions (Python 3)
python3-iso8601 (0.1.13-1)
Python module to parse ISO 8601 dates - Python 3.x
python3-isodate (0.6.0-2)
ISO 8601 date/time/duration parser and formatter (Python 3 module)
python3-isodatetime (2.0.2-2)
Python3 parser and utilities for ISO 8601 date format
python3-isort (5.6.4-1)
library for sorting Python imports (Python 3)
python3-isospec (2.1.3-1)
Isotopic fine structure calculator for Python 3
python3-isoweek (1.3.3-3)
Python module to provide the class Week (Python 3)
python3-itango (0.1.7-2)
Interactive Tango client - Python 3
python3-itemadapter (0.2.0-1)
Common interface for data container classes (Python 3)
python3-itemloaders (1.0.4-1)
Library to populate items using XPath and CSS with a convenient API (Python 3)
python3-itsdangerous (1.1.0-3)
Various helpers to pass trusted data to untrusted environment - Python 3.x
python3-itypes (1.1.0-4)
Python3 basic immutable containers types library
python3-ixion (0.16.1-2+b2)
general purpose formula parser & interpreter library -- python module
python3-jack-client (0.5.3-1)
JACK Audio Connection Kit (JACK) Client for Python 3
python3-janus (0.4.0-2)
thread-safe asyncio-aware queue for Python
python3-jarabe (0.118-2)
Sugar Learning Platform - graphical shell
python3-jaraco.functools (3.0.0-1)
additional functools in the spirit of stdlib's functools
python3-jaraco.itertools (2.0.1-4)
Tools for working with iterables (Python 3)
python3-javaproperties (0.7.0-1)
Python library for reading & writing Java .properties files
python3-jcc (3.6-1+b3)
generator for a Python extension from Java classes (Python 3)
python3-jdata (0.3.6-1+b2 [amd64], 0.3.6-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
JData encoder/decoder for python 3
python3-jdcal (1.0-1.3)
Julian dates from proleptic Gregorian and Julian calendars
python3-jedi (0.18.0-1)
autocompletion tool for Python 3
python3-jeepney (0.6.0-1)
pure Python D-Bus interface
python3-jellyfish (0.8.2-1+b2)
Library for approximate and phonetic matching of strings (Python 3)
python3-jenkins (0.4.16-2)
bindings for the Jenkins Remote API - Python 3.x
python3-jenkins-job-builder (3.8.0-1)
Configure Jenkins using YAML files - Python 3.x
python3-jenkinsapi (0.3.11-5)
bindings for Python usage of the Jenkins remote API
python3-jieba (0.42.1-2)
Jieba Chinese text segmenter (Python 3)
python3-jinja2 (2.11.3-1)
small but fast and easy to use stand-alone template engine
python3-jira (3.0-1)
JIRA Python library (Python 3)
python3-jmespath (0.10.0-1)
JSON Matching Expressions (Python 3)
python3-joblib (0.17.0-4+deb11u1)
tools to provide lightweight pipelining in Python
python3-josepy (1.2.0-2)
JOSE implementation for Python 3.x
python3-joypy (0.2.2-2)
ridgeline-/joyplots plotting routine
python3-jpy (0.9.0-3+b4)
Bi-directional Python-Java bridge (Python3)
python3-jpylyzer (2.0.0-3)
JP2 (JPEG 2000 Part 1) validator and properties extractor
python3-jpype (1.2.1-1)
Binding the worlds of Java and Python (for Python 3)
python3-jsbeautifier (1.13.0-1)
JavaScript unobfuscator and beautifier (python3)
python3-jsmin (2.2.2-2)
JavaScript minifier written in Python - Python 3.x
python3-json-pointer (2.0-2)
resolve JSON pointers - Python 3.x
python3-json-tricks (3.11.0-2)
Python module with extra features for JSON files
python3-json5 (0.9.5-2)
manage data in JSON5 format in Python
python3-jsondiff (1.1.1-4)
diff JSON and JSON-like structures in Python 3
python3-jsonext (0.4.2-1.1)
serialisation to/from JSON for extra types — Python 3
python3-jsonhyperschema-codec (1.0.3-2)
Python3 JSON Hyper-Schema codec for Core API
python3-jsonnet (0.17.0+ds-2)
data templating language (Python)
python3-jsonpatch (1.25-3)
library to apply JSON patches - Python 3.x
python3-jsonpath-rw (1.4.0-4)
extended implementation of JSONPath for Python 3.x
python3-jsonpath-rw-ext (1.2.2-2)
Extensions for JSONPath RW - Python 3.x
python3-jsonpickle (1.2-1)
Python library for serializing object graphs into JSON (Python 3)
python3-jsonrpc (1.13.0-1)
Python implementation of JSON-RPC 1.0 and 2.0 (Python 3)
python3-jsonrpclib-pelix (0.4.2-1)
Implementation of the JSON-RPC v2.0 specification (Python 3)
python3-jsonschema (3.2.0-3)
An(other) implementation of JSON Schema (Draft 3 and 4) - Python 3.x
python3-junit.xml (1.9-1)
creates JUnit XML test result docs readable by tools such as Jenkins (py3k)
python3-junitparser (2.0.0-1)
Manipulates JUnit/xUnit Result XML files
python3-junitxml (0.6-2)
PyUnit extension for reporting in JUnit compatible XML
python3-junos-eznc (2.1.7-3)
Junos 'EZ' automation for non-programmers (Python 3)
python3-jupyter-client (6.1.11-2)
Jupyter protocol client APIs (Python 3)
python3-jupyter-console (6.2.0-1)
Jupyter terminal client (Python 3)
python3-jupyter-core (4.7.1-1+deb11u1)
Core common functionality of Jupyter projects for Python 3
python3-jupyter-server (1.2.2-1)
Jupyter protocol server backend (Python 3)
python3-jupyter-sphinx-theme (0.0.6+ds1-10)
Jupyter Sphinx Theme -- Python 3
python3-jupyterlab-pygments (0.1.2-5)
Syntax coloring scheme for pygments using JupyterLab (Python 3)
python3-jupyterlab-server (2.1.3-1)
base component to create JupyterLab applications
python3-jwcrypto (0.8.0-1)
Python3 implementation of JOSE Web standards
python3-jwt (1.7.1-2)
Python 3 implementation of JSON Web Token
python3-k8sclient (0.3.0-4)
Kubernetes API Python client code - Python 3.x
python3-kafka (2.0.1-2)
Pure Python client for Apache Kafka - Python 3.x
python3-kaitaistruct (0.9-1)
Kaitai Struct declarative parser generator for binary data (python3)
python3-kajiki (0.8.2-1)
Really fast well-formed xml templates - Python 3.x
python3-kanboard (1.0.1-1.1)
minimalist kanboard Python client (Python 3)
python3-kaptan (0.5.10-3)
Python 3 configuration manager in various formats
python3-karborclient (2.1.0-2)
Karbor API client - Python 3.x
python3-kazoo (2.7.0-4)
higher level API to Apache Zookeeper (Python 3)
python3-kdcproxy (1.0.0-1)
Kerberos KDC HTTP proxy WSGI module for python3
python3-kdtree (0.7.1+git20101123-5+b4)
container for kd-tree sorting for Python 3
python3-kdtree-dbg (0.7.1+git20101123-5+b4)
container for kd-tree sorting for Python 3 (debug extension)
python3-keepalive (0.5-2)
urllib keepalive support for Python 3
python3-keras (2.3.1+dfsg-3)
deep learning framework running on Theano or TensorFlow
python3-keras-applications (1.0.8+ds-1)
popular models and pre-trained weights for the Keras deep learning framework
python3-keras-preprocessing (1.1.0+ds-1)
data preprocessing module for the Keras deep learning framework
python3-kerberos (1.1.14-3.1+b3)
GSSAPI interface module - Python 3.x
python3-keyman-config (11.0.103-2)
Keyman for Linux configuration
python3-keyring (22.0.1-1)
store and access your passwords safely
python3-keyrings.alt (4.0.2-1)
alternate backend implementations for python3-keyring
python3-keystone (2:18.0.0-3+deb11u1)
OpenStack identity service - library
python3-keystoneauth1 (4.2.1-2)
authentication library for OpenStack Identity - Python 3.x
python3-keystoneclient (1:4.1.1-2)
client library for the OpenStack Keystone API - Python 3.x
python3-keystonemiddleware (9.1.0-2)
Middleware for OpenStack Identity (Keystone) - Python 3.x
python3-keyutils (0.6-2+b4)
Python3 bindings for keyutils
python3-kineticstools (0.6.1+git20200729.e3723e0+dfsg-1+b1)
detection of DNA modifications (Python 3 library)
python3-kitchen (1.2.6-2)
Python library of containers, text and i18n helpers (Python 3)
python3-kivy (1.11.0-2+b2)
Kivy - Multimedia / Multitouch framework in Python (Python 3)
python3-kiwisolver (1.3.1-1+b1)
fast implementation of the Cassowary constraint solver - Python 3.X
python3-kiwisolver-dbg (1.3.1-1+b1)
fast implementation of the Cassowary constraint solver - Python 3.X debug
python3-klaus (1.5.2-4)
simple easy-to-set-up Git web viewer
python3-kmip
本虛擬套件由這些套件填實: python3-pykmip
python3-knack (0.8.0~rc2-1)
Python command line interface framework
python3-knitpy (0.1.1~git20180430-2)
report generation tool with Python
python3-kolabformat (1.2.0-2)
Python 3 bindings for Kolab Format 3.0 XML parsing
python3-kombu (5.0.2-3)
AMQP Messaging Framework for Python (Python3 version)
python3-kubernetes (12.0.1-1)
Kubernetes Python client - Python 3.x
python3-kyotocabinet (1.22-3+b3)
Straightforward implementation of DBM - Python 3 bindings
python3-kyotocabinet-dbg (1.22-3+b3)
Straightforward implementation of DBM - Python 3 debugging symbols
python3-kytos-sphinx-theme (0.0.1+dfsg-2)
Theme used by kytos with sphinx -- Python
python3-l20n (4.0.0~a1-6)
Mozilla Web localization framework (Python 3)
python3-labgrid (0.3.1-2)
Embedded board control library
python3-lammps (20210122~gita77bb+ds1-2+b1)
Molecular Dynamics Simulator
python3-langdetect (1.0.7-4)
Python library for language-detection
python3-lark (0.10.0-1)
Parsing library for Python (Python 3)
python3-laser-geometry (1.6.5-3)
Robot OS laser geometry package - Python 3 bindings
python3-lasso (2.6.1-3)
Library for Liberty Alliance and SAML protocols - Python bindings
python3-latexcodec (2.0.1-1)
LaTeX lexer and codec library for Python3
python3-launchpadlib (1.10.13-1)
Launchpad web services client library (Python 3)
python3-lazr.config (2.2.3-1)
ini-file format handling supporting schemas and inheritance
python3-lazr.delegates (2.0.3-2)
easily write objects that delegate behavior
python3-lazr.restfulclient (0.14.2-2)
client for lazr.restful-based web services (Python 3)
python3-lazr.smtptest (2.0.3-2)
framework for testing SMTP-based applications and libraries
python3-lazr.uri (1.0.5-1)
library for parsing, manipulating, and generating URIs
python3-lazy-object-proxy (1.5.2-1)
Python 3 fast and thorough lazy object proxy
python3-lazyarray (0.3.3-2)
Python module providing a NumPy-compatible lazily-evaluated array
python3-ldap (3.2.0-4+b3)
LDAP interface module for Python3
python3-ldap-dbg (3.2.0-4+b3)
LDAP interface module for Python3 (debug extension)
python3-ldap3 (2.8.1-1)
Pure Python LDAP client library
python3-ldapdomaindump (0.9.3-1)
Active Directory information dumper via LDAP (Python 3)
python3-ldappool (2.3.1-1.1)
connection pool for python-ldap - Python 3.x
python3-ldb (2:2.2.3-2~deb11u2)
Python 3 bindings for LDB
python3-ldb-dev (2:2.2.3-2~deb11u2)
LDB Python 3 bindings - development files
python3-ldns (1.7.1-2+b1)
Python3 bindings for the ldns library for DNS programming
python3-leather (0.3.3-1.1)
charting library for Python
python3-ledger (3.2.1-7+b2)
command-line double-entry accounting program (python3 extension)
python3-lensfun (0.3.2-6)
Lens Correction library - Python3 module
python3-lesscpy (0.13.0+ds-2)
LessCss Compiler for Python 3.x
python3-levenshtein (0.12.2-1)
extension for computing string similarities and edit distances (Python 3)
python3-levenshtein-dbg (0.12.2-1)
extension for computing string similarities and edit distances(py3k debug ext)
python3-lexicon (3.3.17-1)
Manipulate DNS records on various DNS providers (Python 3)
python3-liac-arff (2.5.0-1)
library for reading and writing ARFF files in Python
python3-lib2to3 (3.9.2-1)
Interactive high-level object-oriented language (lib2to3)
python3-lib389 (1.4.4.11-2)
Python3 module for accessing and configuring the 389 Directory Server
python3-libapparmor (2.13.6-10)
AppArmor library Python3 bindings
python3-libarchive-c (2.9-0.1)
Python3 interface to libarchive
python3-libbde (20190102-2)
BitLocker Drive Encryption access library -- Python 3 bindings
python3-libcegui-mk2-0.8.7 (0.8.7-9+b2)
Crazy Eddie's GUI (Python 3 Bindings)
python3-libcloud (3.2.0-2)
unified Python interface into the cloud (Python3 version)
python3-libcomps (0.1.15-4)
Python bindings for the libcomps library (Python 3)
python3-libconcord (1.4-2)
Harmony remote configuration tool - Python 3 bindings
python3-libconf (2.0.1-3)
Reader/writer for the libconfig format (Python 3)
python3-libcreg (20200725-1+b2)
library to access Windows 9x/Me Registry files -- Python 3 bindings
python3-libdiscid (1.2-1)
libdiscid binding for Python 3
python3-libdnf (0.55.2-6)
Python bindings for the libdnf library (Python 3)
python3-libesedb (20181229-3.1+b2)
Extensible Storage Engine DB access library -- Python 3 bindings
python3-libevdev (0.5-3)
Python 3 wrapper for libevdev
python3-libevt (20200926-1)
Windows Event Log (EVT) format access library -- Python 3 bindings
python3-libevtx (20181227-2)
Windows XML Event Log format access library -- Python 3 bindings
python3-libewf (20140807-2+b2)
support for Expert Witness Compression format -- Python 3 bindings
python3-libfsext (20201107-1+b2)
library to access the Extended File System -- Python 3 bindings
python3-libfshfs (20201104-1+b2)
library to access the Mac OS Hierarchical File System -- Python 3 bindings
python3-libfsntfs (20200921-2)
NTFS access library -- Python 3 bindings
python3-libfsxfs (20201117-1+b2)
llibrary to access the SGI X File System -- Python 3 bindings
python3-libfvde (20190104-1.1+b2)
FileVault Drive Encryption access library -- Python 3 bindings
python3-libfwnt (20181227-1.1+b2)
Windows NT data type library -- Python 3 bindings
python3-libfwsi (20181227-1.1+b2)
Windows Shell Item format access library -- Python 3 bindings
python3-libgpiod (1.6.2-1)
Python bindings for libgpiod (Python 3)
python3-libguess (1.1-4)
high-speed character set detection library (Python 3 wrapper)
python3-libhamlib2 (4.0-7)
Transitional package depending on python3-hamlib
python3-libhamlib2
本虛擬套件由這些套件填實: python3-hamlib
python3-libhfst (3.15.1-2+b5)
Helsinki Finite-State Transducer Technology (Python3 module)
python3-libiio (0.21-2)
Python bindings for libiio
python3-libipa-hbac (2.4.1-2)
Python3 bindings for the FreeIPA HBAC Evaluator library
python3-libknot (3.0.5-1+deb11u1)
Python bindings for libknot
python3-liblinear (2.3.0+dfsg-5)
Python 3 bindings for LIBLINEAR
python3-liblnk (20181227-1.1+b2)
Windows Shortcut File format access library -- Python 3 bindings
python3-liblo (0.10.0-4+b3)
Python 3 bindings for liblo, the lightweight OSC library
python3-libluksde (20200205-1+b2)
library to access LUKS Disk Encryption volumes -- Python 3 bindings
python3-libmodernize (0.7-2)
Modernizes Python code for eventual Python 3 migration (Python 3)
python3-libmsiecf (20181227-2)
Microsoft Internet Explorer Cache File access library -- Python 3 bindings
python3-libnacl (1.7.2-3)
Python 3 bindings for libsodium based on ctypes
python3-libnatpmp (20150609-7.1)
portable and fully compliant implementation of NAT-PMP (Python 3 bindings)
python3-libnbd (1.6.1-1)
Network Block Device client library -- Python 3 bindings
python3-libnmap (0.7.2-1)
Python 3 NMAP library
python3-libolecf (20181231-2)
OLE2 Compound File format access library -- Python 3 bindings
python3-libproxy (0.4.17-1)
automatic proxy configuration management library (Python 3)
python3-libqcow (20201213-1)
QEMU Copy-On-Write image format access library -- Python 3 bindings
python3-librecaptcha (0.6.4-1)
program and library for solving reCAPTCHA challenges
python3-libregf (20201007-2)
Windows NT Registry File (REGF) format access library -- Python 3 bindings
python3-librepo (1.12.1-4)
Python bindings for the librepo library (Python 3)
python3-librepo-doc (1.12.1-4)
Python 3 bindings for the librepo library (common documentation)
python3-librouteros (3.1.0-1)
Python implementation of RouterOS API
python3-librtmp (0.3.0-1.1+b1)
librtmp binding for Python 3
python3-librtmp
本虛擬套件由這些套件填實: python3-librtmp
python3-librtmp-dbg (0.3.0-1.1+b1)
librtmp binding for Python 3 - Debugging symbols
python3-libsass (0.20.1-3)
SASS for Python 3: a straightforward binding of libsass for Python
python3-libscca (20200717-1)
Windows Prefetch File access library -- Python 3 bindings
python3-libsigscan (20201117-1)
binary signature scanning library -- Python 3 bindings
python3-libsmbios (2.4.3-1)
Provide access to (SM)BIOS information -- Python libraries
python3-libsmdev (20190315-2)
storage media device access library -- Python 3 bindings
python3-libsmraw (20181227-2)
split RAW image format access library -- Python 3 bindings
python3-libsss-nss-idmap (2.4.1-2)
Python3 bindings for the SID lookups library
python3-libsvm (3.24+ds-6)
Python interface for support vector machine library
python3-libthumbor (1.3.3-2)
Python module to make usage of Thumbor easy in Python
python3-libtiff (0.4.2-6+b4)
wrapper to the libtiff library to Python using ctypes (Python 3 version)
python3-libtmux (0.8.2-2)
Python scripting library and ORM for tmux (python3)
python3-libtorrent (1.2.9-0.3)
Python bindings for libtorrent-rasterbar (Python 3)
python3-libtorrent-dbg (1.2.9-0.3)
Python bindings for libtorrent-rasterbar (debug symbols) (Python 3)
python3-libtrace (1.6+git20180219-1+b6)
Python 3 bindings for the libtrace API
python3-libusb1 (1.9.1-1)
transitional package
python3-libusb1
本虛擬套件由這些套件填實: python3-usb1
python3-libvhdi (20201204-3)
Virtual Hard Disk image format access library -- Python 3 bindings
python3-libvirt (7.0.0-2)
libvirt Python 3 bindings
python3-libvmdk (20200926-2)
VMWare Virtual Disk format access library -- Python 3 bindings
python3-libvoikko (4.3-1)
Python bindings for libvoikko
python3-libvshadow (20190323-2)
Volume Shadow Snapshot format access library -- Python 3 bindings
python3-libvslvm (20181227-1.1+b2)
Linux LVM volume system format access library -- Python 3 bindings
python3-libxml2 (2.9.10+dfsg-6.7+deb11u4)
Python3 bindings for the GNOME XML library
python3-libxml2-dbg (2.9.10+dfsg-6.7+deb11u4)
Python3 bindings for the GNOME XML library (debug extension)
python3-libzim (0.0.3-2+b1)
Python bindings for libzim
python3-line-profiler (2.1-3+b1)
line-by-line profiling for Python
python3-linecache2 (1.0.0-4)
backports of the linecache module - Python 3.x
python3-link-grammar (5.8.1-1)
Carnegie Mellon University's link grammar parser (Python 3)
python3-linux-procfs (0.6.3-1.1)
Linux /proc abstraction classes in Python
python3-listparser (0.18-2)
Parse OPML, RDF+FOAF, and iGoogle subscription lists
python3-livereload (2.6.3-2)
automatic browser refresher (Python 3)
python3-lldb (1:11.0-51+nmu5)
Next generation, high-performance debugger, python lib
python3-lldb-11 (1:11.0.1-2)
Next generation, high-performance debugger, python3 lib
python3-lldb-13 (1:13.0.1-6~deb11u1)
Next generation, high-performance debugger, python3 lib
python3-lldb-16 (1:16.0.6-15~deb11u2)
Next generation, high-performance debugger, python3 lib
python3-lldb-9 (1:9.0.1-16.1)
Next generation, high-performance debugger, python3 lib
python3-lldb-x.y
本虛擬套件由這些套件填實: python3-lldb-9, python3-lldb-11, python3-lldb-13, python3-lldb-16
python3-llfuse (1.3.8+dfsg-2)
Python 3 bindings for the low-level FUSE API
python3-llfuse-dbg (1.3.8+dfsg-2)
Python 3 bindings for the low-level FUSE API (debugging symbols)
python3-llvmlite (0.35.0-3)
LLVM Python 3 binding for writing JIT compilers
python3-lmdb (1.0.0-1+b1)
Python 3 binding for LMDB Lightning Memory-Mapped Database
python3-lmfit (1.0.1-6)
Least-Squares Minimization with Constraints (Python 3)
python3-locket (0.2.0-1.1)
File-based locks for Python 3 for Linux and Windows
python3-lockfile (1:0.12.2-2.2)
file locking library for Python — Python 3 library
python3-logassert (6-2)
Simple log assertion mechanism for Python unittests
python3-logbook (1.5.3-4+b1)
logging system for Python that replaces the standard library's module (Python3)
python3-logfury (0.1.2-4)
Responsible, logging of method calls for Python libs - Python 3.x
python3-logilab-common (1.8.1-1)
useful miscellaneous modules used by Logilab projects (Python3)
python3-logilab-constraint (0.6.0-2)
constraints satisfaction solver in Python - Python 3
python3-logutils (0.3.3-7)
handlers for the Python standard library's logging package - Python 3.x
python3-logzero (1.6.3-1)
robust and effective logging for Python (Python 3)
python3-londiste (3.4.1-2)
Database replication for PostgreSQL based on PgQ
python3-louis (3.16.0-1)
Python bindings for liblouis
python3-ltfatpy (1.0.16-3+b4)
Large Time-Frequency Toolbox (LTFAT) in Python
python3-ltfatpy-dbg (1.0.16-3+b4)
debug extensions for ltfatpy
python3-lti (0.9.5-1)
Learning Tools Interoperability implementation (Python3 version)
python3-lttng (2.12.3-1)
LTTng control and utility Python bindings
python3-lttnganalyses (0.6.1-2)
LTTng 2.0 trace analysis tools (Python 3)
python3-lttngust (2.12.1-1)
LTTng 2.0 Userspace Tracer (Python 3 UST agent)
python3-lttoolbox (3.5.3-1)
Apertium lexical processing modules and tools (Python 3 module)
python3-lunardate (0.2.0-3)
Chinese Calendar Library in Pure Python (Python3 version)
python3-lupa (1.9+dfsg-1+b3)
Python wrapper around LuaJIT or Lua (Python3 version)
python3-lxc (1:3.0.4-1+b4)
Linux Containers userspace tools (Python3 bindings)
python3-lxml (4.6.3+dfsg-0.1+deb11u1)
pythonic binding for the libxml2 and libxslt libraries
python3-lxml-dbg (4.6.3+dfsg-0.1+deb11u1)
pythonic binding for the libxml2 and libxslt libraries (debug extension)
python3-ly (0.9.6-1)
Tool and library for manipulating LilyPond files (Python 3)
python3-ly-doc
本虛擬套件由這些套件填實: python-ly-doc
python3-lz4 (3.1.3+dfsg-1)
Python interface to the lz4 compression library (Python 3)
python3-lz4tools (1.3.1.1-1.1+b5 [mips64el], 1.3.1.1-1.1+b4 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Tools for working with LZ4 compression algorithm.
python3-lzo (1.12-3+b4)
Python bindings for the LZO data compression library (Python 3)
python3-lzss (0+git20200722.871ef5a-2)
Python library for the LZSS compression algorithm
python3-lzstring (1.0.4-1.1)
LZ-based compression algorithm for Python (Python 3 version)
python3-m2crypto (0.37.1-2)
Python wrapper for the OpenSSL library (Python 3 modules)
python3-m2r (0.2.1-5)
Markdown and reStructuredText in a single file - Python3 library
python3-m3u8 (0.8.0-1)
Python m3u8 parser - Python 3.x
python3-macaroonbakery (1.3.1-1)
Higher-level macaroon operations for Python 3
python3-macholib (1.14+ds0-1)
module for Mach-O header analysis and editing (Python 3 interface)
python3-magcode-core (1.5.4-5)
MAG Code python3 core module of common utility code.
python3-magic (2:0.4.20-3)
python3 interface to the libmagic file type identification library
python3-magic-wormhole
本虛擬套件由這些套件填實: magic-wormhole
python3-magic-wormhole-mailbox-server (0.4.1-1)
Magic Wormhole Mailbox Server
python3-magics++ (2:1.5.5-1)
Python3 support for Magics++
python3-magnum (11.0.0-1)
OpenStack containers as a service - Python library
python3-magnum-ui (7.0.0-2)
OpenStack Magnum - dashboard plugin
python3-magnumclient (3.2.1-2)
client library for Magnum API - Python 3.x
python3-mailer (0.8.1-4)
Python module that simplifies sending email (Python 3)
python3-mailman-hyperkitty (1.1.0-10)
Mailman3 plugin to archive emails with HyperKitty
python3-mailmanclient (3.3.2-1)
Python bindings for Mailman3 REST API (Python 3 version)
python3-mailutils (1:3.10-3+b1)
GNU Mail abstraction library (Python3 interface)
python3-mako (1.1.3+ds1-2)
fast and lightweight templating for the Python 3 platform
python3-mallard.ducktype (1.0.2-1)
Parser for Ducktype, a lightweight documentation syntax
python3-mando (0.6.4-5)
command line argument parser for python3
python3-manila (1:11.0.0-2)
OpenStack shared file system as a service - Python libs
python3-manila-ui (4.0.0-2)
OpenStack shared file system as a service - Dashboard plugin
python3-manilaclient (2.3.0-3)
OpenStack shared file system as a service - Python 3.x client
python3-manuel (1.10.1-2)
Python3 library for testable documents and documented tests
python3-map-msgs (1.14.1-2)
Python 3 code for map-related ROS Messages
python3-mapnik (1:0.0~20200224-7da019cf9-3)
Python 3 interface to the mapnik library
python3-mapproxy (1.13.0-1)
open source proxy for geospatial data - Python 3 module
python3-mappy (2.17+dfsg-12+b3)
Python3 interface minimap2
python3-mapscript (7.6.2-1)
Python library for MapServer
python3-marathon (0.13.0-2)
Marathon Client Library - Python 3.x
python3-marisa (0.2.6-2)
Python3 bindings for MARISA
python3-markdown (3.3.4-1)
text-to-HTML conversion library/tool (Python 3 version)
python3-markdown2 (2.3.10-1.1)
Fast and complete implementation of Markdown in Python3
python3-markups (3.1.1-1)
Wrapper around various text markups, implemented in Python 3
python3-markupsafe (1.1.1-1+b3)
HTML/XHTML/XML string library for Python 3
python3-markupsafe-dbg (1.1.1-1+b3)
HTML/XHTML/XML string library for Python 3 - debug version
python3-marshmallow (3.10.0-1)
Lightweight library for converting complex datatypes
python3-marshmallow-doc (3.10.0-1)
Library for converting complex datatypes - documentation
python3-marshmallow-enum (1.5.1-2)
marshmallow extension for enum fields
python3-marshmallow-polyfield (5.9-1)
marshmallow extension for polymorphic fields
python3-marshmallow-sqlalchemy (0.19.0-1)
SQLAlchemy integration with marshmallow
python3-mastodon (1.5.1-1)
Library for the Mastodon API (Python 3)
python3-mathgl (2.4.4-7)
library for scientific graphs (Python module)
python3-matplotlib (3.3.4-1)
Python based plotting system in a style similar to Matlab (Python 3)
python3-matplotlib-dbg (3.3.4-1)
Python based plotting system (debug extension, Python 3)
python3-matplotlib-venn (0.11.6-1)
Python 3 plotting area-proportional two- and three-way Venn diagrams
python3-matrix-synapse-ldap3
本虛擬套件由這些套件填實: matrix-synapse-ldap3
python3-maxminddb (2.0.3-1)
Python 3 module for reading the MaxMind DB format
python3-mbed-host-tests (1.4.4-5)
module and utilities to flash, reset and test Mbed Enabled devices
python3-mbed-ls (1.6.2+dfsg-6)
module listing mbed-enabled devices connected to host (Python 3)
python3-mccabe (0.6.1-3)
Python code complexity checker (Python 3)
python3-mdp (3.6-1.1)
Modular toolkit for Data Processing
python3-mdtraj (1.9.5-1)
Read, write and analyze MD trajectories in Python
python3-mdx-math (0.8-1)
math extension for Python-Markdown
python3-measurement (2.0.1-2)
unit-aware measurement objects (Python3 version)
python3-mecab (0.996-14+b4)
Mecab binding for Python3
python3-mecavideo (7.0~alpha1a-1)
pedagogic tool to analyze video records for mechanics
python3-mechanicalsoup (0.10.0-4)
library for automating interaction with websites (Python 3)
python3-mechanize (1:0.4.5-2)
stateful programmatic web browsing
python3-med (4.1.0+repack-2+b2)
python3 API for MED-fichier
python3-mediafile (0.6.0-2)
read and write audio files' tags in Python
python3-mediainfodll (20.09+dfsg-2)
library for reading metadata from media files -- shared library
python3-meep (1.17.1-1)
software package for FDTD simulation with Python
python3-meep-mpi-default (1.17.1-2)
software package for FDTD simulation with Python
python3-meep-openmpi (1.17.1-2)
software package for FDTD simulation with Python
python3-meld3 (1.0.2-3)
HTML/XML templating system for Python (Python 3)
python3-memcache (1.59-5)
pure Python memcached client - Python 3.x
python3-memoize (1.0.3-1)
Simple Python cache and memoizing module (Python3)
python3-memory-profiler (0.52-2)
memory consumption analysis for Python programs (Python 3)
python3-memprof (0.3.6-3)
memory profiler for Python (Python 3)
python3-mercantile (1.1.5-1)
Spherical mercator coordinate and tile utilities
python3-mercurial
本虛擬套件由這些套件填實: mercurial
python3-mercurial-extension-utils (1.5.1-3)
Contains functions for writing Mercurial extensions
python3-merge3 (0.0.8-1)
Python implementation of three-way merge
python3-mergedict (1.0.0-2)
Python dict with a merge() method
python3-meshio (4.3.11-1)
library for reading and writing mesh data (Python 3)
python3-meshplex (0.15.13-1)
fast tools for simplex meshes (Python 3)
python3-message-filters (1.15.9+ds1-7+deb11u1)
Python 3 library for Robot OS message-filters
python3-metaconfig (0.1.4a1-7)
Python ConfigParser bootstrapping library (Python3)
python3-metakernel (0.27.5-1)
Jupyter kernel base class
python3-metview (1.6.0-1)
Python3 support for Metview
python3-mia (0.1.9-2.1+b2)
Python-3 bindings for the MIA image processing library
python3-microversion-parse (1.0.1-2)
OpenStack microversion header parsing library - Python 3.x
python3-midiutil (1.2.1-4)
Python 3 library to write multi-track MIDI files
python3-mido (1.2.9-3)
Python 3 module for working with MIDI messages and ports
python3-migrate (0.13.0-2)
Database schema migration for SQLAlchemy - Python 3.x
python3-miio (0.5.0.1-1)
Python module for interfacing with Xiaomi appliances
python3-milksnake (0.1.5-1+b3 [amd64], 0.1.5-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
setuptools/wheel/cffi extension to embed a binary data in wheels
python3-milter (1.0.4-2+b4)
Python 3 extension for Sendmail Milter Protocol
python3-mimeparse (1.6.0-3)
Parse mime-types and quality parameters - Python 3.x
python3-mimerender (0.6.0-2)
RESTful HTTP Content Negotiation for web frameworks (Python 3)
python3-minecraftpi (0.22-1)
Raspberry PI Minecraft API python client library
python3-minidb (2.0.4-1)
simple SQLite3-based store for Python objects
python3-minieigen (0.50.3+dfsg1-12+b5)
Wrapper of parts of the Eigen library (Python 3)
python3-minimal (3.9.2-3)
minimal subset of the Python language (default python3 version)
python3-minimock (1.2.8-2)
simple library for Python mock objects
python3-miniupnpc (2.2.1-1)
UPnP IGD client lightweight library Python 3 bindings
python3-mir-perf-framework (1.8.0+dfsg1-18)
Mir Display Server - performance testing framework
python3-mirtop (0.4.23-2)
annotate miRNAs with a standard mirna/isomir naming (Python 3)
python3-misaka (1.0.2-7+b4)
binding for Sundown, a markdown parsing library - Python 3.x
python3-mistletoe (0.7.2-2)
fast, extensible Markdown parser in pure Python
python3-mistral (11.0.0-2)
OpenStack Workflow Service - Python libraries
python3-mistral-dashboard (11.0.0-2)
OpenStack Workflow Service - dashboard plugin
python3-mistral-lib (2.3.0-2)
Mistral shared routings and utilities - Python 3.x
python3-mistralclient (1:4.1.1-2)
OpenStack Workflow as a Service client - Python 3.x
python3-mistune (0.8.4-4)
Markdown parser for Python 3
python3-mitogen (0.3.0~rc1-4)
Library for writing distributed self-replicating programs
python3-mkautodoc (0.1.0-3)
AutoDoc for MarkDown
python3-mlpack (3.4.2-1+b2)
intuitive, fast, scalable C++ machine learning library (Python bindings)
python3-mlpy (3.5.0+ds-1.2)
high-performance Python package for predictive modeling
python3-mlpy-lib (3.5.0+ds-1.2+b2)
low-level implementations and bindings for mlpy
python3-mlt (6.24.0-1)
multimedia framework (Python bindings)
python3-mmllib (0.3.0.post1-2)
library for handling Music Macro Language (Python 3)
python3-mmtf (1.1.2-3)
binary encoding of biological structures (Python 3)
python3-mne (0.19.1+dfsg-1)
Python modules for MEG and EEG data analysis
python3-mnemonic (0.19-1)
Implementation of Bitcoin BIP-0039 (Python 3)
python3-mock (4.0.3-1)
Mocking and Testing Library (Python3 version)
python3-mockldap (0.3.0-4)
simple mock implementation of python-ldap (Python3 version)
python3-mockupdb (1.8.0-1)
MongoDB Wire Protocol server library - Python 3.x
python3-model-mommy (1.6.0-2)
smart object creation facility for Django (Python 3 version)
python3-mofapy (1.6.1+dfsg-1)
Multi-Omics Factor Analysis (MOFA) - Python module
python3-moksha.common (1.2.5-4)
Common components for the Moksha framework (Python 3)
python3-molotov (2.1-2)
tool to write load tests in Python
python3-monajat (4.1-2)
Islamic supplications backend
python3-monasca-statsd (2.1.0-2)
monasca statsd client - Python 3.x
python3-monascaclient (2.2.1-2)
client bindings for the Monasca API - Python 3.x
python3-moneyed (0.8.0-2)
Currency and Money classes for use in Python 3 code
python3-mongoengine (0.21.0-1)
Python 3 Document-Object Mapper for working with MongoDB
python3-monotonic (1.5-3)
implementation of time.monotonic() - Python 3.x
python3-montage-wrapper (0.9.9-4)
Python-3 wrapper for the Montage mosaicking toolkit
python3-montagepy (6.0+dfsg-7+b3)
Python toolkit for assembling FITS images into mosaics
python3-monty (4.0.2+dfsg-1)
missing complement to Python (Python 3)
python3-more-itertools (4.2.0-3)
library with routines for operating on iterables, beyond itertools (Python 3)
python3-moreorless (0.3.0-2)
Python difflib with simpler arguments, and enhanced "No newline at EOF" support
python3-morfessor (2.0.6-3)
unsupervised and semi-supervised morphological segmentation
python3-morph (0.1.3-2)
collection of routines to help identify and morph objects - Python 3.x
python3-morris (1.2-2)
announcement (signal/event) system for Python 3
python3-motor (2.3.0-1)
full-featured, non-blocking MongoDB driver - Python 3.x
python3-move-base-msgs (1.14.1-2)
Python 3 code for move-base-related ROS Messages,
python3-mox (0.7.8-5)
mock object framework for Python 3
python3-mox3 (1.0.0-2)
Mock object framework - Python 3.x
python3-mpd (3.0.3-1)
Python MPD client library (Python 3)
python3-mpegdash (0.2.0-1)
Python MPEG-DASH parser - Python 3.x
python3-mpi4py (3.0.3-8)
bindings of the Message Passing Interface (MPI) standard
python3-mpi4py-dbg (3.0.3-8)
bindings of the Message Passing Interface (MPI) standard
python3-mpi4py-fft (2.0.3-3+b4)
a Python package for computing Fast Fourier Transforms (FFTs) with MPI
python3-mpi4py-fft-doc (2.0.3-3)
a Python package for computing Fast Fourier Transforms (FFTs) with MPI (docs)
python3-mpl-scatter-density (0.7-1)
Fast scatter density plots for Matplotlib
python3-mplcursors (0.4-1)
Interactive data selection cursors for Matplotlib
python3-mplexporter (0.0.1+20140921-5)
general matplotlib exporter
python3-mpltoolkits.basemap (1.2.2+dfsg-1+b1)
matplotlib toolkit to plot on map projections (Python 3)
python3-mpltoolkits.basemap-dbg (1.2.2+dfsg-1+b1)
matplotlib toolkit to plot on map projections (Python 3 debug)
python3-mpmath (1.2.1-1)
library for arbitrary-precision floating-point arithmetic (Python3)
python3-mpsolve (3.2.1-2)
Multiprecision polynomial solver (Python interface)
python3-mpv (0.5.2-1)
Python interface to the awesome mpv media player
python3-mrtparse (1.6-2)
read and analyze the MRT format data (Python 3.x module)
python3-msal (1.9.0-1)
Microsoft Authentication Library (MSAL) for Python
python3-msal-extensions (0.3.0-1)
Microsoft Authentication extensions for MSAL for Python
python3-msgpack (1.0.0-6+b1)
Python 3 implementation of MessagePack format
python3-msgpack-numpy (0.4.4-1.1)
serialize numpy arrays using msgpack
python3-mshr (2019.2.0~git20200924.c27eb18+dfsg1-5)
Python 3 interface for mshr
python3-mshr-real (2019.2.0~git20200924.c27eb18+dfsg1-5)
Python 3 interface for mshr
python3-mshr64-real (2019.2.0~git20200924.c27eb18+dfsg1-5)
Python 3 interface for mshr with 64-bit indexing
python3-msmb-theme (1.2.0-2)
applies slight modifications to sphinx_rtd_theme (Python 3)
python3-msoffcrypto-tool (4.11.0-1)
Python tool and library for decrypting MS Office files
python3-msrest (0.6.21-1)
AutoRest swagger generator Python 3.x client runtime
python3-msrestazure (0.6.2-1)
Runtime library for AutoRest generated Python 3.x clients
python3-mujson (1.4-2)
use the fastest JSON functions available at import time
python3-multi-key-dict (2.0.3-2)
Multi key dictionary implementation - Python 3.x
python3-multicorn (1.4.0-3+b1 [amd64], 1.4.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
multicorn utility module for postgresql-X.Y-python3-multicorn
python3-multidict (5.1.0-1)
multidict implementation (Python library)
python3-multidict-dbg (5.1.0-1)
multidict implementation (Python library, debug extension)
python3-multipart (0.0.5-2)
streaming multipart parser for Python
python3-multipledispatch (0.6.0-2)
multiple dispatch in Python
python3-multipletau (0.3.3+ds-3)
multiple-tau algorithm for Python3/NumPy
python3-multiplex (0.5.1-3)
View output of multiple processes, in parallel - library
python3-multiprocess (0.70.11.1-1)
better multiprocessing and multithreading in Python
python3-multisplitby (0.0.1-2)
Python3 module to create iterables split on arbitrary separators
python3-munch (2.3.2-2)
dot-accessible dictionary similar to JavaScript objects - Python 3.x
python3-munkres (1.1.4-2)
munkres algorithm for the Assignment Problem - Python 3 library
python3-murano (1:10.0.0-1)
cloud-ready application catalog - Python 3.x server code
python3-murano-dashboard (1:10.0.0-2)
cloud-ready application catalog - dashboard plugin
python3-murano-pkg-check (0.3.0-7)
murano package validator tool - Python 3.x
python3-muranoclient (2.1.1-2)
cloud-ready application catalog - Python 3.x client module
python3-murmurhash (1.0.2-1+b3)
Cython bindings for MurmurHash2
python3-music (1.1.16-1.1+b2)
Multi-Simulation Coordinator for MPI -- Runtime library
python3-musicbrainzngs (0.7.1-2)
Python 3 bindings for the Musicbrainz NGS webservice interface
python3-musicpd (0.5.1-1)
Python MPD client library
python3-mutagen (1.45.1-2)
audio metadata editing library (Python 3)
python3-mutatormath (3.0.1-1)
Library for piecewise linear interpolations in n-dimensions
python3-mwclient (0.10.1-1)
MediaWiki API client in Python
python3-mwparserfromhell (0.6-1)
Outrageously powerful parser for MediaWiki wikicode (Python 3)
python3-mygpoclient (1.8-4)
Client library for the gpodder.net web service
python3-mypy (0.812-1)
public modules for mypy (Python 3)
python3-mypy-extensions (0.4.3-2)
Experimental type system extensions for mypy typechecker (Python 3)
python3-mysqldb (1.4.4-2+b3)
Python interface to MySQL
python3-mysqldb-dbg (1.4.4-2+b3)
Python interface to MySQL (debug extension)
python3-nacl (1.4.0-1+b1)
Python bindings to libsodium (Python 3)
python3-nagiosplugin (1.3.2-2)
Python class library for writing Nagios (Icinga) plugins (Python 3)
python3-namecheap (0.0.3-3)
API library for DNS provider Namecheap (Python 3)
python3-nameparser (1.0.6-2)
Python 3 module for parsing names into individual components
python3-nanoget (1.12.2-4)
extract information from Oxford Nanopore sequencing data and alignments
python3-nanoget-examples (1.12.2-4)
example data for python3-nanoget (dealing with Oxford Nanopore data)
python3-nanomath (1.2.0+ds-1)
simple math function for other Oxford Nanopore processing scripts
python3-nanostat (1.4.0-3)
statistics on long biological sequences
python3-natsort (7.1.0-1)
Natural sorting for Python (Python3)
python3-nautilus (1.2.3-3+b1)
Python binding for Nautilus components (Python 3 version)
python3-nav-msgs (1.13.0-2)
Messages relating to Robot OS nav, Python 3 interface
python3-nb2plots (0.6-2)
Converting between ipython notebooks and sphinx docs (Python 3)
python3-nbclient (0.5.2-1)
Client to execute jupyter notebooks
python3-nbconvert (5.6.1-3)
Jupyter notebook conversion (Python 3)
python3-nbformat (5.1.2-1)
Jupyter notebook format (Python 3)
python3-nbsphinx (0.8.0+ds-1)
Jupyter Notebook Tools for Sphinx -- Python 3
python3-nbxmpp (2.0.2-1+deb11u1)
Non blocking Jabber/XMPP Python 3 library
python3-ncclient (0.6.3-1)
Python library for NETCONF clients (Python 3)
python3-ncls (0.0.57+ds-1+b1)
datastructure for interval overlap queries
python3-ndcube (1.4.2-1)
Package for multi-dimensional coordinate-aware arrays (Python 3)
python3-ndcube-doc (1.4.2-1)
Package for multi-dimensional coordinate-aware arrays (documentation)
python3-ndg-httpsclient (0.5.1-6)
enhanced HTTPS support for httplib and urllib2 using PyOpenSSL for Python3
python3-neo (0.9.0-1)
Python IO library for electrophysiological data formats
python3-neovim (0.4.2-1)
transitional dummy package
python3-neovim (= 0.4.2-1)
本虛擬套件由這些套件填實: python3-pynvim
python3-nest-asyncio (1.3.3-1)
Patch asyncio to allow nested event loops
python3-netaddr (0.7.19-5)
manipulation of various common network address notations (Python 3)
python3-netcdf4 (1.5.5.1-1)
Python 3 interface to the netCDF4 (network Common Data Form) library
python3-netdisco (2.8.2-1)
Library to discover local devices and services (Python 3)
python3-netfilter (0.6.4-2)
Python module for manipulating netfilter rules (Python 3)
python3-netgen (6.2.2006+really6.2.1905+dfsg-2.1)
Automatic 3d tetrahedral mesh generator Python 3 bindings
python3-netifaces (0.10.9-0.2+b3)
portable network interface information - Python 3.x
python3-netifaces-dbg (0.10.9-0.2+b3)
portable network interface information - Python 3.x debug extension
python3-netmiko (2.4.2-1)
multi-vendor library for SSH connections to network devices - Python 3.X
python3-networking-bagpipe (13.0.0-1)
OpenStack virtual network service - BGP-based VPN - Python 3.x
python3-networking-bgpvpn (13.0.0-1)
OpenStack virtual network service - BGP-MPLS VPN Extension - Python 3.x
python3-networking-l2gw (1:17.0.1-1)
OpenStack virtual network service - L2 gateway extension
python3-networking-mlnx (1:16.0.0-1)
OpenStack virtual network service - Mellanox plugin Python 2.7 files
python3-networking-ovn
本虛擬套件由這些套件填實: neutron-common
python3-networking-sfc (11.0.0-1)
OpenStack virtual network service - SFC extension
python3-networkmanager (2.2-1)
Python 3 interface to the NetworkManager D-Bus interface
python3-networkx (2.5+ds-2)
tool to create, manipulate and study complex networks (Python3)
python3-neuron (7.6.3-1+b3)
Neuron simulation environment - Python bindings
python3-neutron (2:17.2.1-0+deb11u1)
OpenStack virtual network service - Python library
python3-neutron-dynamic-routing (2:17.0.0-2)
OpenStack Neutron Dynamic Routing - Python library
python3-neutron-fwaas-dashboard (3.0.0-1)
OpenStack Firewall as a Service - dashboard plugin
python3-neutron-lib (2.6.1-2)
Neutron shared routines and utilities - Python 3.x
python3-neutron-taas (7.0.0-1)
OpenStack virtual network service - Tap-as-a-Service extension
python3-neutron-vpnaas (2:17.0.0-1)
OpenStack virtual network service - Python library
python3-neutron-vpnaas-dashboard (3.0.0-2)
OpenStack VPN as a Service - dashboard plugin
python3-neutronclient (1:7.2.1-2)
client API library for Neutron - Python 3.x
python3-newt (0.52.21-4+b3)
NEWT module for Python3
python3-nfs-ganesha (3.4-1)
Python bindings for nfs-ganesha
python3-nftables (0.9.8-3.1+deb11u2)
nftables/libnftables python3 module
python3-ngs (2.10.9-1)
Next Generation Sequencing language Bindings (Python3 bindings)
python3-nibabel (3.2.1-2)
Python3 bindings to various neuroimaging data formats
python3-nine (1.1.0-1)
Python 2 / 3 compatibility, like six, but favouring Python 3
python3-nipype (1.6.0-2)
Neuroimaging data analysis pipelines in Python3
python3-nitime (0.9-1)
timeseries analysis for neuroscience data (nitime)
python3-nlopt (2.7.0-4+b2)
nonlinear optimization library -- Python 3 bindings
python3-nltk (3.5-1)
Python3 libraries for natural language processing
python3-nmap (0.6.1-1.1)
Python3 interface to the Nmap port scanner
python3-nmea2 (1.15.0-4)
Python library for the NMEA 0183 protocol
python3-nodelet (1.10.0-3)
Robot OS nodelet library - service files - Python 3
python3-nodelet-topic-tools (1.10.0-3)
Robot OS nodelet_topic_tools library - Python 3 service files
python3-noise (1.2.3-3+b3)
Perlin noise for image generation
python3-nordugrid-arc (6.10.2-1)
ARC Python wrapper
python3-nose (1.3.7-7)
test discovery and running for Python3 unittest
python3-nose-exclude (0.5.0-2)
exclude specific directories from nosetests runs - Python 3.x
python3-nose-parameterized (0.3.4-5)
Decorator for parameterized testing with Nose - Python 3.x
python3-nose-random (1.0.0-2)
nose plugin to facilitate randomized unit testing with Python 3
python3-nose-timer (0.3.0-3)
timer plugin for nosetests - Python 3.x
python3-nose-yanc (0.3.3-4)
Color output plugin for nose
python3-nose2 (0.9.2-1)
Next generation of nicer testing for Python3
python3-nose2-cov (1.0a4-3)
nose2 plugin for coverage reporting
python3-nosehtmloutput (0.0.5-3)
plugin to produce test results in html - Python 3.x
python3-nosexcover (1.0.11-1.2)
Add Cobertura-style XML coverage report to nose (Python3 version)
python3-notcurses (2.2.3+dfsg.1-1)
Character graphics and TUI library (Python)
python3-notcurses
本虛擬套件由這些套件填實: python3-notcurses
python3-notebook (6.2.0-1)
Jupyter interactive notebook (Python 3)
python3-notify2 (0.3-4)
desktop notifications API for Python 3
python3-notmuch (0.31.4-2)
Python 3 legacy interface to the notmuch mail search and index library
python3-notmuch2 (0.31.4-2)
Python 3 interface to the notmuch mail search and index library
python3-nototools (0.2.13-2)
font support tools from the Noto Fonts project
python3-nova (2:22.0.1-2+deb11u1)
OpenStack Compute - libraries
python3-novaclient (2:17.2.1-3)
client library for OpenStack Compute API - 3.x
python3-novnc (1:1.0.0-3)
HTML5 VNC client - Python 3.x libraries
python3-nox (2019.5.30-2)
Flexible test automation (Python3 version)
python3-ns3 (3.31+dfsg-3)
Python binding of ns3
python3-nss (1.0.1-1+b1)
Python3 bindings for Network Security Services (NSS)
python3-ntlm-auth (1.4.0-1)
NTLM low-level Python library
python3-ntp (1.2.0+dfsg1-4)
Python 3 NTP Helper Classes
python3-ntplib (0.3.3-2)
Python NTP library (Python 3.x)
python3-nudatus (0.0.5-1)
module to remove comments from Python 3 scripts
python3-num2words (0.5.9-1)
Convert numbers to words in multiple languages (Python 3)
python3-numba (0.52.0-4)
native machine code compiler for Python 3
python3-numcodecs (0.7.3+ds-1)
buffer compression and transformation codecs for Python
python3-numexpr (2.7.2-2)
Fast numerical array expression evaluator for Python 3 and NumPy
python3-numexpr-dbg (2.7.2-2)
Fast numerical array expression evaluator for Python 3 and NumPy (debug ext)
python3-numpy (1:1.19.5-1)
Fast array facility to the Python 3 language
python3-numpy-abi9
本虛擬套件由這些套件填實: python3-numpy
python3-numpy-api13
本虛擬套件由這些套件填實: python3-numpy
python3-numpy-dbg (1:1.19.5-1)
Fast array facility to the Python 3 language (debug extension)
python3-numpy-dev
本虛擬套件由這些套件填實: python3-numpy
python3-numpy-groupies (0.9.13-1)
performs operations on/with subsets of n-dim arrays
python3-numpy-stl
本虛擬套件由這些套件填實: python3-stl
python3-numpydoc (1.1.0-3)
Sphinx extension to support docstrings in Numpy format -- Python3
python3-numpysane (0.31-1)
more-reasonable core functionality for numpy
python3-nwdiag (2.0.0+dfsg-1)
generate network-diagram image file for Python3
python3-nxs (4.4.1-3)
NeXus scientific data file format - Python 3 binding
python3-oauth (1.0.1-6)
Python 3 library implementing of the OAuth protocol
python3-oauth2client (4.1.2-7)
OAuth 2.0 client library - Python 3.x
python3-oauthlib (3.1.0-2)
generic, spec-compliant implementation of OAuth for Python3
python3-objgraph (3.5.0-1)
Module for exploring Python object reference graphs
python3-obsub (0.2-4)
Python 3.x module that implements the observer pattern via a decorator
python3-octave-kernel (0.32.0-2)
Jupyter kernel for Octave
python3-octavia (7.1.0-2)
OpenStack Load Balancer as a Service - Python libraries
python3-octavia-dashboard (6.0.0-2)
OpenStack Load Balance as a service - dashboard plugin
python3-octavia-lib (2.2.0-2)
library to support Octavia provider drivers - Python 3.x
python3-octaviaclient (2.2.0-2)
Octavia client for OpenStack Load Balancing - Python 3.x
python3-odf (1.4.1-1)
Python3 API to manipulate OpenDocument files
python3-odil (0.12.1-1)
C++11 library for the DICOM standard (Python 3 wrappers)
python3-odoorpc (0.7.0-3)
pilot Odoo servers through RPC (Python 3)
python3-offtrac (0.1.0-2.1)
Python-based xmlrpc client library for trac instances (Python 3)
python3-ofxclient (2.0.4-2)
OFX client for dowloading transactions from banks
python3-ofxhome (0.3.3-3)
ofxhome.com financial institution lookup REST client (Python 3)
python3-ofxparse (0.19-2)
parser for Open Financial Exchange (.ofx) format files
python3-ogre-1.12 (1.12.10+dfsg2-1.2)
3D Object-Oriented Graphics Rendering Engine (Python3)
python3-okasha (0.2.4-4) [non-free]
trivial WSGI web framework for Python
python3-okasha-examples (0.2.4-4) [non-free]
trivial WSGI web framework for Python (examples)
python3-olefile (0.46-3)
Python module to read/write MS OLE2 files
python3-olm (3.2.1~dfsg-7)
Python bindings for the olm Double Ratchet implementation
python3-omemo (0.10.3-1.1)
Python 3 implementation of the OMEMO protocol
python3-omemo-backend-signal (0.2.3-1.1)
Python 3 backend for python-omemo with libsignal compatibility
python3-omg (0.4.0-2)
Python library for manipulating doom-style WAD files
python3-onedrivesdk (1.1.8-2)
Official Python OneDrive SDK for interfacing with OneDrive APIs
python3-onewire (0.2-1+b2 [amd64], 0.2-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Wrapper for OWFS C-API (Python 3)
python3-onnx (1.7.0+dfsg-3)
Open Neural Network Exchange (ONNX) (Python)
python3-ontospy (1.9.8.3~dfsg-2)
query, inspect and visualize RDF/OWL ontologies - library
python3-ooolib (0.0.22-5)
Python module for creating OpenDocument documents (sp.sheet/text)
python3-opcodes (0.0~git20180424.6e2b0cd-3)
Database of Processor Instructions/Opcodes (Python 3)
python3-opcua (0.98.11-1)
Pure Python OPC-UA Client and Server (Python 3)
python3-open3d (0.9.0+ds-5+deb11u1)
Library for 3D data processing - Python 3 bindings
python3-openbabel (3.1.1+dfsg-6)
Chemical toolbox library (Python bindings)
python3-opencv (4.5.1+dfsg-5)
Python 3 bindings for the computer vision library
python3-opencv-apps (2.0.2-3)
opencv_apps Robot OS package - Python 3 bindings
python3-openems (0.0.35+git20190103.6a75e98+dfsg.1-3)
Python3 interface for openems
python3-openflow (2019.2-2)
low level library to parse OpenFlow messages
python3-opengl (3.1.5+dfsg-1)
Python bindings to OpenGL (Python 3)
python3-openid (3.1.0-1.1)
Python3 OpenID package for modern servers and consumers
python3-openid-cla (1.2-2)
OpenID CLA extension for python-openid (Python 3)
python3-openid-teams (1.2-2)
OpenID teams extension for python-openid (Python 3)
python3-openimageio (2.2.10.1+dfsg-1+deb11u1)
Library for reading and writing images - Python bindings
python3-openpyxl (3.0.3-1)
Python 3 module to read/write OpenXML xlsx/xlsm files
python3-openrazer (2.9.0+dfsg-1)
OpenRazer peripheral drivers (Python 3)
python3-openshot (0.2.5+dfsg1-4+b2)
OpenShot video library bindings for Python 3
python3-openslide (1.1.2-1+b2)
Python 3 wrapper for reading whole slide image files
python3-openssl (20.0.1-1)
Python 3 wrapper around the OpenSSL library
python3-openstack.nose-plugin (0.11-5)
nosetests output to mimic the output of openstack's run_tests.py - Python 3.x
python3-openstackclient (5.4.0-4)
OpenStack Command-line Client - Python 3.x
python3-openstackdocstheme (1.20.0-5)
extension support for Sphin OpenStack doc - Python 3.x
python3-openstacksdk (0.50.0-6)
SDK for building applications to work with OpenStack - Python 3.x
python3-opentimestamps (0.4.1-1)
Python3 library for creating and verifying OpenTimestamps proofs
python3-opentracing (2.4.0-1)
opentracing interface for Python
python3-openvdb (7.1.0-2+b3)
Sparse Volume Processing toolkit - Python 3 binding
python3-openvswitch (2.15.0+ds1-2+deb11u5) [security]
Python 3 bindings for Open vSwitch
python3-optlang (1.4.4-2)
sympy based mathematical programming language (Python 3)
python3-orbit-predictor (1.14.2-1)
Python library to propagate satellite orbits
python3-orcus (0.16.1-3+b2)
library for processing spreadsheet documents - Python bindings
python3-orderedattrdict (1.5.1-1)
Python OrderedDict with attribute-style access (Python3)
python3-orderedmultidict (1.0-4)
Ordered multivalue dictionary that retains method parity with Python's dict
python3-os-api-ref (1.6.2+dfsg1-1)
Sphinx Extensions to support API reference sites in OpenStack - Python 3.x
python3-os-apply-config (12.0.0-1)
Creates config files out of cloud metadata
python3-os-brick (4.0.1-2)
Library for managing local volume attaches - Python 3.x
python3-os-client-config (2.1.0-1)
OpenStack client configuration library - Python 3.x
python3-os-collect-config (12.0.0-1)
collect and cache metadata, run hooks on changes
python3-os-faults (0.2.1-3)
OpenStack fault-injection library - Python 3.x
python3-os-ken (1.2.0-2)
component-based software defined networking framework for OpenStack - Py 3.x
python3-os-refresh-config (12.0.0-1)
refresh system configuration of an OpenStack TripleO node
python3-os-resource-classes (1.0.0-2)
Resource Classes for OpenStack - Python 3.x
python3-os-service-types (1.7.0-2)
lib for consuming OpenStack sevice-types-authority data - Python 3.x
python3-os-testr (1.1.0-3)
Utility wrappers for testrepository for OpenStack projects - Python 3.x
python3-os-traits (2.4.0-2)
standardized trait strings - Python 3.x
python3-os-vif (2.2.0-2)
Integration library between network and compute - Python 3.x
python3-os-win (5.2.0-1)
Windows / Hyper-V library for OpenStack projects - Python 3.x
python3-os-xenapi (0.3.4-4)
XenAPI library for OpenStack projects - Python 3.x
python3-osc-lib (2.2.1-2)
OpenStackClient Library - Python 3.x
python3-osc-placement (2.1.0-2)
OpenStackClient plugin for the Placement service - Python 3.x
python3-oslo.cache (2.6.1-2)
cache storage for Openstack projects - Python 3.x
python3-oslo.concurrency (4.3.1-1)
oslo.concurrency library - Python 3.x
python3-oslo.config (1:8.3.3-1)
Common code for Openstack Projects (configuration API) - Python 3.x
python3-oslo.context (3.1.1-2)
WSGI context helpers for OpenStack - Python 3.x
python3-oslo.db (8.4.0-2)
database connectivity to the different backends and helper utils - Python 3.x
python3-oslo.i18n (5.0.1-2)
Oslo Internationalization Utilities - Python 3.x
python3-oslo.log (4.4.0-2)
OpenStack logging configuration library - Python 3.x
python3-oslo.messaging (12.5.2-1)
oslo messaging library - Python 3.x
python3-oslo.middleware (4.1.1-2)
various WSGI middleware components for OpenStack - Python 3.x
python3-oslo.policy (3.5.0-2)
RBAC policy enforcement library for OpenStack - Python 3.x
python3-oslo.privsep (2.4.0-2)
OpenStack library for privilege separation - Python 3.x
python3-oslo.reports (2.2.0-2)
reports serialized in various data types - Python 3.x
python3-oslo.rootwrap (6.2.0-2)
allows fine filtering of shell commands to run as root - Python 3.x
python3-oslo.serialization (4.0.2-1)
utilities for serialization , especially JSON - Python 3.x
python3-oslo.service (2.4.0-2)
library for running OpenStack services - Python 3.x
python3-oslo.upgradecheck (1.1.1-2)
Common code for writing OpenStack upgrade checks - Python 3.x
python3-oslo.utils (4.6.0-2)
set of utility functions for OpenStack - Python 3.x
python3-oslo.versionedobjects (2.3.0-2)
deals with DB schema versions and code expectations - Python 3.x
python3-oslo.vmware (3.7.0-2)
VMware library for OpenStack projects - Python 3.x
python3-oslosphinx (4.18.0-5)
theme and extension support for openstack - Python 3.x
python3-oslotest (1:4.4.1-2)
OpenStack test framework - Python 3.x
python3-osmapi (1.3.0-1)
Python 3 interface to OpenStreetMap API
python3-osmnx (1.0.1+ds-1)
tool to study street networks from OpenStreetMap -- Python3
python3-osprofiler (3.4.0-3)
OpenStack Profiler Library - Python 3.x
python3-ospurge (2.0.0+2019.10.11.git.990287677b-2)
client-side tool to delete all resources of an OpenStack project
python3-ost (2.2.0-6)
Open-Source Computational Structural Biology Framework - Python 3 package
python3-othman (0.6.0-2) [non-free]
library providing access to Quranic text with a fast search index
python3-ots (8.1.3.post1-2)
Python library for OpenType Sanitizer
python3-outcome (1.0.1-1)
capture the outcome of Python function calls
python3-overpass (0.7-1)
wrapper for the OpenStreetMap Overpass API (Python 3)
python3-overpy (0.4-2)
wrapper to access the OpenStreetMap Overpass API (Python 3)
python3-ovn-octavia-provider (0.3.1-1)
OpenStack Octavia integration with OVN - Python 3.x
python3-ovsdbapp (1.6.0-1)
library for creating OVSDB applications - Python 3.x
python3-owslib (0.23.0-1+deb11u1)
Client library for Open Geospatial (OGC) web services (Python 3)
python3-packaging (20.9-2)
core utilities for python3 packages
python3-pacparser (1.3.6-1.2+b3)
Python 3 module to parse proxy auto-config files
python3-padme (1.1.1-3)
mostly transparent proxy class for Python 3
python3-pafy (0.5.2-2.1)
Download videos and retrieve metadata from YouTube
python3-pager (3.3-2)
terminal/console pager module in pure Python - Python 3.x
python3-paho-mqtt (1.5.1-1)
MQTT client class (Python 3)
python3-pairix (0.3.7-3+b1)
1D/2D indexing and querying with a pair of genomic coordinates
python3-pairtools (0.3.0-2+b2)
Framework to process sequencing data from a Hi-C experiment
python3-pairtools-dbg (0.3.0-2+b2)
Process sequencing data from a Hi-C experiment (debug build)
python3-pairtools-examples (0.3.0-2+b2)
Process sequencing data from a Hi-C experiment (examples)
python3-palettable (3.3.0-2)
library of color palettes for Python (Python 3)
python3-pallets-sphinx-themes (1.2.3-1)
Sphinx themes for Pallets and related projects
python3-pam (0.4.2-13.4+b2 [amd64], 0.4.2-13.4+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Python interface to the PAM library
python3-pampy (1.8.4-2)
Python module for simple PAM authentications (Python 3)
python3-pamqp (2.3.0-2)
RabbitMQ Focused AMQP low-level library (Python3 version)
python3-pandas (1.1.5+dfsg-2)
data structures for "relational" or "labeled" data
python3-pandas-lib (1.1.5+dfsg-2)
low-level implementations and bindings for pandas
python3-pandocfilters (1.4.3-1)
python3 bindings for Pandoc's filters
python3-panko (9.0.0-1)
Event storage dispatcher for Ceilometer - Python library
python3-pankoclient (1.1.0-2)
Client library for OpenStack panko server - Python 3.x
python3-panoramisk (1.0-1.2)
asyncio based library to play with asterisk (Python 3 library)
python3-panwid (0.3.0.dev15-2)
Useful widgets for urwid
python3-paq (0.1.1+git20170722.9c5d493-2)
Python library for the paq9a compression algorithm
python3-parallax (1.0.6-3)
Execute commands and copy files over SSH
python3-parallel (0.2.2-4)
pyparallel - module encapsulating access for the parallel port
python3-param (1.9.3-2)
Make your Python code clearer and more reliable by declaring Parameters
python3-parameterized (0.7.0-2)
parameterized testing for Python 3
python3-paramiko (2.7.2-1)
Make ssh v2 connections (Python 3)
python3-parasail (1.2.3-1)
Python3 bindings for the parasail C library
python3-paraview (5.9.0-2)
Parallel Visualization Application. python-support
python3-paraview
本虛擬套件由這些套件填實: python3-paraview
python3-parfive (1.2.0-1)
HTTP and FTP parallel file downloader for Python
python3-parse (1.6.6-0.2)
Parse provides the reverse function for format(), Python3 package
python3-parse-type (0.3.4-3)
BDD plugin for py.test.
python3-parsedatetime (2.6-1)
Python 3 module to parse human-readable date/time expressions
python3-parsel (1.6.0+dfsg-2)
Python 3 library to extract HTML/XML data using XPath/CSS selectors
python3-parso (0.8.1-1)
Python parser that supports error recovery - Python 3.X
python3-partd (1.0.0-1)
Appendable key-value storage for Python 3
python3-parted (3.11.7-0.1+b1)
Python 3 interface for libparted
python3-passlib (1.7.4-1)
comprehensive password hashing framework
python3-paste (3.5.0+dfsg1-1)
tools for using a Web Server Gateway Interface stack - Python 3.x
python3-pastedeploy (2.1.1-1)
load, configure, and compose WSGI applications and servers - Python 3.x
python3-pastel (0.2.1-1.1)
bring colors to your terminal (Python 3)
python3-pastescript (2.0.2-4)
create file layouts for Python3 packages and serve webapps
python3-path (15.1.0-1)
module wrapper for os.path for Python 3
python3-path-and-address (2.0.1-2)
Functions for server CLI applications used by humans (Python 3)
python3-pathspec (0.8.1-1)
utility library for gitignore style pattern matching of file paths (Python 3)
python3-pathtools (0.1.2-4)
Path utilities for Python - Python 3.x
python3-patiencediff (0.2.1-1+b1)
diff implementation with balanced performance, output and implementation
python3-patsy (0.5.1-3)
statistical models in Python using symbolic formulas
python3-pattern (2.6+git20180818-2)
web mining module for Python 3
python3-pauvre (0.2.2-2)
QC and genome browser plotting Oxford Nanopore and PacBio long reads
python3-paypal (1.2.5-3)
PayPal API implementation (Python 3)
python3-pbbanana (15.8.24+dfsg-7)
additional utilities for the pbsuite
python3-pbcommand (2.1.1+git20201023.cc0ed3d-1)
common command-line interface for Pacific Biosciences analysis modules
python3-pbconsensuscore (1.1.1+dfsg-2+b3)
algorithms for PacBio multiple sequence consensus -- Python 3
python3-pbcore (1.7.1+git20200430.a127b1e+dfsg-1)
Python 3 library for processing PacBio data files
python3-pbkdf2 (1.3+20110613.git2a0fb15~ds0-3.2)
Python RSA PKCS#5 v2.0 module (Python 3)
python3-pbr (5.5.0-2)
inject useful and sensible default behaviors into setuptools - Python 3.x
python3-pbsuite-utils (15.8.24+dfsg-7)
software for Pacific Biosciences sequencing data -- Python utilities
python3-pcapy (0.11.4-2+b3)
Python interface to the libpcap packet capture library (Python 3)
python3-pcbasic (2.0.3-4)
cross-platform emulator for the GW-BASIC family of interpreters (Python2)
python3-pcbasic-doc (2.0.3-4)
cross-platform emulator for the GW-BASIC family of interpreters (doc)
python3-pcl (0.3.0~rc1+dfsg-9+b2)
Python 3 binding to the Pointcloud library (PCL)
python3-pcl-msgs (0.3.0-2)
Python 3 code for PCL-related Robot OS Messages
python3-pcl-ros (1.7.2-3)
Bridge between Robot OS library (ROS) and PCL -- Python module
python3-pcp (5.2.6-1)
Performance Co-Pilot Python3 PMAPI module
python3-pdfkit (0.6.1-2)
Python wrapper for wkhtmltopdf to convert HTML to PDF (Python 3)
python3-pdfminer (20200726-1)
PDF parser and analyser (Python3)
python3-peakutils (1.3.3+ds-2)
utilities for detecting peaks in 1D data
python3-pebble (4.6.0-1)
Threading and multiprocessing eye-candy
python3-pecan (1.3.3-3)
WSGI object-dispatching web framework - Python 3.x
python3-peewee (3.14.1+dfsg-1)
Simple ORM for PostgreSQL, MySQL and SQLite (Python 3)
python3-pefile (2019.4.18-1.1)
Portable Executable (PE) parsing module for Python
python3-pem (19.1.0-1)
easy PEM file parsing in Python
python3-pep517 (0.9.1-1)
Specifies a standard API for systems which build Python packages
python3-pep8 (1.7.1-9)
Python PEP 8 code style checker - Python 3
python3-pep8-naming (0.10.0-1)
check for PEP 8 naming conventions (flake8 plugin for Python3)
python3-peptidebuilder (1.1.0-2)
generate atomic oligopeptide 3D structure from sequence
python3-periodictable (1.5.3-1)
Extensible periodic table of the elements (Python 3)
python3-periphery (2.1.0-1)
Peripheral I/O (Python3 version)
python3-persist-queue (0.5.1-1)
thread-safe disk based persistent queue
python3-persistent (4.6.4-0.2)
Automatic persistence for Python objects
python3-petsc4py (3.14.1-1)
Python 3 bindings for PETSc libraries
python3-petsc4py-64-complex (3.14.1-1)
Python 3 bindings for 64-bit PETSc libraries (complex numbers)
python3-petsc4py-64-complex3.14 (3.14.1-1)
Python 3 bindings for 64-bit PETSc 3.14 libraries (complex numbers)
python3-petsc4py-64-real (3.14.1-1)
Python 3 bindings for 64-bit PETSc libraries (real numbers)
python3-petsc4py-64-real3.14 (3.14.1-1)
Python 3 bindings for 64-bit PETSc 3.14 libraries (real numbers)
python3-petsc4py-complex (3.14.1-1)
Python 3 bindings for PETSc libraries (complex numbers)
python3-petsc4py-complex3.14 (3.14.1-1)
Python 3 bindings for PETSc 3.14 libraries (complex numbers)
python3-petsc4py-real (3.14.1-1)
Python 3 bindings for PETSc libraries (real numbers)
python3-petsc4py-real3.14 (3.14.1-1)
Python 3 bindings for PETSc 3.14 libraries (real numbers)
python3-pex (1.1.14-3.1)
library for generating Python executable zip files
python3-pexpect (4.8.0-2)
Python 3 module for automating interactive applications
python3-pg
本虛擬套件由這些套件填實: python3-pygresql
python3-pg8000 (1.10.6-2)
Pure-Python PostgreSQL Driver (Python 3)
python3-pgbouncer (0.0.9-3)
Fixture to bring up temporary pgbouncer instances (Python 3)
python3-pgmagick (0.7.5-1+b5)
Yet Another Python wrapper for GraphicsMagick
python3-pgpdump (1.5-2)
PGP packet parser library (Python 3)
python3-pgpy (0.5.3-3)
OpenPGP (Pretty Good Privacy) RFC 4880 implementation in Python 3
python3-pgpy-doc (0.5.3-3)
OpenPGP (Pretty Good Privacy) RFC 4880 implementation in Python (documentation)
python3-pgq (3.5-2)
PgQ queue processing for Python
python3-pgspecial (1.11.10+dfsg1-1)
Meta-commands handler for Postgres Database (Python 3)
python3-pgzero (1.2.post4+dfsg-2)
Zero-boilerplate games programming framework based on Pygame (Python 3)
python3-phabricator (0.7.0-1.1)
Phabricator Python API Bindings (Python 3)
python3-phat (1.6-2)
Python 3 interface for the PHAT library
python3-phonenumbers (8.12.1-1)
parsing/formatting/validating phone numbers - Python 3
python3-photutils (1.0.2-1)
Astropy affiliated package for image photometry (Python 3)
python3-phply (1.2.5-4)
PHP parser written in Python 3 using PLY
python3-phpserialize (1.3-1.1)
Python port of PHP serialize and unserialize functions (Python 3)
python3-picklable-itertools (0.1.1-3)
picklable reimplementation of Python's itertools for Python 3
python3-pickleshare (0.7.5-3)
File system based database that uses Python pickles for Python 3
python3-picopore (1.2.0-2)
lossless compression of Nanopore files (Python3 module)
python3-pigpio (1.78-1)
Python module which talks to the pigpio daemon (Python 3)
python3-pika (0.11.0-5)
AMQP client library for Python 3
python3-pika-pool (0.1.3-4)
Pools for pikas. - Python 3.x
python3-pikepdf (1.17.3+dfsg-5)
Python library to read and write PDFs with QPDF
python3-pil (8.1.2+dfsg-0.3+deb11u1)
Python Imaging Library (Python3)
python3-pil-dbg (8.1.2+dfsg-0.3+deb11u1)
Python Imaging Library (Python3 debug extension)
python3-pil.imagetk (8.1.2+dfsg-0.3+deb11u1)
Python Imaging Library - ImageTk Module (Python3)
python3-pil.imagetk-dbg (8.1.2+dfsg-0.3+deb11u1)
Python Imaging Library - ImageTk Module (Python3 debug extension)
python3-pilkit (2.0-3)
Utilities and processors built for, and on top of PIL (Python3 version)
python3-pillow
本虛擬套件由這些套件填實: python3-pil
python3-pint (0.16.1-1)
define, operate and manipulate physical quantities - Python 3.x
python3-pip (20.3.4-4+deb11u1)
Python package installer
python3-pipdeptree (2.0.0-1)
display dependency tree of the installed Python 3 packages
python3-pivy (0.6.5-1+b3)
Coin binding for Python 3
python3-pkg-resources (52.0.0-4)
Package Discovery and Resource Access using pkg_resources
python3-pkgconfig (1.5.1-3)
Python 3 interface to the pkg-config command line tool
python3-pkginfo (1.4.2-3)
Python 3.x module to query metadata from packages
python3-pki-base (10.10.2-3)
Certificate System - PKI Framework -- python3 client support
python3-plac (0.9.6-1.1)
Smartest command line arguments parser in the world
python3-placement (4.0.0-1)
OpenStack resource inventory Placement - Python library
python3-plakativ (0.4-1)
create posters and banners from multiple glued-together pages (library)
python3-plaso (20201007-2)
super timeline all the things -- Python 3
python3-plaster (1.0-2)
Python multi-format configuration file loader interface - Python 3.x module
python3-plaster-pastedeploy (0.5-3)
PasteDeploy configuration format plugin for plaster - Python 3.x module
python3-plastex (2.1-2)
LaTeX document processing framework in Python - modules
python3-plist (2.2.0-6)
Library for handling Apple binary and XML property lists - Python3 bindings
python3-plotly (4.14.3+dfsg-1)
Python 3 plotting library for publication-quality graphs
python3-plplot (5.15.0+dfsg-19)
Python support for PLplot, a plotting library
python3-plplot-qt (5.15.0+dfsg-19)
Scientific plotting library (Python Qt GUI)
python3-pluggy (0.13.0-6)
plugin and hook calling mechanisms for Python - 3.x
python3-pluginbase (1.0.0-3)
Support library for building plugins systems (Python 3)
python3-plumbum (1.6.9-2)
library for writing shell script-like programs in Python 3
python3-ply (3.11-4)
Lex and Yacc implementation for Python3
python3-ply-lex-3.10
本虛擬套件由這些套件填實: python3-ply
python3-ply-yacc-3.10
本虛擬套件由這些套件填實: python3-ply
python3-plyara (2.1.1-1)
Parse YARA rules and operate over them more easily
python3-plyvel (1.3.0-1+b1)
fast and feature-rich Python interface to LevelDB
python3-pmemkv (1.0-2+b3)
key:value data store for persistent memory (Python 3)
python3-pmix (4.0.0-4.1+deb11u1)
Process Management Interface (Exascale) library - Python wrapper
python3-png (0.0.20+ds-3)
Pure Python PNG Reader/Writer (Python 3)
python3-pocketsphinx (1:0.1.15-2+b3)
Speech recognition tool - Python3 bindings
python3-podcastparser (0.6.5-1)
Simplified, fast RSS parsing library (Python 3)
python3-poezio-poopt (0.13.1-1+b2)
Console-based XMPP client (binary module)
python3-poliastro (0.14.0-5)
Astrodynamics and Orbital Mechanics computations
python3-polib (1.1.0-7)
Python 3 library to parse and manage gettext catalogs
python3-polled-camera (1.12.0-3)
Robot OS polled_camera package - Python 3
python3-pomegranate (0.13.5-1)
Fast, flexible and easy to use probabilistic modelling
python3-pony (0.7.14-1)
Pony Object-Relational Mapper
python3-popcon (2.0.3)
Python interface to Debian's Popularity Contest (popcon)
python3-poppler-qt5 (0.75.0-2+b2)
Python binding to Poppler-Qt5 C++ library (Python 3)
python3-port-for (0.4-2.1)
local TCP ports management for Python
python3-portalocker (2.2.1-1)
easy API to file locking
python3-portend (2.6-1)
Python module to monitor TCP port states
python3-portio (0.5-4+b3)
PortIO, Python low level port I/O for Linux x86
python3-portpicker (1.3.1-4)
Python 3 module to find unused network ports to bind to
python3-postgresfixture (0.4.2-1)
Fixture for creating PostgreSQL clusters and databases (Python 3)
python3-postgresql (1.2.1+git20180803.ef7b9a9-2+b3)
pgsql driver, cluster management tools, and client tools
python3-pot (0.7.0+dfsg-3)
Python optimal transport library
python3-potr (1.0.2-4)
Pure Python implementation of the OTR protocol (Python 3)
python3-power (1.4+dfsg-4)
Python module to get power and battery status (Python 3)
python3-powerline (2.8.1-4)
prompt and statusline utility (Python 3.x module)
python3-powerline-gitstatus (1.3.2-0+deb11u1)
Powerline Git segment for Python (3.x)
python3-powerline-taskwarrior (0.7.2-1.1)
Powerline segment for showing Taskwarrior information (Python 3)
python3-ppl (0.8.7-1)
Python interface to PPL -- Python 3
python3-pprintpp (0.4.0-2)
drop-in replacement for pprint that's actually pretty
python3-pprofile (2.0.5-1)
Line-granularity, deterministic and statistic Python 3 profiler
python3-praw (7.1.4-1)
Python Reddit API Wrapper (Python 3 version)
python3-prawcore (1.5.0+dfsg-1)
Low-level communication layer for PRAW
python3-prctl (1.7-2+b4)
Python interface to the prctl() syscall (Python 3)
python3-precis-i18n (1.0.2-3)
internationalized usernames and passwords
python3-preggy (1.4.4-1)
Assertion library for Python
python3-prelude (5.2.0-3+deb11u1)
Security Information and Events Management system [ Python3 bindings ]
python3-preludedb (5.2.0-1+b1)
Security Information and Events Management System [ Python3 bindings ]
python3-preshed (3.0.2-1+b2)
Cython Hash Table for Pre-Hashed Keys
python3-presto (0.6.2-1)
toolkit for processing B and T cell sequences (Python3 module)
python3-pretend (1.0.9-1)
Python library for stubbing (Python 3)
python3-pretty-yaml (20.4.0-1)
module to produce pretty and readable YAML-serialized data (Python 3)
python3-prettylog (0.1.0-2)
colored log formatter (Python 3)
python3-prettytable (0.7.2-5)
library to represent tabular data in visually appealing ASCII tables (Python3)
python3-prettytable
本虛擬套件由這些套件填實: python3-ptable
python3-priority (1.3.0-3)
pure-Python implementation of the HTTP/2 priority tree (Python 3)
python3-prison (0.1.3-2)
Python encoder/decoder for Rison (Python 3)
python3-proboscis (1.2.6.0-5)
extends Nose with certain TestNG like features - Python 3.x
python3-procrunner (1.1.0-1+b1 [amd64], 1.1.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Versatile utility function to run external processes from Python
python3-profiler
本虛擬套件由這些套件填實: python3
python3-profitbricks (4.1.3-3)
ProfitBricks REST API client library for Python 3
python3-progress (1.5-1)
easy progress reporting for Python
python3-progressbar (2.5-2)
text progress bar library for Python (Python 3)
python3-project-generator (0.11.0-1)
project generators for various embedded tools (IDE)
python3-project-generator-definitions (0.2.42-1)
collection of target/MCU definitions for progen
python3-proliantutils (2.10.0-2)
client lib interfacing various devices in HP Proliant Servers - Python 3.x
python3-prometheus-client (0.9.0-1)
Python 3 client for the Prometheus monitoring system
python3-prompt-toolkit (3.0.14-1)
library for building interactive command lines (Python 3)
python3-proselint (0.10.2-2)
Library and command-line prose linter utility (Python 3)
python3-protego (0.1.16+dfsg-2)
Pure-Python robots.txt parser with support for modern conventions
python3-protobix (1.0.2-8)
Implementation of Zabbix Sender protocol (Python 3)
python3-protobuf (3.12.4-1+deb11u1)
Python 3 bindings for protocol buffers
python3-prov (1.5.2-2)
W3C Provenance Data Model (Python 3)
python3-proxmoxer (1.0.3-2)
Python Wrapper for the Proxmox 2.x API (HTTP and SSH) (Python 3)
python3-psautohint (2.2.0-1)
Python library for psautohint font hinter from AFDKO
python3-pskc (1.1-3)
Python module for handling PSKC files (Python 3)
python3-psutil (5.8.0-1)
module providing convenience functions for managing processes (Python3)
python3-psutil-dbg (5.8.0-1)
module providing convenience functions for managing processes (Python3 debug)
python3-psycogreen (1.0.1-1.1)
psycopg2 integration with coroutine libraries
python3-psycopg2 (2.8.6-2)
Python 3 module for PostgreSQL
python3-psycopg2-dbg (2.8.6-2)
Python 3 module for PostgreSQL (debug extension)
python3-psycopg2cffi (2.8.1-2)
implementation of the psycopg2 module using cffi
python3-ptable (0.9.2-2)
library to represent tabular data in visually appealing ASCII tables (Python3)
python3-ptk (1.3.8+dfsg-1)
parser for Python 3 with support for asynchronous input
python3-ptrace (0.9.7-0.1)
Python 3 bindings for ptrace
python3-ptyprocess (0.7.0-1)
Run a subprocess in a pseudo terminal from Python 3
python3-public (0.5-1.1)
@public decorator for adding names to __all__
python3-publicsuffix2 (2.20191221-2)
Python3 module to get a domain suffix using the Public Suffix List
python3-pubsub (4.0.3-4)
Python 3 publish-subcribe library
python3-pudb (2020.1-1)
full-screen, console-based Python3 debugger
python3-pulp (1.6.0+dfsg1-5)
LP modeler - Python 3.x
python3-pure-sasl (0.5.1+dfsg1-2)
pure Python client SASL implementation - Python 3.x
python3-purl (1.5-2)
URL interrogation and manipulation (Python3 version)
python3-pweave (0.25-3)
scientific report generator for Python
python3-pwquality (1.4.4-1)
Python bindings for libpwquality
python3-py (1.10.0-1)
Advanced Python development support library (Python 3)
python3-py-stringmatching (0.4.2+git20201204.6a7fb57-2)
Library of string tokenizers and similarity measures
python3-py-zipkin (0.15.0-1.1)
Library for using Zipkin in Python
python3-py2bit (0.3.0-6+b1)
access to 2bit files
python3-py7zr (0.11.3+dfsg-1+deb11u1) [security]
pure Python 7-zip library
python3-pyaes (1.6.1-4)
Pure-Python implementation of the AES cipher (Python 3)
python3-pyagentx (0.4.1-6)
AgentX package to extend SNMP with pure Python
python3-pyalsa (1.1.6-2+b4)
Official ALSA Python binding library for Python3
python3-pyani (0.2.10-2+b1)
Python3 module for average nucleotide identity analyses
python3-pyannotate (1.2.0-2)
auto-generate PEP-484 annotations
python3-pyao (0.82+ds1-1+b3)
Python interface to the Audio Output library (Python 3)
python3-pyao-dbg (0.82+ds1-1+b3)
Python interface to the Audio Output library (Python 3 debug)
python3-pyarmnn (20.08-9)
PyArmNN is a python extension for the Armnn SDK
python3-pyasn (1.6.1-2)
very fast IP address to Autonomous System Number lookups
python3-pyasn1 (0.4.8-1)
ASN.1 library for Python (Python 3 module)
python3-pyasn1-modules (0.2.1-1)
Collection of protocols modules written in ASN.1 language (Python 3)
python3-pyassimp (5.0.1~ds0-2)
3D model import library (Python3 bindings)
python3-pyatspi (2.38.1-1)
Assistive Technology Service Provider Interface - Python3 bindings
python3-pyaudio (0.2.11-1.3+b1)
Python3 bindings for PortAudio v19
python3-pyavm (0.9.4-7)
Python3 module to handle Astronomy Visualization Metadata Standard
python3-pyaxmlparser (0.3.24-1)
Simple Python library to parse Android XML files
python3-pybadges (2.2.1-1)
library for creating Github-style badges (Python3 version)
python3-pybedtools (0.8.0-5+b2 [amd64, arm64, mips64el, ppc64el], 0.8.0-5 [armel, armhf, i386, mipsel])
Python 3 wrapper around BEDTools for bioinformatics work
python3-pybel (0.14.10-1)
Biological Expression Language
python3-pybigwig (0.3.17-1+b4)
Python 3 module for quick access to bigBed and bigWig files
python3-pybind11 (2.6.2-1)
pybind11 helper module for Python 3
python3-pybindgen (0.20.0+dfsg1-2)
Python bindings generator (Python 3)
python3-pybtex (0.23.0-1)
public modules for pybtex (Python 3)
python3-pybtex-docutils (0.2.1-1.1)
docutils backend for pybtex
python3-pycadf (3.1.1-2)
implementation of DMTF Cloud Audit (CADF) data model - 3.x
python3-pycallgraph (1.1.3-1.2)
Python library that creates call graphs for Python programs
python3-pycangjie (1.3-2+b2)
Python wrapper to libcangjie
python3-pycares (3.1.1-1+b3)
Python interface for c-ares (Python 3)
python3-pycbf (0.9.6+dfsg1-2+b2)
Python modules for CBFLib -- Python3
python3-pycbf-dbg (0.9.6+dfsg1-2+b2)
Python modules for CBFLib -- Python3 (Debug)
python3-pychopper (2.5.0-1)
identify, orient and trim full-length Nanopore cDNA reads
python3-pychromecast (7.7.1-2)
Library to communicate with Google Chromecast (Python 3)
python3-pycifrw (4.4-2+b3)
CIF/STAR file support for Python
python3-pyclamd (0.4.0-2)
Python 3 interface to the ClamAV daemon
python3-pyclipper (1.2.1-1)
Cython wrapper for the Clipper library
python3-pyclustering (0.10.1.2-1)
Data mining algorithms (Python 3)
python3-pycoast (1.4.0+dfsg-1)
Draw coastlines, borders and rivers on images (for Python 3)
python3-pycodcif (3.1.0+dfsg-3)
error-correcting CIF parser - Python3 bindings
python3-pycodestyle (2.6.0-1)
Python style guide checker (formerly called pep8) - Python 3.x
python3-pycosat (0.6.3+dfsg-1+b4)
Python bindings to picosat
python3-pycountry (20.7.3+ds1-1)
ISO databases accessible from Python 3
python3-pycparser (2.20-3)
C parser in Python 3
python3-pycryptodome (3.9.7+dfsg1-1+b2)
cryptographic Python library (Python 3)
python3-pycsw (2.6.0+dfsg-1) [non-free]
OGC compliant metadata (Catalogue Service for the Web) server - Python 3
python3-pyct (0.4.7a3-2)
Python packaging Common Tasks
python3-pycuda (2020.1~dfsg1-1) [contrib]
Python 3 module to access Nvidia‘s CUDA parallel computation API
python3-pycuda-dbg (2020.1~dfsg1-1) [contrib]
Python 3 module to access Nvidia‘s CUDA API (debug extensions)
python3-pycurl (7.43.0.6-5)
Python bindings to libcurl (Python 3)
python3-pycurl-dbg (7.43.0.6-5)
Python bindings to libcurl (debug extension, Python 3)
python3-pydantic (1.7.4-1)
Data validation and settings management using Python type hinting
python3-pydbus (0.6.0-4)
Pythonic D-Bus library (Python 3)
python3-pydecorate (0.3.1-1)
Decorating PIL images with logos, texts, pallettes
python3-pydenticon (0.3.1-2)
deterministically generate identicons in Python 3
python3-pydicom (2.0.0-1)
DICOM medical file reading and writing (Python 3)
python3-pydispatch (2.0.5-2)
Python 3 signal dispatching mechanism
python3-pydl (1.0.0~rc1-2)
Library of IDL astronomy routines converted to Python 3
python3-pydle (0.9.4-2)
compact, flexible and standards-abiding IRC library for Python
python3-pydocstyle (2.1.1-1)
Python docstring style checker (Python 3 library)
python3-pydot (1.4.2-1)
Python interface to Graphviz's dot (Python 3)
python3-pydot-ng (1.0.0-5)
interface to Graphviz's Dot
python3-pydotplus (2.0.2-3)
interface to Graphviz's Dot language - Python 3.x
python3-pyds9 (1.8.1-5)
Communication with the ds9 image display program from Python
python3-pydub (0.24.1-1)
Python audio sample manipulation (Python 3)
python3-pyeapi (0.8.1-2)
Python API to interact with EOS network devices - Python 3.x
python3-pyeclib (1.6.0-6+b3)
interface for implementing erasure codes - Python 3.x
python3-pyee (7.0.2-1)
Python 3 port of node.js's EventEmitter to Python
python3-pyelftools (0.27-1)
pure-python3 library for parsing ELF and DWARF
python3-pyemd (0.5.1-2+b1)
Python library for the Earth Mover's Distance with NumPy
python3-pyepsg (0.3.2-2)
easy access to the EPSG database (Python 3)
python3-pyethash (0.1.27-2.1+b3)
Ethash proof-of-work algorithm — Python 3
python3-pyface (6.1.2-2)
traits-capable windowing framework
python3-pyfai (0.20.0+dfsg1-3)
Fast Azimuthal Integration scripts - Python3
python3-pyfai-dbg (0.20.0+dfsg1-3)
Fast Azimuthal Integration scripts - Python3 debug
python3-pyfaidx (0.5.9.2-1)
efficient random access to fasta subsequences for Python 3
python3-pyfakefs (4.3.3-1)
fake fs that mocks the Python file system modules - Python 3
python3-pyfavicon (0.1.1+dfsg1-3)
Asynchronous favicon fetcher
python3-pyfftw (0.12.0-1+b3)
Pythonic wrapper around FFTW - Python 3
python3-pyfiglet (0.8.0+dfsg-1)
Python 3 port of the FIGlet specification
python3-pyflakes (2.2.0-2)
passive checker of Python 3 programs - Python modules
python3-pyflow (1.1.20-2+b1 [amd64], 1.1.20-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lightweight parallel task engine for Python
python3-pyfribidi (0.12.0+repack-7+b3)
FriBidi Python bindings
python3-pyftpdlib (1.5.4-2)
Python FTP server library (Python 3)
python3-pyfuse3 (3.2.0-2)
Python 3 bindings for libfuse 3 with asynchronous API
python3-pyfuse3-dbg (3.2.0-2)
Python 3 bindings for libfuse 3 with asynchronous API (debugging symbols)
python3-pygac (1.4.0-2+b2)
Python package to read and calibrate NOAA AVHRR GAC data
python3-pygal (2.4.0-2.2)
Python3 svg graph plotting library
python3-pygalmesh (0.9.1-2+b1)
Python 3 frontend to CGAL's 3D mesh generators
python3-pygame (1.9.6+dfsg-4+b1)
SDL bindings for games development (Python 3)
python3-pygame-sdl2 (7.4.2-1)
reimplementation of the Pygame API using SDL2
python3-pygccxml (1.9.1-3)
specialized XML reader reads the output from gccxml - python3 lib
python3-pygeoif (0.7-2)
basic implementation of the __geo_interface__ (Python 3)
python3-pygeoip (0.3.2-5)
Python API for Maxmind's binary GeoIP databases
python3-pygerrit2 (2.0.4-2)
library to interact with Gerrit via the REST API - Python 3.x
python3-pygetdata (0.10.0-10)
library to read/write dirfile data - Python3 bindings
python3-pyghmi (1.5.14-1)
General Hardware Management Initiative (IPMI and others) - Python 3.x
python3-pygit2 (1.4.0+dfsg1-1)
bindings for libgit2 - Python 3.x
python3-pyglet (1.5.14-1)
cross-platform windowing and multimedia library (Python 3)
python3-pyglossary (3.2.1-1.1)
tool for workig with dictionary databases - Python 3.X
python3-pygments (2.7.1+dfsg-2.1)
syntax highlighting package written in Python 3
python3-pygmsh (7.1.8-1)
combine the power of Gmsh with the versatility of Python
python3-pygnuplot (0.11.16-4)
Simple Python wrapper for Gnuplot
python3-pygpu (0.7.6-5+b3)
language bindings for libgpuarray (Python 3)
python3-pygpu-dbg (0.7.6-5+b3)
debug extensions for pygpu (Python 3)
python3-pygrace (0.6-2)
Python3 bindings for grace
python3-pygraphviz (1.7-2)
Python interface to the Graphviz graph layout and visualization package (Python 3)
python3-pygraphviz-dbg (1.7-2)
Python interface to the Graphviz graph layout and visualization package (py3k debug extension)
python3-pygresql (1:5.1.2-1+b2)
PostgreSQL module for Python3
python3-pygtail (0.6.1-2)
read log file lines that have not been read (Python 3)
python3-pygtrie (2.2-1.1)
Python library implementing a trie data structure (Python 3)
python3-pyhamtools (0.7.6-1)
Python library with amateur radio functions and methods
python3-pyhst2-cuda (2020c-1) [contrib]
Python High Speed Tomographic reconstruction
python3-pyicloud (0.10.2-1)
wrapper to access iPhone and Calendar data in the iCloud
python3-pyinotify (0.9.6-1.3)
simple Linux inotify Python bindings
python3-pyiosxr (0.52-1.1)
Python API for Cisco IOX-XR network devices (Python 3)
python3-pyipmi (0.4.2-2)
Pure Python IPMI library
python3-pyisomd5sum (1:1.2.3-4+b4)
ISO9660 checksum Python 3 module
python3-pyjavaproperties (0.7-2)
Python implementation of java.util.Properties
python3-pyjokes (0.5.0-3)
One line jokes for programmers (Python 3)
python3-pykafka (2.7.0-1+b5 [amd64], 2.7.0-1+b4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
PyKafka is Kafka client for Python.
python3-pykcs11 (1.5.10-1)
PKCS#11 wrapper for Python
python3-pykdl (1.4.0-11+b2)
Kinematics and Dynamics Library Python library
python3-pykdtree (1.3.4+ds-1+b1)
Fast kd-tree implementation with OpenMP-enabled queries (Python 3 version)
python3-pykeepass (3.2.1-2)
KeePass database library - Python 3.x Module
python3-pykka (2.0.3-1)
Actor model library (Python 3)
python3-pykmip (0.10.0-3)
KMIP v1.1 library - Python 3.x
python3-pyknon (1.2-3)
Simple Python music library
python3-pykwalify (1.8.0-1)
Python YAML/JSON schema validation library (Python 3)
python3-pylama (7.4.3-3)
code audit library for Python in Python3
python3-pylast (4.1.0-1)
Python 3 interface to Last.fm and other compatible services
python3-pyld (2.0.3-1)
implementation of the JSON-LD API
python3-pyldap (3.2.0-4+b3)
LDAP interface module for Python 3.x - transition package
python3-pyldap
本虛擬套件由這些套件填實: python3-ldap
python3-pylev (1.2.0-2)
pure Python Levenshtein implementation
python3-pylibacl (0.6.0-1+b1)
module for manipulating POSIX.1e ACLs (Python3 version)
python3-pylibacl-dbg (0.6.0-1+b1)
module for manipulating POSIX.1e ACLs (Python3 debug extension)
python3-pylibdmtx (0.1.9-2)
Read Data Matrix barcodes (Python3 version)
python3-pylibmc (1.5.2-3+b3)
libmemcached wrapper (Python3 version)
python3-pylibsrtp (0.6.1-2)
Python wrapper around libsrtp
python3-pylint-celery (0.3-5)
Pylint plugin for code using the Celery library (Python3)
python3-pylint-common (0.2.5-4)
Pylint plugin for the standard Python library (Python 3)
python3-pylint-django (2.0.13-1)
Pylint plugin for analysing code using Django (Python 3)
python3-pylint-flask (0.5-4)
Pylint plugin for analyzing Flask applications (Python 3)
python3-pylint-plugin-utils (0.6-1)
Utilities and helpers for writing Pylint plugins (Python 3)
python3-pyls (0.36.2-3)
Python implementation of the Language Server Protocol
python3-pyls-black (0.4.6-3)
Black plugin for the Python Language Server
python3-pyls-jsonrpc (0.4.0-2)
Python server implementation of the JSON RPC 2.0 protocol
python3-pyls-spyder (0.3.0-3)
Spyder plugin for the Python Language Server
python3-pyluach (1.2.1-1)
Hebrew dates and Hebrew-Gregorian conversions (Python3 version)
python3-pylxd (2.2.10-1)
Python library for interacting with LXD REST API - Python 3.x
python3-pymacaroons (0.13.0-4)
Macaroon library for Python 3
python3-pymad (0.10-4+b3)
Python wrapper to the MPEG Audio Decoder library (Python 3)
python3-pymad-dbg (0.10-4+b3)
Python wrapper to the MPEG Audio Decoder library (Python 3 debug)
python3-pymap3d (2.5.0-1)
pure-Python 3D coordinate conversions for geospace
python3-pymca5 (5.6.3+dfsg-1)
Applications and toolkit for X-ray fluorescence analysis -- Python 3
python3-pymca5-dbg (5.6.3+dfsg-1)
Applications and toolkit for X-ray fluorescence analysis -- Python 3 debug
python3-pymeasure (0.9.0-1)
scientific measurement library for Python
python3-pymediainfo (5.0.3-1)
Library to fetch multimedia metadata information - Python 3.x
python3-pymeeus (0.4.3+dfsg1-2)
Python implementation of Jean Meeus astronomical routines
python3-pymemcache (3.0.1-2)
comprehensive, fast, pure Python memcached client - Python 3.x
python3-pymoc (0.5.0-5)
Python Multi-Order Coverage maps for Virtual Observatory
python3-pymodbus (2.1.0+dfsg-2)
full Modbus protocol implementation for Python 3
python3-pymol (2.4.0+dfsg-2)
Molecular Graphics System (Python 3 modules)
python3-pymongo (3.11.0-1+b1)
Python3 interface to the MongoDB document-oriented database
python3-pymongo-ext (3.11.0-1+b1)
C-coded extension to the python3-pymongo package
python3-pympler (0.9+dfsg1-2)
Tool to measure, monitor and analyze the Python objects memory
python3-pymssql (2.1.4+dfsg-3+b3)
Python database access for MS SQL server and Sybase - Python 3
python3-pymummer (0.11.0-2)
Python 3 interface to MUMmer
python3-pymysql (0.9.3-2)
Pure-Python MySQL Driver - Python 3.x
python3-pymzml (2.4.7-3)
mzML mass spectrometric data parsing (Python 3.x)
python3-pynag (1.1.2+dfsg-2)
Python 3 Modules for Nagios plugins and configuration
python3-pynest2d (4.8.0-2)
Python bindings for libnest2d
python3-pynetbox (5.3.1-1)
Python API client library for NetBox
python3-pynfft (1.3.2-3+b3)
Python bindings for the NFFT3 library - Python 3
python3-pynfft-dbg (1.3.2-3+b3)
Python bindings to the NFFT3 library - Python 3 (debug)
python3-pyngus (2.3.0-2)
callback API implemented over Proton - Python 3.x
python3-pyninjotiff (0.3.0-1)
Python library for writing NinJo-compatible TIFF files
python3-pynliner (0.8.0-2) [non-free]
CSS-to-inline-styles conversion tool for HTML - Python 3.x
python3-pynlpl (1.2.9-1)
PyNLPl is a library for Natural Language Processing (Python 3 version)
python3-pynn (0.9.6-1)
simulator-independent specification of neuronal network models
python3-pynpoint (0.8.3-3)
Pipeline for processing and analysis of high-contrast imaging data
python3-pynvim (0.4.2-1)
Python3 library for scripting Neovim processes through its msgpack-rpc API
python3-pynvml (7.352.0-7) [contrib]
Python3 bindings to the NVIDIA Management Library
python3-pyo (1.0.3-0.1+b1)
Python3 module written in C to help digital signal processing script creation
python3-pyocd (0.13.1+dfsg-1.1)
ARM Cortex-M programming tools (Python3)
python3-pyocr (0.8-1)
Python wrapper for OCR engines (Python 3)
python3-pyodbc (4.0.30-1+b2)
Python3 module for ODBC database access
python3-pyode (1.2.0.dev15-3+b1)
Python bindings for The Open Dynamics Engine
python3-pyode-doc (1.2.0.dev15-3)
Documentation for pyode
python3-pyopencl (2021.1.2-1)
Python 3 module to access OpenCL parallel computation API
python3-pyopencl-dbg (2021.1.2-1)
Python 3 module to access OpenCL API (debug extensions)
python3-pyopencolorio (1.1.1~dfsg0-7)
complete color management solution - Python bindings
python3-pyorbital (1.6.0-3)
Orbital and astronomy computations in Python 3
python3-pyorick (1.4-3)
Python 3 module to execute Yorick code
python3-pyosmium (3.1.3-1)
Osmium library bindings for Python 3
python3-pyotp (2.3.0-1)
Python One Time Password Library
python3-pypamtest (1.1.3-1+b1)
Tool to test PAM applications and PAM modules -- Python3 bindings
python3-pypandoc (1.5+ds0-1)
Thin wrapper for pandoc (Python 3.x)
python3-pyparsing (2.4.7-1)
alternative to creating and executing simple grammars - Python 3.x
python3-pypass (0.2.1-1.1)
pypass library for Python3
python3-pypathlib (0.1.3-1)
Python tools for 2D open and closed paths
python3-pypdf2 (1.26.0-4)
Pure-Python library built as a PDF toolkit (Python 3)
python3-pypeg2 (2.15.2-2.1)
Intrinsic PEG Parser-Interpreter for Python
python3-pyperclip (1.8.0-1)
Cross-platform clipboard module for Python3
python3-pyperform (1.86-2)
fast and convenient way to performance test functions and compare results
python3-pypff (20180714-3)
Python 3 bindings for libpff
python3-pyphen (0.9.5-3)
Python 3 hyphenation module
python3-pypillowfight (0.3.0-4+b2)
Python 3 bindings for libpillowfight
python3-pypowervm (1.1.24+ds1-2)
Python binding for the PowerVM REST API - Python 3.x
python3-pyprind (2.11.2-2)
Python Progress Bar and Percent Indicator Utility
python3-pyproj (3.0.0-2)
Python 3 interface to PROJ library
python3-pypubsub
本虛擬套件由這些套件填實: python3-pubsub
python3-pypump (0.7-3)
interface to the pump.io APIs (Python 3)
python3-pypuppetdb (2.2.0-1)
interface to the PuppetDB REST API (Python 3)
python3-pypureomapi (0.8-1)
ISC DHCP OMAPI protocol implementation in Python3
python3-pyqrcode (1.2.1-4)
Python 3 module to generate QR Codes
python3-pyqt-distutils (0.7.3-2)
distutils extension to work with PyQt applications and UI files (Python3)
python3-pyqt5 (5.15.2+dfsg-3)
Python 3 bindings for Qt5
python3-pyqt5-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5 (debug extensions)
python3-pyqt5.qsci (2.11.6+dfsg-2)
Python 3 bindings for QScintilla 2 with Qt 5
python3-pyqt5.qsci-dbg (2.11.6+dfsg-2)
Python 3 bindings for QScintilla 2 (debug extension)
python3-pyqt5.qtbluetooth (5.15.2+dfsg-3)
Python bindings for Qt Bluetooth module
python3-pyqt5.qtbluetooth-dbg (5.15.2+dfsg-3)
Python bindings for Qt Bluetooth module (debug extension)
python3-pyqt5.qtchart (5.15.2+dfsg-2)
Python 3 bindings for Qt5's Charts module
python3-pyqt5.qtchart-dbg (5.15.2+dfsg-2)
Python 3 bindings for Qt5's Charts module (debug extension)
python3-pyqt5.qtmultimedia (5.15.2+dfsg-3)
Python 3 bindings for Qt5's Multimedia module
python3-pyqt5.qtmultimedia-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's Multimedia module (debug extensions)
python3-pyqt5.qtnetworkauth (5.15.2+dfsg-3)
Python bindings for Qt Network Authorization module
python3-pyqt5.qtnetworkauth-dbg (5.15.2+dfsg-3)
Python bindings for Qt Network Authorization module (debug extension)
python3-pyqt5.qtnfc (5.15.2+dfsg-3)
Python bindings for Qt NFC module
python3-pyqt5.qtnfc-dbg (5.15.2+dfsg-3)
Python bindings for Qt NFC module (debug extension)
python3-pyqt5.qtopengl (5.15.2+dfsg-3)
Python 3 bindings for Qt5's OpenGL module
python3-pyqt5.qtopengl-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's OpenGL module (debug extension)
python3-pyqt5.qtpositioning (5.15.2+dfsg-3)
Python 3 bindings for QtPositioning module
python3-pyqt5.qtpositioning-dbg (5.15.2+dfsg-3)
Python 3 bindings for QtPositioning module (debug extension)
python3-pyqt5.qtquick (5.15.2+dfsg-3)
Python 3 bindings for QtQuick module
python3-pyqt5.qtquick-dbg (5.15.2+dfsg-3)
Python 3 bindings for QtQuick module (debug extension)
python3-pyqt5.qtremoteobjects (5.15.2+dfsg-3)
Python 3 bindings for Qt5's RemoteObjects module
python3-pyqt5.qtremoteobjects-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's RemoteObjects module (debug extensions)
python3-pyqt5.qtsensors (5.15.2+dfsg-3)
Python 3 bindings for QtSensors module
python3-pyqt5.qtsensors-dbg (5.15.2+dfsg-3)
Python 3 bindings for QtSensors module (debug extension)
python3-pyqt5.qtserialport (5.15.2+dfsg-3)
Python 3 bindings for QtSerialPort module
python3-pyqt5.qtserialport-dbg (5.15.2+dfsg-3)
Python 3 bindings for QtSerialPort module (debug extension)
python3-pyqt5.qtsql (5.15.2+dfsg-3)
Python 3 bindings for Qt5's SQL module
python3-pyqt5.qtsql-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's SQL module (debug extension)
python3-pyqt5.qtsvg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's SVG module
python3-pyqt5.qtsvg-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's SVG module (debug extension)
python3-pyqt5.qttexttospeech (5.15.2+dfsg-3)
Python 3 bindings for QtSpeech module
python3-pyqt5.qttexttospeech-dbg (5.15.2+dfsg-3)
Python 3 bindings for QtSpeech module (debug extension)
python3-pyqt5.qtwebchannel (5.15.2+dfsg-3)
Python 3 bindings for Qt5's WebChannel module
python3-pyqt5.qtwebchannel-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's Webchannel module (debug extension)
python3-pyqt5.qtwebengine (5.15.2-2)
Python 3 bindings for Qt5's WebEngine module
python3-pyqt5.qtwebengine-dbg (5.15.2-2)
Python 3 bindings for Qt5's WebEngine module (debug extensions)
python3-pyqt5.qtwebkit (5.15.2+dfsg-3)
Python 3 bindings for Qt5's WebKit module
python3-pyqt5.qtwebkit-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's WebKit module (debug extensions)
python3-pyqt5.qtwebsockets (5.15.2+dfsg-3)
Python 3 bindings for Qt5's WebSockets module
python3-pyqt5.qtwebsockets-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's WebSockets module (debug extensions)
python3-pyqt5.qtx11extras (5.15.2+dfsg-3)
Python 3 bindings for QtX11Extras module
python3-pyqt5.qtx11extras-dbg (5.15.2+dfsg-3)
Python 3 bindings for QtX11Extras module (debug extension)
python3-pyqt5.qtxmlpatterns (5.15.2+dfsg-3)
Python 3 bindings for Qt5's XmlPatterns module
python3-pyqt5.qtxmlpatterns-dbg (5.15.2+dfsg-3)
Python 3 bindings for Qt5's XmlPatterns module (debug extension)
python3-pyqt5.qwt (1.02.02-2)
Python version of the Qwt6 technical widget library (Python3)
python3-pyqt5.sip (12.8.1-1+b2)
runtime module for Python extensions using SIP
python3-pyqt5.sip-dbg (12.8.1-1+b2)
runtime module for Python extensions using SIP — debug extension
python3-pyqtbuild (1.7.0+dfsg-1)
PEP 517 compliant PyQt build system
python3-pyqtgraph (0.11.1-1)
Scientific Graphics and GUI Library for Python 3
python3-pyquery (1.2.9-4)
jQuery-like library for python 3
python3-pyrad (2.1-2)
Python module for creating and decoding RADIUS packets (Python 3)
python3-pyraf (2.1.15-2+b4)
Python interface for IRAF
python3-pyramid (1.10.4+dfsg-2)
Pyramid web application framework, a Pylons project - Python 3.x version
python3-pyramid-chameleon (0.3-4)
Chameleon templating support for the Pyramid framework - Python 3.x version
python3-pyramid-jinja2 (2.7+dfsg-1.2)
Jinja2 template bindings for the Pyramid web framework (Python 3)
python3-pyramid-multiauth (0.8.0-1.1)
authentication policy for the Pyramid web framework (python 3)
python3-pyramid-tm (0.5-1.2)
Transaction management for the Pyramid web framework
python3-pyramid-zcml (1.0.0-1.2)
Declarative configuration for the Pyramid web framework
python3-pyranges (0.0.85+ds-1)
2D representation of genomic intervals and their annotations
python3-pyrdfa (3.5.2~ds-5)
RDFa distiller and parser for Python
python3-pyregfi (1.0.1+svn287-9)
Python 3 Bindings for reglookup
python3-pyregion (2.0-11+b1)
Python module to parse ds9 region files (Python 3)
python3-pyremctl (3.17-1)
Python 3 extension for Kerberos-authenticated command execution
python3-pyresample (1.17.0+ds-1+b1 [amd64, arm64, armel, armhf, i386, ppc64el], 1.17.0+ds-1 [mips64el, mipsel, s390x])
Resampling of remote sensing data in Python 3
python3-pyrle (0.0.31-2+b2)
run length arithmetic in Python
python3-pyro4 (4.80-1)
distributed object middleware for Python (RPC), Python3 modules
python3-pyroma (2.6b2-1)
Python packaging quality checker (Python3 build)
python3-pyroute2 (0.5.14-2)
Python3 Netlink library
python3-pyrsistent (0.15.5-1+b3)
persistent/functional/immutable data structures for Python
python3-pyrss2gen (1.1-2)
interface for generating RSS 2.0 feeds for Python 3
python3-pyrundeck (0.9.10-1)
Python library for the Rundeck REST API (Python 3)
python3-pysam (0.15.4+ds-3+b2)
interface for the SAM/BAM sequence alignment and mapping format (Python 3)
python3-pysaml2 (6.5.1-1)
SAML Version 2 to be used in a WSGI environment - Python 3.x
python3-pyscard (2.0.0-1+b2)
Python3 wrapper above PC/SC API
python3-pyscss (1.3.7-3)
SCSS compiler - Python 3.x
python3-pyshp (2.1.3+ds-1)
read/write support for ESRI Shapefile format - Python 3.x
python3-pyside2.qt3danimation (5.15.2-1)
Python bindings for Qt5 3DAnimation module (Python 3)
python3-pyside2.qt3dcore (5.15.2-1)
Python bindings for Qt5 3DCore module (Python 3)
python3-pyside2.qt3dextras (5.15.2-1)
Python bindings for Qt5 3DExtras module (Python 3)
python3-pyside2.qt3dinput (5.15.2-1)
Python bindings for Qt5 3DInput module (Python 3)
python3-pyside2.qt3dlogic (5.15.2-1)
Python bindings for Qt5 3DLogic module (Python 3)
python3-pyside2.qt3drender (5.15.2-1)
Python bindings for Qt5 3DRender module (Python 3)
python3-pyside2.qtcharts (5.15.2-1)
Python bindings for Qt5 Charts module (Python 3)
python3-pyside2.qtconcurrent (5.15.2-1)
Python bindings for Qt5 Concurrent module (Python 3)
python3-pyside2.qtcore (5.15.2-1)
Python bindings for Qt5 core module (Python 3)
python3-pyside2.qtdatavisualization (5.15.2-1)
Python bindings for Qt5 Data Visualization (Python 3)
python3-pyside2.qtgui (5.15.2-1)
Python bindings for Qt5 Gui module (Python 3)
python3-pyside2.qthelp (5.15.2-1)
Python bindings for Qt5 Help module (Python 3)
python3-pyside2.qtlocation (5.15.2-1)
Python bindings for Qt5 Location module (Python 3)
python3-pyside2.qtmultimedia (5.15.2-1)
Python bindings for Qt5 Multimedia module (Python 3)
python3-pyside2.qtmultimediawidgets (5.15.2-1)
Python bindings for Qt5 MultimediaWidgets module (Python 3)
python3-pyside2.qtnetwork (5.15.2-1)
Python bindings for Qt5 Network module (Python 3)
python3-pyside2.qtopengl (5.15.2-1)
Python bindings for Qt5 OpenGL module (Python 3)
python3-pyside2.qtopenglfunctions (5.15.2-1)
Python bindings for Qt5 Open GL Functions (Python 3)
python3-pyside2.qtpositioning (5.15.2-1)
Python bindings for Qt5 Positioning module (Python 3)
python3-pyside2.qtprintsupport (5.15.2-1)
Python bindings for Qt5 PrintSupport module (Python 3)
python3-pyside2.qtqml (5.15.2-1)
Python bindings for Qt5 Qml module (Python 3)
python3-pyside2.qtquick (5.15.2-1)
Python bindings for Qt5 Quick module (Python 3)
python3-pyside2.qtquickcontrols2 (5.15.2-1)
Python bindings for Qt5 QuickControls2 (Python 3)
python3-pyside2.qtquickwidgets (5.15.2-1)
Python bindings for Qt5 QuickWidgets module (Python 3)
python3-pyside2.qtremoteobjects (5.15.2-1)
Python bindings for Qt5 RemoteObjects (Python 3)
python3-pyside2.qtscript (5.15.2-1)
Python bindings for Qt5 Script module (Python 3)
python3-pyside2.qtscripttools (5.15.2-1)
Python bindings for Qt5 ScriptTools module (Python 3)
python3-pyside2.qtscxml (5.15.2-1)
Python bindings for Qt5 Scxml (Python 3)
python3-pyside2.qtsensors (5.15.2-1)
Python bindings for Qt5 Sensors module (Python 3)
python3-pyside2.qtserialport (5.15.2-1)
Python bindings for Qt5 SerialPort (Python 3)
python3-pyside2.qtsql (5.15.2-1)
Python bindings for Qt5 Sql module (Python 3)
python3-pyside2.qtsvg (5.15.2-1)
Python bindings for Qt5 Svg module (Python 3)
python3-pyside2.qttest (5.15.2-1)
Python bindings for Qt5 Test module (Python 3)
python3-pyside2.qttexttospeech (5.15.2-1)
Python bindings for Qt5 TextToSpeech module (Python 3)
python3-pyside2.qtuitools (5.15.2-1)
Python bindings for Qt5 UiTools module (Python 3)
python3-pyside2.qtwebchannel (5.15.2-1)
Python bindings for Qt5 WebChannel module (Python 3)
python3-pyside2.qtwebengine (5.15.2-1)
Python bindings for Qt5 Web Engine (Python 3)
python3-pyside2.qtwebenginecore (5.15.2-1)
Python bindings for Qt5 WebEngineCore module (Python 3)
python3-pyside2.qtwebenginewidgets (5.15.2-1)
Python bindings for Qt5 WebEngineWidgets module (Python 3)
python3-pyside2.qtwebsockets (5.15.2-1)
Python bindings for Qt5 WebSockets module (Python 3)
python3-pyside2.qtwidgets (5.15.2-1)
Python bindings for Qt5 Widgets module (Python 3)
python3-pyside2.qtx11extras (5.15.2-1)
Python bindings for Qt5 X11Extras module (Python 3)
python3-pyside2.qtxml (5.15.2-1)
Python bindings for Qt5 Xml module (Python 3)
python3-pyside2.qtxmlpatterns (5.15.2-1)
Python bindings for Qt5 XmlPatterns module (Python 3)
python3-pysimplesoap (1.16.2-3)
simple and lightweight SOAP Library (Python 3)
python3-pysmi (0.3.2-2)
SNMP SMI/MIB Parser
python3-pysnmp4 (4.4.12-1)
Python SNMP library for agents and managers (Python 3 module)
python3-pysnmp4-apps (0.3.2-2.2)
Applications for the Python SNMP library
python3-pysnmp4-mibs (0.1.3-3)
MIBs for the Python SNMP library
python3-pysodium (0.7.0-2)
Python libsodium wrapper (Python3 version)
python3-pysolar (0.9+repack-1)
Python library for precise ephemeris calculations
python3-pysolr (3.8.1-1.1)
lightweight Python3 wrapper for querying Apache Solr
python3-pyspectral (0.10.4+ds-1)
Reading and manipulaing satellite sensor spectral responses
python3-pyspectral-doc (0.10.4+ds-1)
Reading and manipulaing satellite sensor spectral responses - documentation
python3-pysph (1.0~b0~20191115.gite3d5e10-4)
open source framework for Smoothed Particle Hydrodynamics
python3-pyspike (0.6.0-3)
Python 3 library for the numerical analysis of spike train similarity
python3-pysrt (1.0.1-2)
library to edit or create SubRip files (Python 3)
python3-pyssim (0.2-2)
Tool computing the Structural Similarity Image Metric (SSIM)
python3-pystache (0.5.4-6.1)
Python3 implementation of Mustache
python3-pystemd (0.7.0-4+b3)
systemd binding for Python
python3-pysvn
本虛擬套件由這些套件填實: python3-svn
python3-pyswarms (1.3.0-1)
research toolkit for particle swarm optimization in Python
python3-pysword (0.2.7-3)
native Python reader module for the SWORD Project (Python 3)
python3-pysyncobj (0.3.7-2)
RAFT-based python class replication between multiple servers
python3-pysynphot (1.0.0+dfsg-1+b2)
Python Synthetic Photometry Utilities
python3-pyte (0.8.0-2)
simple VTXXX-compatible terminal emulator (Python 3)
python3-pytest (6.0.2-2)
Simple, powerful testing in Python3
python3-pytest-arraydiff (0.3-1)
Pytest plugin to generate and compare data arrays
python3-pytest-astropy (0.8.0-1)
Pytest dependencies for Astropy and affiliated packages
python3-pytest-astropy-header (0.1.2-3)
Include basic system dependencies in the header of pytest output
python3-pytest-asyncio (0.14.0-5)
pytest support for asyncio.
python3-pytest-benchmark (3.2.2-2)
pytest fixture for benchmarking code
python3-pytest-cov (2.10.1-1)
py.test plugin to produce coverage reports for Python3
python3-pytest-cython (0.1.0-3)
pytest plugin for testing Cython extension modules (Python 3)
python3-pytest-datadir (1.3.1+ds-2)
py.test plugin for manipulating test data directories and files
python3-pytest-dependency (0.5.1-2)
Manages dependencies of pytest test cases (Python 3)
python3-pytest-django (3.5.1-1)
Django plugin for py.test.
python3-pytest-doctestplus (0.9.0-1)
Pytest plugin providing advanced doctest features
python3-pytest-expect (1.1.0-2)
py.test-3 plugin to store test expectations
python3-pytest-filter-subpackage (0.1.1-3)
Pytest plugin for filtering based on sub-packages
python3-pytest-flake8 (1.0.6-2)
Pytest plugin for check flake8 requirements (Python 3)
python3-pytest-flask (0.15.1-2)
pytest plugin to test Python Flask - Python 3 version
python3-pytest-flask-doc (0.15.1-2)
pytest plugin to test Python Flask - documentation manual
python3-pytest-forked (1.3.0-1)
py.test plugin for running tests in forked subprocesses (Python 3)
python3-pytest-helpers-namespace (2019.1.8-1)
provides a namespace for pytest helpers
python3-pytest-httpbin (1.0.0-2)
py.test plugin providing a local httpbin (Python 3)
python3-pytest-instafail (0.4.2-1)
plugin for py.test that shows failures and errors instantly
python3-pytest-lazy-fixture (0.5.1-1.1)
Use your fixtures in @pytest.mark.parametrize (Python 3)
python3-pytest-localserver (0.5.0-2)
py.test plugin to test server connections locally (Python 3)
python3-pytest-mock (1.10.4-4)
thin-wrapper around mock for easier use with py.test (Python 3 module)
python3-pytest-mpi (0.4-4)
a plugin for pytest testing MPI-related code (Python3)
python3-pytest-mpl (0.11-2)
pytest plugin for Matplotlib image comparison in Python 3
python3-pytest-multihost (3.0-2)
Utility for writing multi-host tests for pytest (Python 3)
python3-pytest-openfiles (0.5.0-2)
Pytest plugin to check for files left open at the end of a test
python3-pytest-pep8 (1.0.6-3)
pytest plugin to check PEP8 requirements
python3-pytest-pylint (0.18.0-2)
pytest plugin to check source code with pylint - Python 3.x
python3-pytest-random-order (1.0.4-3)
pytest plugin to randomise the order of tests (Python 3)
python3-pytest-regressions (2.2.0+ds-1)
py.test fixtures to write regression tests
python3-pytest-remotedata (0.3.2-1)
Pytest plugin to control access to data files hosted online
python3-pytest-rerunfailures (9.1.1-1)
pytest plugin to re-run tests to eliminate flaky failures
python3-pytest-runner (2.11.1-1.2)
Invoke py.test as distutils command with dependency resolution - Python 3.x
python3-pytest-sourceorder (0.5.1-2)
Test-ordering plugin for pytest3 (Python 3)
python3-pytest-subtests (0.3.2-2)
unittest subTest() support and subtests fixture (Python3 version)
python3-pytest-sugar (0.9.4-1)
different output style for py.test with a progress bar
python3-pytest-tempdir (2019.10.12-1)
predictable and repeatable temporary directory for tests
python3-pytest-timeout (1.4.1-1)
Pytest plugin to abort hanging tests - Python 3.X
python3-pytest-tornado (0.8.1-1)
py.test plugin to test Tornado applications (Python 3)
python3-pytest-twisted (1.13.2-1)
twisted plugin for py.test
python3-pytest-vcr (1.0.2-2)
Py.test integration with VCR.py
python3-pytest-xdist (2.2.0-1)
xdist plugin for py.test (Python 3)
python3-pytest-xvfb (1.2.0-1)
pytest plugin to run Xvfb for tests
python3-pytestqt (3.2.2-2)
pytest plugin for Qt application testing (Python 3)
python3-pytestsalt (2019.6.13-1)
PyTest plug-in for Salt daemons to be used in tests
python3-python-flask-jwt-extended (3.24.1-2)
Open source Flask extension that provides JWT support (Python 3)
python3-python-openidc-client (0.6.0-1.1)
Python OpenID Connect client (Python 3)
python3-python-qt-binding (0.4.3-3)
Robot OS Python 3 bindings for QT
python3-python-telegram-bot (13.3-1)
python module for Telegram Bot API (Python 3)
python3-python-telegram-bot-doc (13.3-1)
python module for Telegram Bot API (common documentation)
python3-python-utils (2.3.0-2+b2)
collection of small Python functions and classes
python3-pythonjsonlogger (2.0.1-1)
JSON library for Python logging framework
python3-pythonmagick (0.9.19-6+b5)
Object-oriented Python 3 interface to ImageMagick
python3-pytimeparse (1.1.5-3)
time expression parser - Python 3.x
python3-pytoml (0.1.21-1)
TOML parser and emitter for Python3
python3-pytools (2021.1-2)
big bag of things supplementing Python 3 standard library
python3-pyuca (1.2-2)
Unicode Collation Algorithm (UCA) for Python3
python3-pyudev (0.22.0-2)
Python3 bindings for libudev
python3-pyutilib (5.8.0-1)
Python 3 library featuring development utilities
python3-pyvcf
本虛擬套件由這些套件填實: python3-vcf
python3-pyverbs (33.2-1)
Python bindings for rdma-core
python3-pyvirtualdisplay (0.2.1-3)
python wrapper for Xvfb, Xephyr and Xvnc
python3-pyvisa (1.11.3-2)
Python 3 bindings for Virtual Instrument Software Architecture
python3-pyvisa-py (0.5.1-2)
Backend that implements a large part of the VISA in pure Python 3
python3-pyvmomi (6.7.1-3)
VMware vSphere Python SDK - Python 3.x
python3-pyvo (1.1-1)
Python 3 library for data services of the Virtual observatory (VO)
python3-pyvows (3.0.0-3)
Asynchronous BDD for Python
python3-pywatchman (4.9.0-5+b1)
Python library for Watchman and related utilities
python3-pywps (4.2.11-1)
Implementation of OGC's Web Processing Service - Python module
python3-pywt (1.1.1-1+b2)
Python3 extension implementing of wavelet transformations
python3-pywws (20.1.0-3)
software for wireless weather stations
python3-pyx (0.15-3+deb11u1)
Python 3 module for generating PostScript graphics
python3-pyx-doc (0.15-3+deb11u1)
Python 3 module for generating PostScript graphics (documentation)
python3-pyxattr (0.7.2-1+b1)
module for manipulating filesystem extended attributes (Python3)
python3-pyxattr
本虛擬套件由這些套件填實: python3-xattr
python3-pyxattr-dbg (0.7.2-1+b1)
module for manipulating filesystem extended attributes (Python3 debug version)
python3-pyxdameraulevenshtein (1.6.1-2)
Fast Damerau-Levenshtein (DL) edit distance implementation
python3-pyxdg
本虛擬套件由這些套件填實: python3-xdg
python3-pyxid (1.0-4)
interface for Cedrus XID and StimTracker devices
python3-pyxnat (1.4-1)
Interface to access neuroimaging data on XNAT servers
python3-pyxs (0.4.2~git20190115.97f14313-4)
Pure Python XenStore client implementation
python3-pyzabbix (0.8.2-1)
Zabbix API Python interface.
python3-pyzbar (0.1.8-2)
Python bindings for libzbar
python3-pyzfs (2.0.3-9+deb11u1) [contrib]
wrapper for libzfs_core C library
python3-pyzoltan (1.0.1-2+deb11u1)
Wrapper for the Zoltan data management library
python3-q (2.6-1.2)
Quick-and-dirty Python 3 debugging output for tired programmers
python3-q-text-as-data (1.7.4+2018.12.21+git+28f776ed46-2)
performs SQL-like statements on tabular text data - Python 3.x
python3-qcelemental (0.17.0+dfsg-3)
Periodic table, physical constants, and molecule parsing for quantum chemistry
python3-qcengine (0.17.0-1)
Quantum chemistry program executor and IO standardizer
python3-qdarkstyle (2.8.1+ds1-3)
Dark stylesheet for Python-based Qt application
python3-qgis (3.10.14+dfsg-1)
Python bindings to QGIS
python3-qgis-common (3.10.14+dfsg-1)
Python bindings to QGIS - architecture-independent files
python3-qinlingclient (5.1.1-2)
client for Function as a Service for OpenStack - Python 3.x
python3-qiskit-ibmq-provider (0.4.6-3)
Quantum Information Science Kit (Qiskit): IBM Q Provider
python3-qiskit-terra (0.12.0-2.1+b1)
Quantum Information Science Kit (Qiskit) for Python 3
python3-qpack (0.0.19-1)
Python3 implementation of the QPack protocol
python3-qpid-proton (0.22.0-5.1)
language bindings for Qpid Proton messaging framework - Python 3.x
python3-qrcode (6.1-2)
QR Code image generator library - Python 3.x
python3-qrcodegen (1.6.0-1)
QR Code generator library in multiple languages - Python3 version
python3-qrencode (1.2-5+b4)
Python bindings for the Qrencode QR Code generator library (Python 3)
python3-qrtools (2.0~bzr33-2)
high level library for reading and generating QR codes
python3-qt-binding
本虛擬套件由這些套件填實: python3-python-qt-binding
python3-qt5reactor (0.6.1-1)
Twisted and PyQt5 eventloop integration - Python 3 module
python3-qtawesome (1.0.2-1)
iconic fonts in PyQt and PySide applications (Python 3)
python3-qtconsole (5.0.2-2)
Jupyter - Qt console (Python 3)
python3-qtpy (1.9.0-3)
abtraction layer for PySide/PyQt4/PyQt5 (Python 3)
python3-quamash (0.6.1~dfsg-1)
implementation of the PEP 3156 event-loop with Qt
python3-quantities (0.12.4-1)
Library for computation of physical quantities with units, based on numpy
python3-quark-sphinx-theme (0.5.1-2)
Sphinx theme designed for QTextBrowser (Python 3)
python3-quart (0.14.1-1)
Python ASGI web microframework with the same API as Flask
python3-questplus (2019.4-3)
QUEST+ implementation in Python3
python3-queuelib (1.5.0-1)
collection of persistent (disk-based) queues (Python 3)
python3-quintuple (0~20171222-4)
5-qubit quantum computer simulator (Python 3)
python3-qutip (4.5.3-1)
python package for simulating the dynamics of open quantum systems
python3-qwt (0.8.3-1)
Pure Python implementation of Qwt - Python 3
python3-qwt3d-qt5 (0.1.8-6+b1)
Python bindings of the QwtPlot3D library
python3-raccoon (3.0.0-1)
Python DataFrame with fast insert and appends (Python 3)
python3-radicale (3.0.6-3)
simple calendar and addressbook server - python3 module
python3-radio-beam (0.3.2-1)
Python Tools for Radio Beam IO and Manipulation
python3-radix (0.10.0-3+b3)
radix tree implementation for storage of IPv4 and IPv6 networks (Python 3)
python3-rados (14.2.21-1)
Python 3 libraries for the Ceph librados library
python3-rally (3.1.0-2)
benchmark System for OpenStack - Python code
python3-rally-openstack (2.0.0-2)
OpenStack plugin for the Rally benchmark system - Python code
python3-random2 (1.0.1-2.1)
backport of Python 2.7's random module
python3-randomize (0.14-2)
randomize the order of tests in a unittest.TestCase class - Python 3.x
python3-rarfile (3.1-1)
RAR archive reader module for Python 3
python3-raritan-json-rpc (3.6.1+ds1-1)
Python 3 library contains implementation of Raritan JSON-RPC interface
python3-rasterio (1.2.0-1)
Python 3 API for using geospatial raster data with Numpy
python3-ratelimiter (1.2.0.post0-1)
simple Python library for limiting the rate of operations
python3-rbd (14.2.21-1)
Python 3 libraries for the Ceph librbd library
python3-rcssmin (1.0.6-2+b4)
CSS Minifier - Python 3.x
python3-rdflib (5.0.0-1.1)
Python 3 library containing an RDF triple store and RDF parsers/serializers
python3-rdflib-jsonld (0.5.0-1)
rdflib extension adding JSON-LD parser and serializer in Python 3
python3-rdkit (202009.4-1)
Collection of cheminformatics and machine-learning software
python3-readability (0.8.1+dfsg1-2)
Pulls the main body of HTML document and cleans it up
python3-readlike (0.1.3-1.1)
GNU Readline-like line editing module
python3-readme-renderer (24.0-3)
Library to safely render arbitrary README files into HTML
python3-rebulk (3.0.1-1)
Define simple search patterns in bulk to perform advanced matching on strings
python3-reclass (1.7.0-1.1)
hierarchical inventory backend for configuration management systems
python3-recoll (1.28.5-2)
Python extension for recoll (Python3)
python3-recommonmark (0.6.0+ds-1)
CommonMark utility for Docutils and Sphinx projects -- Python 3
python3-redis (3.5.3-2)
Persistent key-value database with network interface (Python 3 library)
python3-rediscluster (2.0.0-1)
Python interface to a cluster of Redis key-value stores
python3-redisearch-py (1.0.0-1)
RediSearch client (Python3 version)
python3-redminelib (2.2.1-1)
Python library for the Redmine RESTful API (Python 3)
python3-rednose (0.4.1-3)
coloured output for nosetests - Python 3.x
python3-reentry (1.3.1-1)
plugin manager based on setuptools entry points (Python 3)
python3-regex (0.1.20201113-1)
alternative regular expression module (Python 3)
python3-regex-dbg (0.1.20201113-1)
alternative regular expression module (Python 3 debug extension)
python3-regions (0.4-1+b4)
Python astronomy package for region handling
python3-relational (3.0-1)
Educational tool for relational algebra (standalone module)
python3-relatorio (0.9.2-1)
Python module to create reports from Python objects (Python3)
python3-releases (1.6.3-1)
Sphinx extension for changelog manipulation (Python 3)
python3-rencode (1.0.6-1+b3)
Python encoding library similar to bittorrent's bencode (Python 3)
python3-renderdoc (1.11+dfsg-5)
Stand-alone graphics debugging tool -- Python module
python3-renderpm (3.5.59-2)
python low level render interface
python3-renderpm-dbg (3.5.59-2)
python low level render interface (debug extension)
python3-reno (2.11.2-2)
RElease NOtes manager - Python 3.x
python3-reparser (1.4.3-1+b1 [amd64], 1.4.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple regex-based lexer/parser for inline markup
python3-reportbug (7.10.3+deb11u1)
Python modules for interacting with bug tracking systems
python3-reportlab (3.5.59-2)
ReportLab library to create PDF documents using Python3
python3-reportlab-accel (3.5.59-2)
C coded extension accelerator for the ReportLab Toolkit
python3-reportlab-accel-dbg (3.5.59-2)
C coded extension accelerator for the ReportLab Toolkit
python3-repoze.lru (0.7-2)
tiny LRU cache implementation and decorator for Python 3
python3-repoze.sphinx.autointerface (0.8-0.2)
Sphinx extension to generate API docs from Zope interfaces (Python 3)
python3-repoze.tm2 (2.0-2)
Zope-like transaction manager WSGI middleware (Python 3)
python3-repoze.who (2.2-4)
ident and auth framework for Python WSGI applications - Python 3.x
python3-reproject (0.7.1-1+b2)
Reproject astronomical images with Python 3
python3-reprounzip (1.0.16-2)
modules for the ReproZip unpacker
python3-reprozip (1.0.16-1+b2)
modules for the ReproZip packer
python3-reprozip-dbg (1.0.16-1+b2)
debug extensions for the ReproZip packer
python3-requests (2.25.1+dfsg-2)
elegant and simple HTTP library for Python3, built for human beings
python3-requests-cache (0.5.2-1)
persistent cache for requests library (Python 3)
python3-requests-file (1.5.1-1)
File transport adapter for Requests - Python 3.X
python3-requests-futures (1.0.0-2)
library for asynchronous HTTP requests (Python 3)
python3-requests-kerberos (0.12.0-2)
Kerberos/GSSAPI authentication handler for python-requests - Python 3.x
python3-requests-mock (1.7.0-2)
mock out responses from the requests package - Python 3.x
python3-requests-ntlm (1.1.0-1.1)
Adds support for NTLM authentication to the requests library
python3-requests-oauthlib (1.0.0-1.1)
module providing OAuthlib auth support for requests (Python 3)
python3-requests-toolbelt (0.9.1-1)
Utility belt for advanced users of python3-requests
python3-requests-unixsocket (0.2.0-2)
Use requests to talk HTTP via a UNIX domain socket - Python 3.x
python3-requestsexceptions (1.4.0-3)
import exceptions from bundled packages in requests. - Python 3.x
python3-requirement-parser (0.2.0-1.1)
Python module for parsing Pip requirement files
python3-requirements-detector (0.6-2)
finds and lists the requirements of a Python project
python3-resolvelib (0.5.4-1)
module to resolve abstract dependencies into concrete ones
python3-resource-retriever (1.12.6-3)
Robot OS resource_retriever library - Python 3
python3-responses (0.12.1-1)
Utility library for mocking out the requests Python 3 library
python3-restless (2.1.1-2)
lightweight REST miniframework for Python
python3-restrictedpython (4.0~b3-2)
Restricted execution environment for Python 3
python3-restructuredtext-lint (1.3.0-2)
reStructuredText linter - Python 3.x
python3-retrying (1.3.3-4)
simplifies the task of adding retry behavior - Python 3.x
python3-rfc3161ng (2.1.1-3)
Implementation of trusted timestamping client
python3-rfc3339 (1.1-2)
parser and generator of RFC 3339-compliant timestamps (Python 3)
python3-rfc3986 (1.4.0-3)
validating URI references per RFC 3986 - Python 3.x
python3-rfc6555 (0.0~git20190913.1a181b4-3)
Happy Eyeballs Algorithm described in RFC 6555 using Python
python3-rgw (14.2.21-1)
Python 3 libraries for the Ceph librgw library
python3-rich (9.11.0-1)
render rich text, tables, progress bars, syntax highlighting, markdown and more
python3-ripe-atlas-cousteau (1.4.2-3)
Python3 wrapper around the RIPE Atlas API
python3-ripe-atlas-sagan (1.2.2-2)
Python3 library for parsing RIPE Atlas measurement results
python3-rjsmin (1.1.0+dfsg1-3+b4)
javascript minifier written in Python - Python 3.x
python3-rlp (0.5.1-1.2)
Recursive Length Prefix (RLP) library — Python 3
python3-rna (2.4.17+dfsg-2) [non-free]
ViennaRNA Python wrappers
python3-rnc2rng (2.6.4-1)
RELAX NG Compact to regular syntax conversion library
python3-robot-detection (0.4.0-2)
Detect web crawlers from HTTP UserAgent (Python 3 version)
python3-rocksdb (0.8.0~rc3-1+b1 [amd64], 0.8.0~rc3-1 [arm64, i386, mips64el, mipsel, ppc64el, s390x])
Python bindings for RocksDB
python3-roman (2.0.0-5)
module for generating/analyzing Roman numerals for Python 3
python3-rope (0.18.0-1)
Python 3 refactoring library
python3-rosbag (1.15.9+ds1-7+deb11u1)
Python 3 tools for recording from and playing back to Robot OS topics
python3-rosboost-cfg (1.15.7-2)
Contains scripts used by the Robot OS rosboost-cfg tool (Python 3)
python3-rosclean (1.15.7-2)
cleanup Robot OS filesystem resources (e.g. logs) (Python 3)
python3-roscpp-msg (1.15.9+ds1-7+deb11u1)
Header for roscpp messages, Python 3
python3-roscreate (1.15.7-2)
Robot OS empty package template creator (Python 3)
python3-rosdep
本虛擬套件由這些套件填實: python3-rosdep2
python3-rosdep2 (0.20.0-1)
rosdep package manager abstraction tool for Robot OS (Python 3)
python3-rosdistro (0.8.3-1)
Tool to work with rosdistro files (for Robot OS, Python 3)
python3-rosgraph (1.15.9+ds1-7+deb11u1)
Tool to print information about the Robot OS computation graph - Python 3
python3-rosgraph-msgs (1.11.3-2)
Messages relating to the Robot OS Computation Graph, Python 3 bindings
python3-rosinstall (0.7.8-4)
Installer for Robot OS (Python 3)
python3-rosinstall-generator (0.1.22-1)
Tool to generate rosinstall files (for Robot OS) Python 3
python3-roslaunch (1.15.9+ds1-7+deb11u1)
roslaunch tool for Robot OS - Python 3
python3-roslib (1.15.7-2)
Python 3 library for roslib (Robot OS)
python3-roslz4 (1.15.9+ds1-7+deb11u1)
Python 3 library implementing lz4 for Robot OS
python3-rosmake (1.15.7-2)
rosmake is a Robot OS dependency aware build tool (Python 3)
python3-rosmaster (1.15.9+ds1-7+deb11u1)
Robot OS Master implementation - Python 3
python3-rosmsg (1.15.9+ds1-7+deb11u1)
Command-line tools for displaying information about Robot OS - Python 3
python3-rosnode (1.15.9+ds1-7+deb11u1)
Tool for displaying debug information about Robot OS - Python 3
python3-rosparam (1.15.9+ds1-7+deb11u1)
Tool for getting and setting Robot OS Parameters - Python 3
python3-rospkg (1.2.9-1)
Robot OS package library (Python 3)
python3-rospy (1.15.9+ds1-7+deb11u1)
Python 3 client library for Robot OS
python3-rosservice (1.15.9+ds1-7+deb11u1)
Command-line tool for listing and querying Robot OS services - Python 3
python3-rostest (1.15.9+ds1-7+deb11u1)
Test suite for Robot OS based on roslaunch - Python 3
python3-rostopic (1.15.9+ds1-7+deb11u1)
Tool for displaying debug information about Robot OS Topics - Python 3
python3-rosunit (1.15.7-2)
Unit-testing package for ROS (Python 3)
python3-roswtf (1.15.9+ds1-7+deb11u1)
Tool for diagnosing issues with a running Robot OS system - Python 3
python3-routes (2.5.1-1)
Routing Recognition and Generation Tools for Python 3
python3-rows (0.4.1-3)
library to tabular data, no matter the format
python3-rpaths (0.13-1.1)
cross-platform path manipulation library for Python
python3-rpi.gpio (0.7.0-0.2+b2)
Module to control Raspberry Pi GPIO channels (Python 3)
python3-rply (0.7.7-2)
pure Python based parser that also works with RPython (Python 3)
python3-rpm (4.16.1.2+dfsg1-3)
Python 3 bindings for RPM
python3-rpy2 (3.4.2-1)
Python3 interface to the GNU R language and environment (version 2)
python3-rpy2
本虛擬套件由這些套件填實: python3-rpy2
python3-rq (1.7.0-1)
Simple job queues for Python 3
python3-rrdtool (1.7.2-3+b7)
time-series data storage and display system (Python3 interface)
python3-rrdtool-dbg (1.7.2-3+b7)
time-series data storage and display system (Python3 debug interface)
python3-rsa (4.0-4)
Pure-Python RSA implementation (Python 3)
python3-rstr (2.2.6-2)
Easily generate random strings of various types (Python 3)
python3-rt (2.0.1-2)
Python interface to Request Tracker API
python3-rtmidi (1.4.7-1)
Python wrapper for the RtMidi C++ library written with Cython
python3-rtree (0.9.7-1)
R-Tree spatial index for Python GIS - Python 3 module
python3-rtslib-fb (2.1.71-3)
object API for managing the Linux LIO kernel target - Python 3.x
python3-ruamel.yaml (0.16.12-2)
roundtrip YAML parser/emitter (Python 3 module)
python3-ruamel.yaml.clib (0.2.2-1+b2)
C version of reader, parser and emitter for ruamel.yaml
python3-ruffus (2.8.4-2)
Python3 computation pipeline library widely used in bioinformatics
python3-rviz (1.14.4+dfsg-3+b1)
Python 3 bindings for the 3D visualization tool for Robot OS
python3-rx (3.1.1-2)
Reactive Extensions for Python (Python 3)
python3-s3transfer (0.3.4-1)
Amazon S3 Transfer Manager for Python3
python3-sabyenc (4.0.2-1+b2)
yEnc extension for Python, optimized for Usenet
python3-sadisplay (0.4.9-1.1)
Simple tool for SQLAlchemy schema visualization
python3-saga (7.3.0+dfsg-5)
SAGA GIS Python bindings
python3-sagenb-export (3.2-4)
Convert SageNB Notebooks (Python 3)
python3-sagetex (3.5+ds-2)
Embed Sage code and plots into LaTeX -- Python 3
python3-sahara (1:13.0.0-1)
OpenStack data processing cluster as a service - library
python3-sahara-dashboard (13.0.0-3)
OpenStack data processing cluster as a service - dashboard plugin
python3-sahara-plugin-spark (4.0.0-1)
OpenStack data processing cluster as a service - Spark plugin
python3-sahara-plugin-vanilla (4.0.0-1)
OpenStack data processing cluster as a service - Vanilla/Hadoop plugin
python3-saharaclient (3.2.1-2)
Client library for Openstack Sahara API server - Python 3.x module
python3-saltfactories (0.93.0-1)
PyTest plug-in for Salt daemons to be used in tests
python3-saltpylint (2020.9.28-1)
PyLint plugins needed in the several SaltStack projects
python3-samba (2:4.13.13+dfsg-1~deb11u6) [security]
Python 3 bindings for Samba
python3-sane (2.9.1-1)
Python Imaging Library - SANE interface (Python3)
python3-sane-dbg (2.9.1-1)
Python Imaging Library - SANE interface (Python3 debug extension)
python3-sanlock (3.8.2-2)
Python3 bindings to shared storage lock manager
python3-sardana (3.0.3-1)
instrument control and data acquisition system - library
python3-sasmodels (1.0.4-3)
Theoretical models for small angle scattering (Python 3)
python3-sasview (5.0.3-3)
Small Angle Scattering Analysis
python3-satpy (0.25.1-1)
Python package for earth-observing satellite data processing
python3-savitar (4.8-1+b1)
3MF file handling library (Python bindings)
python3-sbml
本虛擬套件由這些套件填實: python3-sbml5
python3-sbml5 (5.19.0+dfsg-1)
System Biology Markup Language library - Python3 bindings
python3-sbml5-doc (5.19.0+dfsg-1)
System Biology Markup Language library - Python3 documentation
python3-scalene (0.7.5-2)
high-performance CPU and memory profiler for Python (Python 3)
python3-scapy (2.4.4-4)
Packet generator/sniffer and network scanner/discovery (Python 3)
python3-scciclient (0.8.0-2)
Python ServerView Common Command Interface (SCCI) Client Library - Python 3.x
python3-schedule (0.6.0-1)
Job scheduling for humans (Python 3)
python3-schedutils (0.6-2.1)
Linux scheduler Python bindings
python3-schema (0.6.7-3)
simple data validation library (Python 3)
python3-schema-salad (7.0.20210124093443-1)
Schema Annotations for Linked Avro Data (SALAD)
python3-schroot (0.4-4)
set of Python 3 bindings to schroot chroots
python3-scikit-fmm (2019.1.30-1+b2)
fast marching method extension (Python 3)
python3-scikit-rf (0.15.4-2)
Python toolkit for RF/Microwave engineering
python3-scipy (1.6.0-2)
scientific tools for Python 3
python3-scipy-dbg (1.6.0-2)
scientific tools for Python 3 - debugging symbols
python3-scitrack (2020.6.5-1)
Python3 library to track scientific data
python3-scoop (0.7.1.1-7)
Python3 library for concurrent parallel programming
python3-scour (0.38.2-1)
SVG scrubber and optimizer (Python 3 module)
python3-scp (0.13.0-2)
scp module for paramiko (Python 3)
python3-scrapy (2.4.1-2+deb11u1)
Python web scraping and crawling framework (Python 3)
python3-scrapy-djangoitem (1.1.1-4)
Scrapy extension to write scraped items using Django models (Python3 version)
python3-screed (1.0.5-1)
short nucleotide read sequence utils in Python 3
python3-screeninfo (0.6.7-1)
Fetch location and size of physical screens
python3-scripttest (1.3-3)
Helper to test command-line scripts - python 3.x
python3-scruffy (0.3.3-2)
framework for taking care of a bunch of boilerplate in Python3 apps
python3-scrypt (0.8.0-0.3+b3)
bindings for the scrypt key derivation function library - Python 3.x
python3-sdl2 (0.9.7+dfsg1-1)
Python bindings to the SDL2 C-library (Python 3 build)
python3-sdnotify (0.3.1-2)
Python3 implementation of systemd's service notification protocol
python3-seaborn (0.11.1-1)
statistical visualization library for Python3
python3-seafile (7.0.10-1)
Python 3 bindings for the Seafile Client
python3-seamicroclient (0.4.0+2016.05.20.git.40ee44c664-4)
Client library for Seamicro chassis API - Python 3.x
python3-searchlightclient (2.1.1-2)
OpenStack Indexing and Search API Client Library - Python 3.x
python3-searpc (3.2.0-7-g50ff08b-1)
Python 3 bindings for the Searpc framework
python3-searx (0.18.0+dfsg1-1)
Privacy-respecting metasearch engine - library package
python3-seccomp (2.5.1-1+deb11u1)
high level interface to Linux seccomp filter (Python 3 bindings)
python3-secretstorage (3.3.1-1)
Python module for storing secrets - Python 3.x version
python3-securesystemslib (0.20.0-1)
crypto and schema library for TUF and in-toto
python3-segno (1.3.3-1)
Python QR Code and Micro QR Code encoder
python3-segyio (1.8.3-1+b4)
SEG-Y read/write library for seismic processing (python3 bindings)
python3-seirsplus (0.1.4+git20200528.5c04080+ds-2)
Models of SEIRS epidemic dynamics with extensions
python3-selenium (4.0.0~a1+dfsg1-1.1)
Python3 bindings for Selenium
python3-selinux (3.1-3)
Python3 bindings to SELinux shared libraries
python3-semanage (3.1-1+b2)
Python3 bindings for SELinux policy management
python3-semantic-version (2.8.5-1)
implementing the SemVer scheme - Python 3.x
python3-semver (2.10.2-2)
helps to compare semantic versioning - Python 3.x
python3-send2trash (1.6.0~b1+git20210122.2eb3242-1)
Python module for sending file to trash natively
python3-sendfile (2.0.1-3+b3)
Python interface to sendfile(2)
python3-sendfile-dbg (2.0.1-3+b3)
Python interface to sendfile(2) (debug)
python3-senlin (10.0.0-1)
clustering service for OpenStack clouds - Python 2.7
python3-senlin-dashboard (2.0.0-2)
clustering service for OpenStack clouds - dashboard plugin
python3-senlinclient (2.1.1-2)
OpenStack Clustering API Client Library - Python 3.x
python3-sensor-msgs (1.13.0-2)
Messages relating to Robot OS sensor, Python 3 interface
python3-sentencepiece (0.1.95-1)
SentencePiece binding for Python3
python3-sentinels (1.0.0-5)
Python module for sentinel objects (Python 3 version)
python3-sentinelsat (0.14-1)
search and download Sentinel satellite images (Python)
python3-sentry-sdk (0.13.2-1)
New Python SDK for Sentry.io (Python 3)
python3-sepolgen (3.1-1)
Python3 module used in SELinux policy generation
python3-sepolicy (3.1-1)
Python binding for SELinux Policy Analyses
python3-seqcluster (1.2.7+ds-1) [contrib]
analysis of small RNA in NGS data
python3-seqdiag (2.0.0+dfsg-1)
seqdiag generate sequence-diagram image file from spec-text file
python3-serial (3.5~b0-1)
pyserial - module encapsulating access for the serial port
python3-serial-asyncio (0.5-2)
Async I/O extension package for pyserial
python3-serpent (1.30.2-1)
simple serialization library based on ast.literal_eval(), Python3 modules
python3-serverfiles (0.3.0-1)
accesses files on a HTTP server and stores them locally for reuse
python3-service-identity (18.1.0-6)
Service identity verification for pyOpenSSL (Python 3 module)
python3-setools (4.3.0-2)
SETools Python bindings
python3-setoolsgui (4.3.0-2)
SETools Python bindings (GUI)
python3-setoptconf (0.2.0-5)
retrieve Python3 program settings from a variety of sources
python3-setproctitle (1.2.1-1+b1)
Setproctitle implementation for Python 3
python3-setproctitle-dbg (1.2.1-1+b1)
Setproctitle implementation for Python 3 (debug)
python3-setuptools (52.0.0-4)
Python3 Distutils Enhancements
python3-setuptools-git (1.2-3)
plugin for setuptools that enables git integration
python3-setuptools-scm (5.0.1-1)
blessed package to manage your versions by scm tags for Python 3
python3-setuptools-scm-git-archive (1.1-3)
Plugin for setuptools_scm to add support for git archives
python3-sexpdata (0.0.3-2)
S-expression parser (Python3)
python3-sfepy (2020.4-1)
Simple Finite Elements in Python
python3-sfml (2.2~git20150611.196c88+dfsg-6+b3)
Simple and Fast Multimedia Library - Python 3 Bindings
python3-sfml-dbg (2.2~git20150611.196c88+dfsg-6+b3)
Simple and Fast Multimedia Library - Python 3 Debug Bindings
python3-sgmllib3k (1.0.0-3)
Python 3 port of Python 2's sgmllib
python3-sgp4 (2.15-0.1)
Track earth satellite TLE orbits using up-to-date 2010 version of sgp4
python3-sh (1.14.1-1)
Python 3 subprocess interface
python3-sha3 (1.0.2-4.1+deb11u1)
SHA-3 (Keccak) hash implementation — Python 3
python3-shade (1.30.0-3)
Client library for operating OpenStack clouds
python3-shape-msgs (1.13.0-2)
Messages relating to Robot OS shape, Python 3 interface
python3-shapely (1.7.1-2)
geometric objects, predicates, and operations (Python 3)
python3-shasta (0.7.0-3)
nanopore whole genome assembly (dynamic library)
python3-shasta-doc (0.7.0-3)
nanopore whole genome assembly (documentation)
python3-shellescape (3.4.1-4)
escapes characters to safely assemble command lines
python3-shellingham (1.3.2-1.1)
Tool to Detect Surrounding Shell
python3-shodan (1.25.0-1)
Official Python library for Shodan (Python 3)
python3-shortuuid (1.0.1-1)
generates concise, unambiguous, URL-safe UUIDs for Python3
python3-siconos (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (python3)
python3-sievelib (1.2.0-1)
Client-side Sieve and Managesieve library (Python3 version)
python3-sigmavirus24-urltemplate (3.0.1-1)
Simple Python library to deal with URI Templates - Python 3.x
python3-signedjson (1.1.1-2)
sign JSON with Ed25519 signatures in Python 3
python3-silo (4.10.2.real-9)
Python3 interface to the SILO Scientific I/O library
python3-silx (0.14.0+dfsg-1)
Toolbox for X-Ray data analysis - Python3
python3-silx-dbg (0.14.0+dfsg-1)
Toolbox for X-Ray data analysis - Python3 debug
python3-simgrid (3.25+dfsg-5)
Python3 bindings for the SimGrid Toolkit
python3-simple-cdd (0.6.8)
python3 modules for Simple-CDD
python3-simplebayes (1.5.7-2)
Naive bayesian text classifier for Python 3
python3-simpleeval (0.9.10-1)
Simple, safe single expression evaluator library (Python 3)
python3-simplegeneric (0.8.1-3)
simple generic functions for Python3
python3-simplejson (3.17.2-1)
simple, fast, extensible JSON encoder/decoder for Python 3.x
python3-simplejson-dbg (3.17.2-1)
simple, fast, extensible JSON encoder/decoder for Python 3.x - debug symbols
python3-simplenote (2.1.4-1.1)
Python API wrapper for the Simplenote web service
python3-simpletal (5.2-2)
Simple TAL, TALES and METAL implementation
python3-simpy3 (3.0.11-2)
python-based simulation package (Python3 version)
python3-singledispatch (3.4.0.3-3)
single-dispatch generic functions for Python
python3-sip (4.19.25+dfsg-1)
Python 3/C++ bindings generator runtime library
python3-sip-dbg (4.19.25+dfsg-1)
Python 3/C++ bindings generator runtime library (debug extension)
python3-sip-dev (4.19.25+dfsg-1)
Python 3/C++ bindings generator development files
python3-sipbuild (5.5.0+dfsg-3)
Python bindings generator for C/C++ libraries — the build system
python3-sipbuild-dbg (5.5.0+dfsg-3)
Python bindings generator for C/C++ libraries — debug extension
python3-siphashc (1.2-1+b5 [amd64], 1.2-1+b4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
python c-module for siphash, based on floodberry's version
python3-sireader (1.1.1-2)
Python module to communicate with SportIdent main stations (Python 3)
python3-siridb-connector (2.0.5-2)
Python3 interface for the SiriDB time series database server
python3-six (1.16.0-2)
Python 2 and 3 compatibility library (Python 3 interface)
python3-skbio (0.5.6-4)
Python3 data structures, algorithms, educational resources for bioinformatic
python3-skbuild (0.11.1-2)
improved build system generator for Python C/C++/Fortran/Cython extensions
python3-skimage (0.18.1-2)
Python 3 modules for image processing
python3-skimage-lib (0.18.1-2)
Optimized low-level algorithms for Python 3 scikit-image
python3-sklearn (0.23.2-5)
Python modules for machine learning and data mining - Python 3
python3-sklearn-lib (0.23.2-5)
low-level implementations and bindings for scikit-learn - Python 3
python3-sklearn-pandas (2.0.3-1)
Pandas integration with sklearn (Python 3)
python3-skorch (0.9.0-3)
scikit-learn compatible neural network library that wraps PyTorch
python3-skytools (3.6.1-1)
Skytools Python modules
python3-sleekxmpp (1.3.3-6)
XMPP (Jabber) Library Implementing Everything as a Plugin (Python 3.x)
python3-slepc4py (3.14.0-2)
Python 3 bindings for SLEPc libraries
python3-slepc4py-64-complex (3.14.0-2)
Python 3 bindings for 64-bit SLEPc libraries (complex numbers)
python3-slepc4py-64-complex3.14 (3.14.0-2+b1)
Python 3 bindings for 64-bit SLEPc 3.14 libraries (complex numbers)
python3-slepc4py-64-real (3.14.0-2)
Python 3 bindings for SLEPc 64-bit libraries (real numbers)
python3-slepc4py-64-real3.14 (3.14.0-2+b1)
Python 3 bindings for 64-bit SLEPc 3.14 libraries (real numbers)
python3-slepc4py-complex (3.14.0-2)
Python 3 bindings for SLEPc libraries (complex numbers)
python3-slepc4py-complex3.14 (3.14.0-2+b1)
Python 3 bindings for SLEPc 3.14 libraries (complex numbers)
python3-slepc4py-real (3.14.0-2)
Python 3 bindings for SLEPc libraries (real numbers)
python3-slepc4py-real3.14 (3.14.0-2+b1)
Python 3 bindings for SLEPc 3.14 libraries (real numbers)
python3-slimit (0.8.1-4)
JavaScript minifier/parser in Python
python3-slimmer (0.1.30-8)
HTML, XHTML, CSS, JavaScript optimizer for Python3
python3-slip (0.6.5-2)
miscellaneous convenience, extension and workaround code for Python
python3-slip-dbus (0.6.5-2)
convenience functions for D-Bus services
python3-slixmpp (1.7.0-2)
Threadless, event-based XMPP Python 3 library
python3-slixmpp-lib (1.7.0-2)
Threadless, event-based XMPP Python 3 library (optional binary module)
python3-slugify (4.0.0-1)
Python module that provides tools for slugifying unicode strings
python3-smart-open (1.11.1-3)
utils for streaming large files
python3-smartleia (1.0.1-2)
LEIA Smartcard reader Python library
python3-smartypants (2.0.0-2)
smart-quotes plugin for pyblosxom (Python 3 version)
python3-smbc (1.0.23-1+b1)
Python 3 bindings for the Samba client library
python3-smbus (4.2-1+b1)
Python 3 bindings for Linux SMBus access through i2c-dev
python3-smclib (1.8.6-3)
Robot OS 'bond' State Machine Compiler Python 3 package
python3-smmap (4.0.0-1)
pure Python implementation of a sliding window memory map manager
python3-smoke-zephyr (2.0.1-1)
Python utility collection
python3-smstrade (0.2.4-6)
Python library to send SMS via the smstrade service (Python 3)
python3-snappy (0.5.3-1.1+b3)
snappy compression library from Google - Python 3.x
python3-sniffio (1.1.0-1)
detect which async Python library is in use
python3-snimpy (0.8.13-2+b2)
high-level SNMP bindings for Python 3
python3-snowballstemmer (2.1.0-1)
Pure Python Snowball stemming library
python3-snuggs (1.4.7-2)
S-expressions for numpy - Python 3 version
python3-soapysdr (0.7.2-2)
SoapySDR Python 3 bindings
python3-social-auth-core (3.1.0-1.1)
This is the core component of the python-social-auth ecosystem
python3-social-django (3.1.0-2.1)
This is the Django component of the python-social-auth ecosystem
python3-socketio (5.0.3-2)
python3 implementation of the Socket.IO realtime client and server
python3-socketio-client (0.6.5-0.2)
socket.io-client library for Python3
python3-socketpool (0.5.3-5)
simple Python 3 socket pool
python3-socks (1.7.1+dfsg-1)
Python 3 SOCKS client module
python3-socksipychain (2.1.2-1)
Python SOCKS/HTTP/SSL chaining proxy module
python3-softlayer (5.8.5-2)
Python client for SoftLayer API (Python 3)
python3-software-properties (0.96.20.2-2.1)
manage the repositories that you install software from
python3-solv (0.7.17-1+deb11u1)
dependency solver using a satisfiability algorithm (Python3 bindings)
python3-sop (0.2.0-1.1)
Framework for implementing the Stateless OpenPGP CLI in Python
python3-sorl-thumbnail (12.5.0-2)
thumbnail support for the Django framework (Python3 version)
python3-sorted-nearest (0.0+git20200319.8204815+ds-2+b2)
Cython helper library for pyranges
python3-sortedcollections (1.0.1-1)
Python 3 Sorted Collections
python3-sortedcontainers (2.1.0-2)
sorted container types: SortedList, SortedDict, and SortedSet (Python 3)
python3-sortedm2m (2.0.0+dfsg.1-2)
Replacement for Django's many to many field with sorted relations (Python 3)
python3-soundfile (0.10.3+post1-1)
Python 3 audio module based on libsndfile
python3-soupsieve (2.2.1-1)
modern CSS selector implementation for BeautifulSoup (Python 3)
python3-spake2 (0.8-2)
SPAKE2 password-authenticated key exchange (pure python)
python3-sparkpost (1.3.7-2)
SparkPost Python API client (Python 3)
python3-sparqlwrapper (1.8.5-1)
SPARQL endpoint interface to Python3
python3-sparse (0.11.2-3)
multidimensional sparse arrays for Python
python3-speaklater (1.3-5)
lazy string useful for translations for Python 3
python3-spectra (0.0.11-2)
Easy color scales and color conversion for Python (Python 3 version)
python3-spectral-cube (0.5.0-1)
Manipulate astronomical data cubes with Python
python3-specutils (1.1.1-1)
Base classes and utilities for astronomical spectra in Python
python3-speechd (0.10.2-2+deb11u2)
Python interface to Speech Dispatcher
python3-speg (0.3-4+b2)
PEG-based parser interpreter with memoization
python3-spf (2.0.14-2)
sender policy framework (SPF) module for Python 3
python3-spf-engine (2.9.2-1+deb11u1)
Sender Policy Framework (SPF) processing module
python3-spglib (1.16.1-1)
C library for crystal symmetry determination - Python3 bindings
python3-sphere (3.3~a1-4+b2)
Python3 interface to the spherepack scientific library.
python3-sphinx (3.4.3-2)
documentation generator for Python projects
python3-sphinx-a4doc (1.2.1-2)
Sphinx domain and autodoc for Antlr4 grammars
python3-sphinx-argparse (0.2.5-1)
Sphinx extension for argparse commands and options (Python 3)
python3-sphinx-astropy (1.3-1)
Sphinx extensions and configuration specific to the Astropy project
python3-sphinx-autoapi (1.5.1-2)
Automatic doc generation by parsing source code (Sphinx extension)
python3-sphinx-autobuild (0.7.1-2.1)
Automatically rebuild Sphinx documentation
python3-sphinx-autodoc-typehints (1.9.0-1)
Type hints support for the Sphinx autodoc extension
python3-sphinx-automodapi (0.13-1)
Sphinx extension for auto-generating API documentation for modules
python3-sphinx-autorun (1.1.0-3.1)
Code execution extension for Sphinx (Python 3)
python3-sphinx-bootstrap-theme (0.7.1-1+deb11u1)
bootstrap theme for Sphinx (Python 3)
python3-sphinx-celery (2.0.0-1)
Sphinx Celery theme
python3-sphinx-click (2.5.0-1)
Sphinx plugin to automatically document click-based applications
python3-sphinx-copybutton (0.3.1-1)
sphinx extension to add a "copy" button to code blocks
python3-sphinx-feature-classification (1.0.1-2)
generate a matrix of pluggable drivers and their support to an API
python3-sphinx-gallery (0.8.2-1)
extension that builds an HTML gallery of examples from Python scripts (Python 3)
python3-sphinx-issues (1.2.0-2)
extension for linking to project's issue tracker
python3-sphinx-markdown-tables (0.0.15-2)
Sphinx extension for rendering markdown tables (Python 3)
python3-sphinx-paramlinks (0.5.0-1)
Sphinx extension to make param links linkable (Python 3 version)
python3-sphinx-prompt (1.3.0-3)
Sphinx directive for formatting interactive prompts
python3-sphinx-rst-builder (0.0.3-2)
Sphinx builder for reST (reStructuredText) files
python3-sphinx-rst-builder-doc (0.0.3-2)
Sphinx builder for reST (reStructuredText) files - Documentation
python3-sphinx-rtd-theme (0.5.1+dfsg-1)
sphinx theme from readthedocs.org (Python 3)
python3-sphinx-tabs (1.3.0+ds-1)
Tabbed views for Sphinx
python3-sphinx-tabs-doc (1.3.0+ds-1)
Tabbed views for Sphinx - Documentation
python3-sphinx-testing (1.0.1-0.1)
testing utility for Sphinx extensions for Python3
python3-sphinxbase (0.8+5prealpha+1-12)
Speech recognition tool - Python3 bindings
python3-sphinxcontrib-asyncio (0.2.0-2)
sphinx extension to support coroutines in markup
python3-sphinxcontrib-log-cabinet (1.0.1-2)
Organize changelog directives in Sphinx docs
python3-sphinxcontrib-pecanwsme (0.10.0-1)
documenting APIs built with Pecan and WSME - Python 3.x
python3-sphinxcontrib.actdiag (2.0.0-1)
Sphinx "actdiag" extension for Python3
python3-sphinxcontrib.apidoc (0.3.0-2)
Sphinx extension for running 'sphinx-apidoc' on each build - Python 3.x
python3-sphinxcontrib.autoprogram (0.1.5-2)
automated documentation of CLI programs for Sphinx (Python 3)
python3-sphinxcontrib.bibtex (1.0.0-1)
Sphinx extension for BibTeX style citations
python3-sphinxcontrib.blockdiag (2.0.0-1)
Sphinx "blockdiag" extension for Python 3
python3-sphinxcontrib.devhelp (1.0.2-2)
sphinx extension which outputs Devhelp document
python3-sphinxcontrib.doxylink (1.5-1)
Sphinx extension for linking to Doxygen documentation (Python 3)
python3-sphinxcontrib.httpdomain (1.5.0-4)
Sphinx domain for HTTP APIs - Python 3.x
python3-sphinxcontrib.nwdiag (2.0.0-1)
Sphinx "nwdiag" extension for Python 3
python3-sphinxcontrib.plantuml (0.5-6)
PlantUML extension for Sphinx - Python 3.x
python3-sphinxcontrib.programoutput (0.16-1)
insert the output of arbitrary commands into documents - Python 3.x
python3-sphinxcontrib.qthelp (1.0.3-2)
sphinx extension which outputs QtHelp document
python3-sphinxcontrib.restbuilder (0.3-2)
extension to build reST (reStructuredText) files with Sphinx (Python 3)
python3-sphinxcontrib.seqdiag (2.0.0-1)
Sphinx "seqdiag" extension for Python3
python3-sphinxcontrib.serializinghtml (1.1.4-2)
sphinx extension which outputs “serialized” HTML files (json and pickle)
python3-sphinxcontrib.spelling (4.3.0-1)
Sphinx "spelling" extension (Python 3)
python3-sphinxcontrib.svg2pdfconverter (1.1.1-1)
Sphinx SVG to PDF Converter Extension
python3-sphinxcontrib.websupport (1.2.4-1)
API to integrate Sphinx documentation into Web applications (Python 3)
python3-sphinxtesters (0.2.3-1)
utilities for testing Sphinx extensions - Python 3
python3-spoon (1.0.6-4)
Simple to use pre-forking server interface
python3-spur (0.3.21-1)
Run commands easily over SSH (Python3)
python3-spyder (4.2.1+dfsg1-3+deb11u2)
Public modules for spyder (Python 3)
python3-spyder-kernels (1.10.2-1)
Jupyter kernels for the Spyder console - Python 3
python3-spyder-line-profiler (0.2.1-1)
line profiling plugin for the Spyder IDE
python3-spyder-memory-profiler (0.2.1-1)
memory profiling plugin for the Spyder IDE
python3-spyder-unittest (0.4.1-1)
plugin to run tests within the Spyder IDE
python3-spyne (2.13.16-1)
Python library for writing and calling soap web service
python3-sql (1.2.1-1)
Library to write SQL queries (implemented in Python 3)
python3-sqlalchemy (1.3.22+ds1-1)
SQL toolkit and Object Relational Mapper for Python 3
python3-sqlalchemy-ext (1.3.22+ds1-1)
SQL toolkit and Object Relational Mapper for Python3 - C extension
python3-sqlalchemy-i18n (1.0.3-2)
Internationalization extension for SQLAlchemy models
python3-sqlalchemy-utils (0.36.8-4)
various utility functions for SQLAlchemy - Python 3.x
python3-sqlobject (3.9.0+dfsg-1)
Python 3 object relational manager providing an object interface to databases
python3-sqlparse (0.4.1-1)
non-validating SQL parser for Python 3
python3-sqlsoup (0.9.1-5)
one step database access tool for Python3, built on the SQLAlchemy ORM
python3-sqt (0.8.0-4+b3)
SeQuencing Tools for biological DNA/RNA high-throughput data
python3-squaremap (1:1.0.5-2)
wxPython control to display hierarchic data as nested squares
python3-srp (1.0.15-1)
Secure Remote Password protocol implementation (Python 3)
python3-srs (1.0.3-2)
Python3 SRS (Sender Rewriting Scheme) library
python3-ssdeep (3.1+dfsg-3+b3)
Python 3.x wrapper for the ssdeep piecewise hashing tool
python3-sshpubkeys (3.1.0-2.1)
SSH public key parser - Python 3
python3-sshtunnel (0.1.4-2)
SSH tunnels to remote server
python3-sss (2.4.1-2)
Python3 module for the System Security Services Daemon
python3-stardicter (1.2-1)
Conversion tools from various formats to StarDict
python3-starlette (0.14.1-1)
ASGI library ideal for building high performance asyncio services
python3-static3 (0.7.0-6.1)
Really simple WSGI way to serve static content (Python 3)
python3-staticconf (0.10.5-1)
Python library for loading and reading configuration (Python 3)
python3-statsd (3.3.0-2)
Python client for the statsd daemon (Python 3)
python3-statsmodels (0.12.2-1)
Python3 module for the estimation of statistical models
python3-statsmodels-lib (0.12.2-1)
Python3 low-level implementations and bindings for statsmodels
python3-std-msgs (0.5.13-2)
Python 3 interface for Standard Robot OS Messages
python3-std-srvs (1.11.3-2)
Robot OS Common service definitions, Python 3 bindings
python3-stdeb (0.10.0-1)
Python to Debian source package conversion plugins for distutils
python3-stdnum (1.16-1)
Python module to handle standardized numbers and codes (Python3 version)
python3-stem (1.8.0-3)
Tor control library for Python 3 series
python3-stem-doc (1.8.0-3)
Tor control library for Python 3 - documentation
python3-stemmer (2.0.1+dfsg-2)
Python 3 bindings for libstemmer - snowball stemming algorithms
python3-stemmer-dbg (2.0.1+dfsg-2)
Python 3 bindings for libstemmer (debug extension)
python3-stereo-image-proc (1.15.2-4)
Robot OS stereo_image_proc Python 3 package
python3-stereo-msgs (1.13.0-2)
Messages relating to Robot OS stereo, Python 3 interface
python3-stestr (3.0.1-2)
test runner similar to testrepository - Python 3.x
python3-stetl (2.0+ds-3)
Streaming ETL - Geospatial ETL framework for Python 3
python3-stevedore (3.2.2-3)
manage dynamic plugins for Python applications - python3
python3-stfio (0.16.0-1+b4)
Python module to read common electrophysiology file formats.
python3-stfio
本虛擬套件由這些套件填實: python3-stfio
python3-stl (2.9.0-1+b4)
stl file and 3d object handling for the Python language
python3-stomp (6.1.0-1)
STOMP client library for Python 3
python3-stomper (0.4.1-2)
Python client implementation of the STOMP protocol (Python 3)
python3-stopit (1.1.2-1)
Timeout control decorator and context manager for Python3
python3-storm (0.24-2+b1)
object-relational mapper (ORM) for Python 3
python3-storm-dbg (0.24-2+b1)
object-relational mapper (ORM) for Python 3 - debugging files
python3-straight.plugin (1.4.1-3)
Simple namespaced plugin facility (Python 3)
python3-streamlink (2.0.0-1)
Python module for extracting video streams from various websites
python3-streamlink-doc (2.0.0-1)
CLI for extracting video streams from various websites (documentation)
python3-streamparser (5.0.2-2)
Python library to parse Apertium stream format
python3-streamz (0.6.2-1)
build pipelines to manage continuous streams of data
python3-strictyaml (1.3.2-1)
strict, typed YAML parser for Python
python3-stringtemplate3 (3.1-5)
template engine with strict model-view separation - Python 3
python3-structlog (20.1.0-1)
structured logging for Python (3.x)
python3-stsci.distutils (0.3.7-5)
Python3 packaging utilities for STScI's packages
python3-stsci.tools (3.6.0-1)
Miscellaneous Python tools from STScI
python3-stubserver (1.1-2)
mock tester of external web dependencies for Python
python3-subliminal (2.1.0-1)
Python library to search and download subtitles (python3 version)
python3-subnettree (0.33-1+deb11u1)
Python 3 Module for CIDR Lookups
python3-subunit (1.4.0-3)
unit testing protocol - Python3 bindings to generate and consume streams
python3-subunit2sql (1.10.0-4)
subunit file/stream to DB - Python 3.x
python3-subversion (1.14.1-3+deb11u1)
Python3 bindings for Apache Subversion
python3-subvertpy (0.11.0-1)
Alternative Python bindings for Subversion - Python 3
python3-suds (0.8.4-1)
Lightweight SOAP client for Python - Python 3.x
python3-sugar3 (0.118-3)
Sugar Learning Platform - toolkit Python3 bindings
python3-sunlight (1.1.5-3)
set of bindings to access U.S. government data (Python 3)
python3-sunpinyin (3.0.0~rc1+ds1-3)
Simplified Chinese Input Method from SUN (Python binding)
python3-sunpy (2.0.7-1)
Software library for solar physics based on Python
python3-suntime (1.2.5-2)
Simple sunset and sunrise time calculation Python library (Python 3)
python3-sure (1.2.5-6)
utility belt for automated testing - Python 3.x
python3-surfer (0.11.0-1)
visualize Freesurfer's data in Python3
python3-sushy (3.4.1-2)
small library to communicate with Redfish based systems - Python 3.x
python3-sushy-cli (0.3.1-3)
Redfish CLI tool based on sushy Redfish client library - Python 3.x
python3-svg.path (3.0-2)
SVG path objects and parser for Python3
python3-svgwrite (1.3.1-1)
library to create SVG drawings (Python 3)
python3-svipc (0.16-5+b3)
interprocess communication (shared memory...) for Python 3
python3-svn (1.9.12-2)
A(nother) Python 3 interface to Subversion
python3-swift (2.26.0-10+deb11u1)
distributed virtual object store - Python 3 libraries
python3-swiftclient (1:3.10.1-2)
Client library for Openstack Swift API - Python 3.x
python3-swiftsc (0.5-1.2)
simple client library of OpenStack Swift for python3
python3-swiglpk (4.65.1-1)
Plain Python bindings for the GNU Linear Programming Kit (Python 3)
python3-sword (1.9.0+dfsg-4)
Python 3 bindings for the SWORD bible library
python3-sybil (1.4.0-1)
Automated testing for the examples in your documentation (Python 3)
python3-syllabipy (0.2-2)
Collection of universal syllabification algorithms
python3-sympy (1.7.1-3)
Computer Algebra System (CAS) in Python (Python 3)
python3-systemd (234-3+b4)
Python 3 bindings for systemd
python3-systemfixtures (0.6.4-2)
Test fixtures providing fake versions of various system resources
python3-sysv-ipc (1.0.0-2+b4)
semaphores, shared memory and message queues - Python 3.x
python3-tables (3.6.1-3)
hierarchical database for Python3 based on HDF5
python3-tables-dbg (3.6.1-3)
hierarchical database for Python 3 based on HDF5 (debug extension)
python3-tables-lib (3.6.1-3)
hierarchical database for Python3 based on HDF5 (extension)
python3-tablib (0.13.0-1)
format agnostic tabular dataset library - Python 3.x
python3-tabulate (0.8.7-0.1)
pretty-print tabular data in Python3
python3-tackerclient (1.3.0-2)
CLI and Client Library for OpenStack Tacker - Python 3.x
python3-taglib (0.3.6+dfsg-2+b11)
Python3 bindings for the TagLib audio metadata library
python3-tagpy (2013.1-7+b7)
Python 3 module for manipulating tags in music files
python3-talloc (2.3.1-2+b1)
hierarchical pool based memory allocator - Python3 bindings
python3-talloc-dev (2.3.1-2+b1)
talloc Python 3 bindings - development files
python3-tango (9.3.2-1+b1)
API for the TANGO control system (Python 3)
python3-tap (3.0-2)
TAP producer/consumer tools for Python unittest -- Python 3 version
python3-taskflow (4.5.0-3)
Taskflow structured state management library - Python 3.x
python3-tasklib (2.3.0-3)
Task Warrior database interaction - Python 3.x
python3-taskw (1.3.0-1)
Python bindings for your taskwarrior database (Python 3 version)
python3-taurus (4.7.0+dfsg-1)
Framework for scientific/industrial CLIs and GUIs - Python3
python3-taurus-pyqtgraph (0.4.6-1)
Taurus extension providing pyqtgraph-based widgets (Python 3)
python3-tblib (1.7.0-1)
Python 3 traceback fiddling library
python3-tdb (1.4.3-1+b1)
Python3 bindings for TDB
python3-tds (1.10.0-1)
Python DBAPI driver for MSSQL using pure Python TDS (Python 3)
python3-tempest (1:25.0.1-1)
OpenStack Integration Test Suite - Python 3.x
python3-tempest-horizon (1.1.0-2)
OpenStack Integration Test Suite - Horizon plugin transitional package
python3-tempest-horizon
本虛擬套件由這些套件填實: horizon-tempest-plugin
python3-tempita (0.5.2-6)
very small text templating language - Python 3.x
python3-tempora (2.1.1-1)
Python objects and routines pertaining to date and time
python3-tenacity (6.2.0-4)
retry code until it succeeeds
python3-termbox (1.1.2+dfsg-3+b4)
Library for writing text-based user interfaces (Python)
python3-termcolor (1.1.0-3)
ANSII Color formatting for output in terminal - Python 3.x
python3-terminado (0.9.2-1)
Terminals served to term.js using Tornado websockets (Python 3)
python3-terminaltables (3.1.0-3)
Python library for printing tables to the console
python3-termstyle (0.1.10-3)
console colouring for python - Python 3.x
python3-tesserocr (2.5.0-1+b4)
Python wrapper for the tesseract-ocr API (Python3 version)
python3-test-server (0.0.27-2)
HTTP Server to test HTTP clients (Python 3)
python3-testfixtures (6.14.1-1)
Collection of helpers and mock objects (Python3 version)
python3-testinfra (6.1.0-1)
server state testing framework based on pytest
python3-testing.common.database (2.0.0-2)
Python testing.* framework - common database utilities (Python 3)
python3-testing.postgresql (1.3.0-2)
Python testing.* framework - PostgreSQL helpers (Python 3)
python3-testpath (0.4.4+dfsg-1)
Utilities for Python 3 code working with files and commands
python3-testrepository (0.0.20-5)
Database of test results - Python 3.x library
python3-testresources (2.0.1-2)
PyUnit extension for managing expensive test fixtures - Python 3.x
python3-testscenarios (0.5.0-3)
Dependency injection for Python unittest tests - Python 3.x
python3-testtools (2.4.0-2)
Extensions to the Python unittest library - Python 3.x
python3-texext (0.6.6-2)
sphinx extensions for working with LaTeX math - Python
python3-textdistance (4.2.0-2)
Library for calculating distances between sequences
python3-textfsm (1.1.0-2)
template based state machine for parsing semi-formatted text
python3-textile (1:4.0.1-3)
Python 3 parser for the Textile markup
python3-texttable (1.6.3-2)
Module for creating simple ASCII tables — python3
python3-tf (1.13.2-3)
Robot OS tf transform library - Python 3
python3-tf-conversions (1.13.2-3)
Robot OS conversion library between Eigen, KDL and tf - Python 3 interface
python3-tf2 (0.7.5-3)
Robot OS tf2 transform library - Python 3
python3-tf2-geometry-msgs (0.7.5-3)
Robot OS tf2 transform library for Bullet - Python 3
python3-tf2-kdl (0.7.5-3)
Robot OS tf2 transform library using Orocos-KDL - Python 3
python3-tf2-msgs (0.7.5-3)
Robot OS messages for tf2 transform library - Python 3
python3-tf2-ros (0.7.5-3)
Robot OS binding for tf2 transform library - Python 3
python3-tf2-sensor-msgs (0.7.5-3)
Robot OS sensor messages for tf2 transform library - Python 3
python3-theano (1.0.5+dfsg-2)
CPU/GPU math expression compiler for Python 3
python3-threadpoolctl (2.1.0-1)
Python helpers for common threading libraries (BLAS, OpenMP)
python3-three-merge (0.1.1-2)
Perform a 3-way merge between strings at a character level
python3-thrift (0.13.0-6)
Python 3 library for Thrift
python3-thrift-dbg (0.13.0-6)
Python library for Thrift (debug symbols)
python3-thriftpy (0.3.9+ds1-1+b5)
Pure Python implementation of Apache Thrift
python3-tidylib (0.3.2~dfsg-6)
Python 3 wrapper for HTML Tidy (tidylib)
python3-tifffile (20210201-1)
Read and write image data from and to TIFF files
python3-timeline (0.0.7-2)
Timeline module for modelling a series of actions (Python 3)
python3-tinyalign (0.2-5+b2)
numerical representation of differences between strings
python3-tinyarray (1.2.3-2+b2)
Arrays of numbers, optimized for small sizes
python3-tinycss (0.4-3+b4)
complete yet simple CSS parser (Python3 version)
python3-tinycss2 (1.0.2-1)
Low-level CSS parser (Python3 version)
python3-tinydb (3.15.2-2)
document oriented database optimized
python3-tinyobjloader (2.0.0~rc5+dfsg1-4+b1)
Tiny but powerful wavefront OBJ loader (Python 3 bindings)
python3-tinyrpc (0.6-3.1)
small, modular RPC library — Python 3
python3-tk (3.9.2-1)
Tkinter - Writing Tk applications with Python 3.x
python3-tk-dbg (3.9.2-1)
Tkinter - Writing Tk applications with Python 3.x (debug extension)
python3-tksnack (2.2.10.20090623-dfsg-10)
Sound extension to Tcl/Tk and Python/Tkinter - Python 3.x library
python3-tktreectrl (2.0.2-3)
Tkinter-based wrapper for Tk TreeCtrl for Python 3
python3-tld (0.11.11-1)
Extract the top level domain (TLD) from a given URL (Python 3)
python3-tldextract (2.2.1-1)
Python library for separating TLDs
python3-tlsh (3.4.4+20151206-1.3+b4)
fuzzy hashing library - Python3 module
python3-tmdbsimple (2.7.0-1)
Wrapper for The Movie Database API (Python3 version)
python3-tmuxp (1.7.0-1)
tmux session manager (Python 3)
python3-tnetstring (0.3.1-3+b3)
python3 library for data serialization using typed netstrings
python3-tomahawk (0.7.1-2.1)
simple ssh wrapper for executing commands into many hosts (py3)
python3-toml (0.10.1-1)
library for Tom's Obvious, Minimal Language - Python 3.x
python3-tomlkit (0.6.0-2)
style-preserving TOML library for Python
python3-toolz (0.9.0-1.1)
List processing tools and functional utilities
python3-tooz (2.7.1-2)
coordination library for distributed systems - Python 3.x
python3-topic-tools (1.15.9+ds1-7+deb11u1)
Python 3 library for working with Robot OS topics
python3-toposort (1.6-1)
topological sort algorithm - Python 3 module
python3-topplot (0.1.5+repack-1)
Python modules to munge top logs using topplot
python3-torch (1.7.1-7)
Tensors and Dynamic neural networks in Python with strong GPU acceleration
python3-torch-ignite (0.4.3-1)
High-level library to help with training and evaluating in PyTorch
python3-torchaudio (0.7.2-1)
Data manipulation and transformation for audio signal processing
python3-torchtext (0.8.1-1)
Data loaders and abstractions for text and NLP
python3-torchvision (0.8.2-1)
Datasets, Transforms and Models specific to Computer Vision
python3-tornado (6.1.0-1+b1)
scalable, non-blocking web server and tools - Python 3 package
python3-toro (1.0.1-4)
Synchronization primitives for Tornado coroutines (python3)
python3-torrequest (0.1.0-2)
simple Python interface for HTTP(s) requests over Tor (Python 3)
python3-tosca-parser (2.1.1-3)
parser for TOSCA Simple Profile in YAML - Python 3.x
python3-tpm2-pkcs11-tools (1.5.0-4)
pkcs11 library for tpm2 - Python library
python3-tpot (0.11.7+dfsg-1)
Automated Machine Learning tool built on top of scikit-learn
python3-tqdm (4.57.0-2)
fast, extensible progress bar for Python 3 and CLI tool
python3-traceback2 (1.4.0-6)
backports of the traceback module - Python 3.x
python3-traitlets (5.0.5-1)
Lightweight Traits-like package for Python 3
python3-traits (5.2.0-2+b3)
Manifest typing and reactive programming for Python (Python 3)
python3-traitsui (6.1.3-3)
traits-capable user interfaces
python3-trajectory-msgs (1.13.0-2)
Messages relating to Robot OS trajectory, Python 3 interface
python3-transaction (3.0.0-1)
Transaction management for Python
python3-transitions (0.8.6-1)
Lightweight state machine library (Python 3)
python3-translate (3.3.2-1)
Toolkit assisting in the localization of software (Python 3)
python3-translation-finder (1.0-1+b1 [amd64], 1.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Translation file finder for Weblate
python3-translationstring (1.4-1)
Utility library for i18n relied on by various Repoze packages - Python 3.x
python3-transliterate (1.10.2-3)
Bi-directional transliterator for Python
python3-transmissionrpc (0.11-4)
Transmission RPC client module for Python 3
python3-treetime (0.8.1-1)
inference of time stamped phylogenies and ancestral reconstruction (Python 3)
python3-treq (18.6.0-0.2)
Higher level API for making HTTP requests with Twisted (Python 3)
python3-trezor (0.12.2-2)
library for communicating with TREZOR Bitcoin HW wallet (Python 3)
python3-trie (0.2+ds-2)
Pure Python implementation of the trie data structure (Python 3)
python3-trio (0.13.0-2)
Python async concurrency and I/O library
python3-trollimage (1.14.0-1)
Pytroll imaging library
python3-trollsched (0.5.2-2)
Scheduling satellite passes in Python
python3-trollsift (0.3.4-1)
String parser/formatter for PyTroll packages
python3-trololio (1.0-2)
Trollius and asyncio compatibility library (Python 3)
python3-trove-dashboard (15.0.0-2)
Database as a Service for OpenStack - dashboard plugin
python3-troveclient (1:5.1.1-2)
Client for OpenStack Database as a Service - Python 3.x
python3-trufont (0.6.6+ds-2)
Font-editing application (Python 3 libraries)
python3-trustme (0.6.0-1)
fake certificate authority for test use
python3-tsk (20200117-3)
Python Bindings for The Sleuth Kit
python3-ttkthemes (3.2.0-1)
Themes for the ttk extensions for python3-tk
python3-ttystatus (0.38-4)
terminal progress bar and status output for Python
python3-tvdb-api (3.0.2-1)
API interface to TheTVDB.com (Python 3)
python3-tweepy (3.6.0-2)
Twitter library for Python 3
python3-twilio (6.51.0+ds-1)
Twilio API client and TwiML generator (Python 3)
python3-twisted (20.3.0-7+deb11u1)
Event-based framework for internet applications
python3-twisted-bin (20.3.0-7+deb11u1)
Event-based framework for internet applications
python3-twisted-bin-dbg (20.3.0-7+deb11u1)
Event-based framework for internet applications (debug extension)
python3-twitter (3.3-2)
Twitter API wrapper for Python 3
python3-twodict (1.2-2)
Simple two way ordered dictionary for Python 3
python3-twython (3.8.2+dfsg-2)
Pure Python3 wrapper for the Twitter API
python3-txacme (0.9.2-2)
ACME protocol implementation for Twisted
python3-txaio (20.4.1-2)
compatibility API between asyncio/Twisted/Trollius - Python 3.x
python3-txsni (0.2.0-1)
easy-to-use SNI endpoint for Twisted (Python 3)
python3-txt2tags
本虛擬套件由這些套件填實: txt2tags
python3-txtorcon (20.0.0-1)
Twisted-based asynchronous Tor control protocol implementation (Python 3)
python3-txws (0.9.1-4)
Python module to add Websocket support to the Twisted framework (Python 3)
python3-txzmq (0.8.0-2)
ZeroMQ binding for the Twisted framework (Python3 version)
python3-typed-ast (1.4.2-1)
AST with PEP 484 type comments support
python3-typedload (2.8-1)
Load and dump json-like data into typed data structures in Python3
python3-typeguard (2.2.2-1.1)
Run-time type checker for Python
python3-typing-extensions (3.7.4.3-1)
Backported and Experimental Type Hints for Python
python3-typogrify (1:2.0.7-2)
filters to transform text into typographically-improved HTML (Python 3)
python3-tz (2021.1-1)
Python3 version of the Olson timezone database
python3-tzlocal (2.1-1)
tzinfo object for the local timezone
python3-u-msgpack (2.3.0-2)
Python3 MessagePack serializer and deserializer
python3-u2flib-server (5.0.0-1.1)
Universal 2nd Factor (U2F) server communication Python3 module
python3-ua-parser (0.10.0-1)
Python module for parsing HTTP User-Agent strings
python3-uamqp (1.2.13-1)
AMQP 1.0 client library for Python
python3-ubjson (0.16.1-1)
Universal Binary JSON encoder/decoder for Python 3
python3-ubuntutools (0.183)
useful APIs for Ubuntu developer tools — Python 3 library
python3-udatetime (0.0.16-4+b1)
fast RFC3339 compliant date-time library (Python 3)
python3-ufl (2019.2.0~git20210211.d60cd09-1)
unified language for form-compilers (Python 3)
python3-uflash (1.2.4+dfsg-8)
module and utility for flashing the BBC micro:bit
python3-ufo-extractor (0.3.0-2)
Extract data from font binaries into UFO objects (Python 3)
python3-ufo2ft (2.19.2-1)
Bridge from UFOs to fonttools objects
python3-ufolib2 (0.11.1+dfsg1-1)
Unified Font Object (UFO) fonts library
python3-ufonormalizer (0.5.3-1)
Python library to normalize the XML and other data inside of a UFO
python3-ufoprocessor (1.9.0-1)
Process and generate Unified Font Object (UFO) files
python3-uhashring (1.2-2)
full featured consistent hashing python library compatible with ketama
python3-uhd (3.15.0.0-4+b1)
universal hardware driver for Ettus Research products - Python3
python3-uinput (0.11.2-2.1+b3)
Pythonic API to Linux uinput kernel module (Python3)
python3-ujson (4.0.2-1)
ultra fast JSON encoder and decoder for Python 3
python3-ukui-menu (1.1.4-1+b2)
implementation of the freedesktop menu specification for UKUI (Python bindings)
python3-ulmo (0.8.5+dfsg1-1)
Simple & fast Python 3 access to public hydrology & climatology data
python3-unbound (1.13.1-1+deb11u2) [security]
library implementing DNS resolution and validation (Python3 bindings)
python3-uncertainties (3.1.5-1)
Python3 module for calculations with uncertainties
python3-unicodecsv (0.14.1-2)
drop-in replacement for Pythons CSV module with Unicode support
python3-unidecode (1.2.0-1)
ASCII transliterations of Unicode text (Python 3 module)
python3-unidiff (0.5.5-2)
Unified diff Python parsing/metadata extraction library (Python 3)
python3-unittest2 (1.1.0-7)
backport of the enhanced unittest testing framework - Python 3.x
python3-uno (1:7.0.4-4+deb11u8)
Python-UNO bridge
python3-unpaddedbase64 (1.1.0-5)
unpadded Base64 implementation in Python 3
python3-unrardll (0.1.4-2) [contrib]
Python wrapper for the unrar shared library
python3-upstream-ontologist (0.1.22-1)
discover metadata about upstream projects
python3-uranium (4.8-1)
application framework for Cura (Python library)
python3-uritemplate (3.0.1-1)
implementation of RFC6570 - Python 3.x
python3-uritools (3.0.0-2)
RFC 3986 compliant replacement for urlparse
python3-urllib3 (1.26.5-1~exp1)
HTTP library with thread-safe connection pooling for Python3
python3-urlobject (2.4.3-3)
utility class for manipulating URLs.
python3-urwid (2.1.2-1)
curses-based UI/widget library for Python 3
python3-urwid-satext (0.8.0~hg144.bfab04d0a745-1)
collection of urwid widgets for Salut à Toi
python3-urwid-utils (0.1.2.dev2-2)
Collection of simple, straightforward, but extensible utilities for urwid
python3-urwidtrees (1.0.3.dev0-1)
Urwid Tree Container API
python3-usagestats (1.0-1)
Python3 module to collect usagestats from users
python3-usb (1.0.2-2)
USB interface for Python (Python3)
python3-usb1 (1.9.1-1)
Python wrapper for libusb1 (Python 3)
python3-user-agents (2.2.0-2)
Pure Python implementation for detecting which user agent is used
python3-userpath (1.4.2-1)
tool for adding locations to the user PATH - Python3 library
python3-utidylib (0.5-3)
Python wrapper for TidyLib
python3-utmp (0.9-1+b4)
python module for working with utmp
python3-uvicorn (0.13.3-1)
ASGI server implementation, using uvloop and httptools (Python3 version)
python3-uvloop (0.14.0+ds1-2+b2)
fast implementation of asyncio event loop on top of libuv
python3-uvloop-dbg (0.14.0+ds1-2+b2)
fast implementation of asyncio event loop on top of libuv - dbg
python3-uwsgidecorators (2.0.19.1-7.1)
module of decorators for elegant access to uWSGI API (Python 3)
python3-v-sim (3.7.2-8)
Python bindings for V_Sim (a 3D visualization package)
python3-vagrant (0.5.15-3)
Python 3 bindings for interacting with Vagrant virtual machines
python3-validators (0.14.2-2)
Python Data Validation for Humans (Python 3)
python3-validictory (0.8.3-4)
general purpose Python data validator (Python 3)
python3-vatnumber (1:1.2-10)
Python module to validate VAT numbers (implemented in Python 3)
python3-vcf (0.6.8+git20170215.476169c-7+b3)
Variant Call Format (VCF) parser for Python 3
python3-vcr (4.0.2-1)
record and replay HTML interactions (Python3 library)
python3-vcstools (0.1.42-3)
VCS/SCM Python source control library for svn, git, hg, and bzr (Python 3)
python3-vcversioner (2.16.0.0-3)
Use version control tags to discover version numbers (Python3 version)
python3-vedo (2020.4.2-2)
python module for 3D scientific visualization with VTK
python3-vedo-examples (2020.4.2-2)
3D scientific visualization with VTK (examples)
python3-vega-datasets (0.8+dfsg-2)
Python 3 package for offline access to vega datasets
python3-venusian (3.0.0-1)
library for deferring decorator actions
python3-venv (3.9.2-3)
venv module for python3 (default python3 version)
python3-versioneer (0.18-3)
determine version from repository tag
python3-versiontools (1.9.1-4)
Smart replacement for plain tuple used in __version__ (Python 3)
python3-vertica (0.11.0-2) [contrib]
native Python client for the Vertica database (Python 3)
python3-veusz (3.3.1-1)
2D and 3D scientific plotting application (Python interface)
python3-veusz.helpers (3.3.1-1)
2D and 3D scientific plotting application (binary modules)
python3-vigra (1.11.1+dfsg-8)
Python3 bindings for the C++ computer vision library
python3-vine (5.0.0+dfsg-2)
Python promises (Python 3 version)
python3-virtualenv (20.4.0+ds-2+deb11u1)
Python virtual environment creator
python3-virtualenv-clone (0.3.0-2)
script for cloning a non-relocatable virtualenv (Python3)
python3-virtualenvwrapper (4.8.4-4)
extension to virtualenv for managing multiple environments (Py3)
python3-virtualsmartcard (3.3+dfsg-2)
Smartcard emulator library in Python
python3-virustotal-api (1.1.11-3)
Virus Total Public/Private/Intel API for Python
python3-vispy (0.6.6-1)
interactive visualization in Python 3
python3-visualization-msgs (1.13.0-2)
Messages relating to Robot OS visualization, Python 3 interface
python3-vitrage (7.3.0-2)
OpenStack RCA as a Service - Python libs
python3-vitrage-dashboard (2.0.0-4)
OpenStack Vitrage - dashboard plugin
python3-vitrageclient (4.1.1-2)
OpenStack root cause analysis as a Service client.
python3-vlc (3.0.11115-2)
VLC Python bindings
python3-vmdkstream (0.2-7)
Python 3 module for converting raw disk images to VMDK files
python3-vnlog (1.31-1)
Toolkit to read/write/manipulate whitespace-separated ASCII logs
python3-vobject (0.9.6.1-0.2)
parse iCalendar and vCards in Python3
python3-volatildap (1.5.0-1)
Temporary slapd launcher for testing purposes
python3-volatile (2.1.0-2)
small extension for the Python tempfile module
python3-volume-key (0.3.12-3.1+b1)
Python 3 bindings for volume-key
python3-voluptuous (0.11.7-2)
Python 3 library to validate data
python3-voluptuous-serialize (2.4.0-1)
Code for converting voluptuous schemas to Python dictionaries
python3-vsts-cd-manager (1.0.2-3)
Visual Studio Team Services Continuous Delivery Manager
python3-vtk-dicom (0.8.12-4)
DICOM for VTK - Python
python3-vtk7 (7.1.1+dfsg2-8)
Python bindings for VTK
python3-vtk9 (9.0.1+dfsg1-8)
Python bindings for VTK
python3-vtkgdcm (3.0.8-2)
Grassroots DICOM VTK/Python bindings
python3-vttlib (0.10.0+dfsg-1)
Python library to extract VTT (Microsoft Visual TrueType) font hinting data
python3-vulndb (0.1.3-2)
Python3 tool to provide access to the vulndb information
python3-w3lib (1.22.0-3)
Collection of web-related functions (Python 3)
python3-wadllib (1.3.5-1)
Python 3 library for navigating WADL files
python3-waiting (1.4.1-6)
Python module for waiting for events to happen (Python 3 version)
python3-waitress (1.4.4-1.1+deb11u1)
production-quality pure-Python WSGI server (Python 3)
python3-wand (0.6.5-1)
Python interface for ImageMagick library (Python 3)
python3-warlock (1.3.3-2)
object model built on top of JSON schema - Python 3.x
python3-watchdog (1.0.2-2)
Python API and shell utilities to monitor file system events
python3-watcher (5.0.0-1)
OpenStack Cloud Optimization as a Service - Python 2.7 libraries
python3-watcher-dashboard (4.0.0-2)
OpenStack Optimization as a service - dashboard plugin
python3-watcherclient (3.1.1-2)
OpenStack Cloud Optimization as a Service client - Python 3.x
python3-watchgod (0.6-2)
Simple, modern file watching and code reload (Python3 version)
python3-watson (1.8.0-0.1)
Library for Watson (Python 3)
python3-wchartype (0.1-2)
handling double-byte (full-width) characters (Python 3)
python3-wcwidth (0.1.9+dfsg1-2)
determine printable width of a string on a terminal (Python 3)
python3-wdlparse (0.1.0-2)
Workflow Description Language (WDL) parser for Python
python3-webassets (3:0.12.1-1.1)
Asset management application for Python web development (Python 3)
python3-webcolors (1.11.1-1)
python3 library of color names and value formats
python3-webdav (0.9.14-1)
WebDAV server implementation in Python (Python3)
python3-webdavclient (3.14.5-2)
Python 3 module for accessing WebDAV servers
python3-webencodings (0.5.1-2)
Python implementation of the WHATWG Encoding standard
python3-webob (1:1.8.6-1.1)
Python module providing WSGI request and response objects (Python 3)
python3-webpy (1:0.61-1)
Web framework for Python applications
python3-websocket (0.57.0-1)
WebSocket client library - Python 3.x
python3-websockets (8.1-1+deb11u1)
implementation of the WebSocket Protocol (RFC 6455)
python3-websockify (0.9.0+dfsg1-3)
WebSockets support for any application/server - Python 3
python3-webtest (2.0.35-1)
wraps any WSGI application and makes it easy to test
python3-webview (3.3.5+dfsg-1)
Build GUI for your Python program with JavaScript, HTML, and CSS
python3-webvtt (0.4.5-1)
Read, write and segment WebVTT caption files (Python3 version)
python3-werkzeug (1.0.1+dfsg1-2+deb11u1)
collection of utilities for WSGI applications (Python 3.x)
python3-wget (3.2-3)
pure Python download utility for Python 3
python3-whatthepatch (0.0.5-2.1)
Library for parsing patch files(Python 3)
python3-wheel (0.34.2-1)
built-package format for Python
python3-wheezy.template (0.1.167-2+b4)
a lightweight template library (Python 3 package)
python3-whichcraft (0.4.1-2)
cross-platform cross-python shutil.which functionality (Python 3 module)
python3-whisper (1.1.4-2.1)
database engine for fast, reliable fixed-sized databases
python3-whiteboard (1.0+git20170915-6)
Make your own electronic whiteboard
python3-whitenoise (5.2.0-1)
static file serving for WSGI applications (Python 3)
python3-whois (0.8-1)
Python module for retrieving WHOIS information
python3-whoosh (2.7.4+git6-g9134ad92-5)
pure-Python full-text indexing, search, and spell checking library (Python 3)
python3-widgetsnbextension (6.0.0-8)
Interactive widgets - Jupyter notebook extension (Python 3)
python3-wikitrans (1.3-1)
MediaWiki markup translator (python3 library)
python3-willow (1.4-1)
Python image library combining Pillow, Wand and OpenCV (Python 3)
python3-wimsapi (0.5.7-3)
API to communicate with a WIMS server
python3-winrm (0.3.0-2)
Python 3 library for Windows Remote Management
python3-wither (1.1-2)
XML/HTML Generation DSL (Python 3)
python3-wlc
本虛擬套件由這些套件填實: wlc
python3-wokkel (18.0.0-3.1)
Python 3 collection of enhancements for Twisted
python3-wordcloud (1.8.1+dfsg-1+b1)
little word cloud generator in Python
python3-workqueue (7.1.2-5)
cooperative computing tools work queue Python3 bindings
python3-wormhole
本虛擬套件由這些套件填實: magic-wormhole
python3-wrapt (1.12.1-4+b1)
decorators, wrappers and monkey patching. - Python 3.x
python3-wreport (3.23-2+b4)
Python library to work with BUFR and CREX weather bulletins
python3-ws4py (0.4.2+dfsg1-5)
WebSocket library (Python 3)
python3-wsaccel (0.6.2-2+b4)
Accelerator for ws4py and AutobahnPython - Python 3.x
python3-wsgi-intercept (1.9.2-1)
installs a WSGI application in place of a real URI for testing (python3)
python3-wsgicors (0.4.1-1.1)
WSGI middleware to handle CORS preflight requests for Python 3
python3-wsgilog (0.3.1-3)
WSGI logging and event reporting middleware (Python 3)
python3-wsgiproxy (0.4.5-1.1)
minimalist WSGI server implementation using async
python3-wsme (0.10.0-3)
Web Services Made Easy: implement multi-protocol webservices - Python 3.x
python3-wsproto (0.15.0-3)
WebSockets state-machine based protocol implementation (Python3)
python3-wstool (0.1.18-2)
Commands to manage multi-VCS repositories (for Robot OS) Python 3
python3-wtf-peewee (3.0.0+dfsg-2)
WTForms integration for peewee models (Python 3)
python3-wtforms (2.2.1-2)
flexible forms validation and rendering library for Python 3
python3-wurlitzer (2.0.1-2)
Capture C-level output in context managers
python3-wxgtk-media4.0 (4.0.7+dfsg-10)
Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.media)
python3-wxgtk-webview4.0 (4.0.7+dfsg-10)
Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit (wx.html2)
python3-wxgtk4.0 (4.0.7+dfsg-10)
Python 3 interface to the wxWidgets Cross-platform C++ GUI toolkit
python3-x2go (0.6.1.3-2)
Python module providing X2Go client API (Python 3)
python3-x2gobroker (0.0.4.3-1)
X2Go Session Broker (Python3 modules)
python3-x3dh (0.5.8-2)
Python 3 implementation of the X3DH key agreement protocol
python3-xapian (1.4.18-1)
Xapian search engine interface for Python3
python3-xapian-haystack (2.1.0-6)
Xapian backend for Django-Haystack (Python3 version)
python3-xapp (2.0.2-2)
Python 3 XApp library
python3-xarray (0.16.2-2)
N-D labeled arrays and datasets in Python 3
python3-xattr (0.9.7-1+b1)
module for manipulating filesystem extended attributes - Python 3
python3-xattr
本虛擬套件由這些套件填實: python3-xattr
python3-xcbgen (1.14.1-1)
X C Binding - protocol binding generator (Python 3)
python3-xcffib (0.8.1-0.8)
This package is a Python binding for XCB (Python 3)
python3-xdg (0.27-2)
Python 3 library to access freedesktop.org standards
python3-xdmf (3.0+git20190531-7)
Python3 wrappers for the eXtensible Data Model and Format library
python3-xdo (0.5-1)
Python 3 library for simulating X11 keyboard/mouse input (libxdo bindings)
python3-xeddsa (0.4.6-2+b1)
Python 3 implementation of the XEdDSA signature scheme
python3-xgboost (1.2.1-1)
Scalable and Flexible Gradient Boosting (Python3)
python3-xhtml2pdf (0.2.4-1)
A library for converting HTML into PDFs using ReportLab (Python 3 module)
python3-xkcd (2.4.2-3)
Python3 library for accessing xkcd.com
python3-xlib (0.29-1)
interface for Python 3 to the X11 protocol
python3-xlrd (1.2.0-2)
extract data from Microsoft Excel spreadsheet files (Python3 version)
python3-xlsxwriter (1.1.2-0.2)
Python 3 module for creating Excel XLSX files
python3-xlwt (1.3.0-3)
module for writing Microsoft Excel spreadsheet files - Python 3.x
python3-xmlschema (1.4.2-1)
implementation of XML Schema for Python
python3-xmltodict (0.12.0-2)
Makes working with XML feel like you are working with JSON (Python 3)
python3-xmmsclient (0.8+dfsg-21)
XMMS2 - Python 3 bindings
python3-xmodem (0.4.6+dfsg-2)
xmodem file transfer protocol library (Python 3)
python3-xopen (1.1.0-1)
Python3 module to open compressed files transparently
python3-xrayutilities (1.7.1-1)
X-rays data reduction and analysis (Python 3)
python3-xrayutilities-dbg (1.7.1-1)
X-rays data reduction and analysis (Python3 debug ext)
python3-xrootd (5.0.3-4)
Python 3 bindings for xrootd
python3-xstatic (1.0.0-7)
XStatic base package with minimal support code - Python 3.x
python3-xstatic-angular (1.5.8.0-5)
Angular JS XStatic support - Python 3.x
python3-xstatic-angular-bootstrap (2.2.0.0-4)
Angular-Bootstrap XStatic support - Python 3.x
python3-xstatic-angular-cookies (1.2.24.1-5)
Angular JS Cookies XStatic support - Python 3.x
python3-xstatic-angular-fileupload (12.0.4.0+dfsg1-3)
Angular-FileUpload (XStatic packaging standard) - Python 3.x
python3-xstatic-angular-gettext (2.3.8.0-4)
Angular-Gettext (XStatic packaging standard) - Python 3.x
python3-xstatic-angular-lrdragndrop (1.0.2.2-3)
Angular-Lrdragndrop 1.0.2 (XStatic packaging standard) - Python 3.x
python3-xstatic-angular-mock (1.2.23.1-7)
Angular JS Mock XStatic support - Python 3.x
python3-xstatic-angular-schema-form (0.8.13.0-4)
Angular-Schema-Form (XStatic packaging standard) - Python 3.x
python3-xstatic-angular-ui-router (0.3.1.2-2)
Angular UI router XStatic support
python3-xstatic-angular-uuid (0.0.4.0-1.1)
Angular UUID XStatic support
python3-xstatic-angular-vis (4.16.0.0-1.1)
Angular Vis XStatic support
python3-xstatic-bootstrap-datepicker (0.0.0.1-6.1)
Bootstrap-Datepicker XStatic support - Python 3.x
python3-xstatic-bootstrap-scss (3.3.7.1-5)
Bootstrap-SCSS 3.2.0 (XStatic packaging standard) - Python 3.x
python3-xstatic-bootswatch (3.3.7.0-4)
Bootswatch XStatic support - Python 3.x
python3-xstatic-d3 (3.5.17.0-4)
D3 JS XStatic support - Python 3.x
python3-xstatic-filesaver (1.3.2.0-1.1)
implements the saveAs() FileSaver interface - XStatic support
python3-xstatic-font-awesome (4.7.0.0-5)
Font Awesome XStatic support - Python 3.x
python3-xstatic-hogan (2.0.0.2-3)
Hogan.js XStatic support - Python 3.x
python3-xstatic-jasmine (2.4.1.1-4)
Jasmine JS XStatic support - Python 3.x
python3-xstatic-jquery (1.12.4.1-2)
jquery XStatic support - Python 3.x
python3-xstatic-jquery-migrate (1.2.1.1+dfsg1-3)
JQuery Migrate XStatic support - Python 3.x
python3-xstatic-jquery-ui (1.12.0.1+debian+dfsg3-4)
JQuery UI XStatic support - Python 3.x
python3-xstatic-jquery.bootstrap.wizard (1.0.0.1-3)
JQuery.Bootstrap.Wizard XStatic support - Python 3.x
python3-xstatic-jquery.quicksearch (2.0.4.1-3)
jQuery.quicksearch XStatic support - Python 3.x
python3-xstatic-jquery.tablesorter (2.14.5.1-5)
JQuery Tablesorter XStatic support - Python 3.x
python3-xstatic-js-yaml (3.8.1.0-1.1)
JavaScript yaml implementation - XStatic support
python3-xstatic-jsencrypt (2.3.1.1-4)
JSEncrypt XStatic support - Python 3.x
python3-xstatic-json2yaml (0.1.1.0-1.1)
converts json or simple javascript objects into a yaml - XStatic support
python3-xstatic-lodash (4.16.4.1-2)
JavaScript utility library XStatic support - Python 3.x
python3-xstatic-magic-search (0.2.5.1-3)
Magic-Search XStatic support - Python 3.x
python3-xstatic-mdi (1.6.50.2-2)
Material Design Icons Webfont XStatic support - Python 3.x
python3-xstatic-moment (2.8.4.2-2)
Work with dates in JavaScript XStatic support - Python 3.x
python3-xstatic-moment-timezone (0.5.22.0-2)
Parse and display dates in any timezone XStatic support - Python 3.x
python3-xstatic-objectpath (1.2.1.0-4)
objectpath XStatic packaging standard - Python 3.x
python3-xstatic-qunit (1.14.0.2-4)
QUnit XStatic support - Python 3.x
python3-xstatic-rickshaw (1.5.0.2-4)
Rickshaw JS XStatic support - Python 3.x
python3-xstatic-roboto-fontface (0.5.0.0-4)
Roboto Fontface XStatic support - Python 3.x
python3-xstatic-smart-table (1.4.13.2-4)
AngularJS smart-table (XStatic packaging standard) - Python 3.x
python3-xstatic-spin (1.2.8.0+dfsg1-3)
Spin.js XStatic support - Python 3.x
python3-xstatic-term.js (0.0.7.0-4)
term.js XStatic support - Python 3.x
python3-xstatic-tv4 (1.2.7.0-4)
tv4 (XStatic packaging standard) - Python 3.x
python3-xtermcolor (1.2.1-2.1)
Python3 module to print coloured text on terminals
python3-xvfbwrapper (0.2.9-2)
headless display inside Xvfb - Python 3.x
python3-yade (2021.01a-3)
Platform for discrete element modeling. Python bindings
python3-yaml (5.3.1-5)
YAML parser and emitter for Python3
python3-yaml-dbg (5.3.1-5)
YAML parser and emitter for Python3 (debug build)
python3-yamlordereddictloader (0.4.0-1.1)
loader and dump for PyYAML keeping keys order
python3-yapf (0.30.0-1)
public modules for yapf (Python 3)
python3-yappi (1.0-1+b5 [amd64], 1.0-1+b4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Yet Another Python Profiler - Python 3.x
python3-yapps (2.2.1-3.1)
Yet Another Python Parser System
python3-yapsy (1.12.0-1.3)
simple plugin system for Python3 applications
python3-yaql (1.1.3-5)
Yet Another Query Language - Python 3.x
python3-yara (4.0.4-1)
Python 3 bindings for YARA
python3-yaramod (3.6.0-1+b2)
YARA parser for C++ (Python 3 binding)
python3-yarl (1.6.3-2)
yet another URL library for Python
python3-yaswfp (0.9.3-1.1)
Yet Another SWF Parser (Python 3)
python3-yattag (1.14.0-1)
library for generating HTML or XML in a pythonic way (Python 3)
python3-yenc (0.4.0-8+b3)
yEnc encoding/decoding extension (Python 3)
python3-ykman (4.0.0~a1-4)
Python 3 library for configuring a YubiKey
python3-yoyo (7.3.1+dfsg1-1)
database schema migration library
python3-yt (3.6.1-1+b2)
Framework for analyzing and visualizing simulation data (Python 3)
python3-yubico (1.3.3-0.3)
Python3 library for talking to Yubico YubiKeys
python3-yubikey-manager (4.0.0~a1-4)
Python 3 library for configuring a YubiKey — transitional package
python3-z3 (4.8.10-1)
theorem prover from Microsoft Research - Python 3 bindings
python3-zake (0.2.2-3)
provides a set of testing utilities for the kazoo library - Python 3.x
python3-zaqar-ui (8.0.0-2)
OpenStack Queueing as a Service - Dashboard plugin
python3-zaqarclient (2.0.1-2)
OpenStack Zaqar Queueing API, client and library - Python 3.x
python3-zarr (2.6.1+ds-1)
chunked, compressed, N-dimensional arrays for Python
python3-zbar (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (Python bindings)
python3-zc.buildout (2.13.2-4)
system for managing development buildouts
python3-zc.customdoctests (1.0.1-2)
Use doctest with other languages (Python 3)
python3-zc.lockfile (2.0-1)
Basic inter-process locks for Python 3
python3-zeep (4.0.0-1)
Modern SOAP client library (Python 3)
python3-zeitgeist (1.0.3-4)
event logging framework - Python 3 bindings
python3-zeroc-ice (3.7.5-2)
Python 3 extension for Ice
python3-zeroconf (0.26.1-1)
Pure Python implementation of multicast DNS service discovery (Python3)
python3-zfec (1.5.2-2.1+b3)
fast erasure codec, with Python 3 bindings
python3-zict (2.0.0-1)
Mutable mapping tools for Python 3
python3-zipp (1.0.0-3)
pathlib-compatible Zipfile object wrapper - Python 3.x
python3-zipstream (1.1.4-1)
Zipfile generator
python3-zmq (20.0.0-1+b1)
Python3 bindings for 0MQ library
python3-zmq-dbg (20.0.0-1+b1)
Python3 bindings for 0MQ library - debugging files
python3-zodbpickle (1.0-3+b4)
Fork of pickle module for uniform serialization between Python 2 and 3 (Py3)
python3-zookeeper (3.4.13-6+deb11u1)
Python bindings for zookeeper
python3-zope
本虛擬套件由這些套件填實: python3-zope.interface
python3-zope.component (4.3.0-3)
Zope Component Architecture
python3-zope.configuration (4.4.0-1)
Zope Configuration Markup Language (ZCML)
python3-zope.deprecation (4.4.0-4)
Zope Deprecation Infrastructure
python3-zope.event (4.4-3)
Very basic event publishing system
python3-zope.exceptions (4.4-1)
Zope exceptions for Python 3
python3-zope.hookable (5.0.1-1+b2)
Hookable object support
python3-zope.i18nmessageid (5.0.1-1+b2)
Message Identifiers for internationalization
python3-zope.interface (5.2.0-1)
Interfaces for Python3
python3-zope.interface-dbg (5.2.0-1)
Interfaces for Python3 (debug extension)
python3-zope.location (4.2-2)
Tools for working with object locations
python3-zope.proxy (4.3.5-2)
Generic transparent proxies for Python
python3-zope.schema (6.0.0-1)
zope.interface extension for defining data schemas
python3-zope.security (5.1.1-1+b1)
Zope Security Framework
python3-zope.testing (4.9-1)
Zope testing helpers for Python 3
python3-zope.testrunner (5.2-3)
Flexible test runner with layer support for Python 3
python3-zstd (1.4.5.1-2+b2)
python bindings to Yann Collet ZSTD compression library
python3-zunclient (4.1.1-2)
OpenStack container management service API client - Python 3.x
python3-zvmcloudconnector (1.4.1-4)
z/VM Development SDK for managing z/VM - Python 3
python3-zxcvbn (4.4.28-2)
Realistic password strength estimator - Python3 module
python3-zzzeeksphinx (1.1.6-1)
Zzzeek's Sphinx layout and utilities
python3.9 (3.9.2-1)
Interactive high-level object-oriented language (version 3.9)
python3.9-adios
本虛擬套件由這些套件填實: python3-adios
python3.9-apertium-core
本虛擬套件由這些套件填實: python3-apertium-core
python3.9-apertium-lex-tools
本虛擬套件由這些套件填實: python3-apertium-lex-tools
python3.9-apriltag
本虛擬套件由這些套件填實: python3-apriltag
python3.9-apt
本虛擬套件由這些套件填實: python3-apt
python3.9-apt-dbg
本虛擬套件由這些套件填實: python3-apt-dbg
python3.9-audit
本虛擬套件由這些套件填實: python3-audit
python3.9-avogadro
本虛擬套件由這些套件填實: python3-avogadro
python3.9-blist
本虛擬套件由這些套件填實: python3-blist
python3.9-bluez
本虛擬套件由這些套件填實: python3-bluez
python3.9-breezy
本虛擬套件由這些套件填實: python3-breezy
python3.9-broker
本虛擬套件由這些套件填實: python3-broker
python3.9-bsddb3
本虛擬套件由這些套件填實: python3-bsddb3
python3.9-bsddb3-dbg
本虛擬套件由這些套件填實: python3-bsddb3-dbg
python3.9-btrees
本虛擬套件由這些套件填實: python3-btrees
python3.9-cairo
本虛擬套件由這些套件填實: python3-cairo
python3.9-cairo-dbg
本虛擬套件由這些套件填實: python3-cairo-dbg
python3.9-cap-ng
本虛擬套件由這些套件填實: python3-cap-ng
python3.9-carquinyol
本虛擬套件由這些套件填實: python3-carquinyol
python3.9-casacore
本虛擬套件由這些套件填實: python3-casacore
python3.9-cbor2
本虛擬套件由這些套件填實: python3-cbor2
python3.9-cg3
本虛擬套件由這些套件填實: python3-cg3
python3.9-cmor
本虛擬套件由這些套件填實: python3-cmor
python3.9-comedilib
本虛擬套件由這些套件填實: python3-comedilib
python3.9-corepywrap
本虛擬套件由這些套件填實: python3-corepywrap
python3.9-cracklib
本虛擬套件由這些套件填實: python3-cracklib
python3.9-csound
本虛擬套件由這些套件填實: python3-csound
python3.9-cups
本虛擬套件由這些套件填實: python3-cups
python3.9-dbg (3.9.2-1)
Debug Build of the Python Interpreter (version 3.9)
python3.9-dbus
本虛擬套件由這些套件填實: python3-dbus
python3.9-dev (3.9.2-1)
Header files and a static library for Python (v3.9)
python3.9-dipy-lib
本虛擬套件由這些套件填實: python3-dipy-lib
python3.9-distutils
本虛擬套件由這些套件填實: python3-distutils
python3.9-doc (3.9.2-1)
Documentation for the high-level object-oriented language Python (v3.9)
python3.9-exactimage
本虛擬套件由這些套件填實: python3-exactimage
python3.9-examples (3.9.2-1)
Examples for the Python language (v3.9)
python3.9-fitz
本虛擬套件由這些套件填實: python3-fitz
python3.9-ftdi1
本虛擬套件由這些套件填實: python3-ftdi1
python3.9-full (3.9.2-1)
Python Interpreter with complete class library (version 3.9)
python3.9-gdbm
本虛擬套件由這些套件填實: python3-gdbm
python3.9-gdl
本虛擬套件由這些套件填實: python3-gdl
python3.9-gensio
本虛擬套件由這些套件填實: python3-gensio
python3.9-getfem++
本虛擬套件由這些套件填實: python3-getfem++
python3.9-gevent
本虛擬套件由這些套件填實: python3-gevent
python3.9-gevent-dbg
本虛擬套件由這些套件填實: python3-gevent-dbg
python3.9-gmpy2
本虛擬套件由這些套件填實: python3-gmpy2
python3.9-gpg
本虛擬套件由這些套件填實: python3-gpg
python3.9-greenlet
本虛擬套件由這些套件填實: python3-greenlet
python3.9-greenlet-dbg
本虛擬套件由這些套件填實: python3-greenlet-dbg
python3.9-gssapi
本虛擬套件由這些套件填實: python3-gssapi
python3.9-gyoto
本虛擬套件由這些套件填實: python3-gyoto
python3.9-hamlib
本虛擬套件由這些套件填實: python3-hamlib
python3.9-hawkey
本虛擬套件由這些套件填實: python3-hawkey
python3.9-hid
本虛擬套件由這些套件填實: python3-hid
python3.9-http-parser
本虛擬套件由這些套件填實: python3-http-parser
python3.9-hunspell
本虛擬套件由這些套件填實: python3-hunspell
python3.9-icu
本虛擬套件由這些套件填實: python3-icu
python3.9-icu-dbg
本虛擬套件由這些套件填實: python3-icu-dbg
python3.9-igraph
本虛擬套件由這些套件填實: python3-igraph
python3.9-imobiledevice
本虛擬套件由這些套件填實: python3-imobiledevice
python3.9-jpy
本虛擬套件由這些套件填實: python3-jpy
python3.9-jpype
本虛擬套件由這些套件填實: python3-jpype
python3.9-kdtree
本虛擬套件由這些套件填實: python3-kdtree
python3.9-kdtree-dbg
本虛擬套件由這些套件填實: python3-kdtree-dbg
python3.9-kerberos
本虛擬套件由這些套件填實: python3-kerberos
python3.9-kyotocabinet
本虛擬套件由這些套件填實: python3-kyotocabinet
python3.9-ldap
本虛擬套件由這些套件填實: python3-ldap
python3.9-ldap-dbg
本虛擬套件由這些套件填實: python3-ldap-dbg
python3.9-ldns
本虛擬套件由這些套件填實: python3-ldns
python3.9-lib2to3
本虛擬套件由這些套件填實: python3-lib2to3
python3.9-libcomps
本虛擬套件由這些套件填實: python3-libcomps
python3.9-libdnf
本虛擬套件由這些套件填實: python3-libdnf
python3.9-libnatpmp
本虛擬套件由這些套件填實: python3-libnatpmp
python3.9-librtmp
本虛擬套件由這些套件填實: python3-librtmp
python3.9-libtiff
本虛擬套件由這些套件填實: python3-libtiff
python3.9-llfuse
本虛擬套件由這些套件填實: python3-llfuse
python3.9-lttoolbox
本虛擬套件由這些套件填實: python3-lttoolbox
python3.9-lxml
本虛擬套件由這些套件填實: python3-lxml
python3.9-lxml-dbg
本虛擬套件由這些套件填實: python3-lxml-dbg
python3.9-m2crypto
本虛擬套件由這些套件填實: python3-m2crypto
python3.9-mailutils
本虛擬套件由這些套件填實: python3-mailutils
python3.9-minimal (3.9.2-1)
Minimal subset of the Python language (version 3.9)
python3.9-miniupnpc
本虛擬套件由這些套件填實: python3-miniupnpc
python3.9-mlpy-lib
本虛擬套件由這些套件填實: python3-mlpy-lib
python3.9-mlt
本虛擬套件由這些套件填實: python3-mlt
python3.9-mysqldb
本虛擬套件由這些套件填實: python3-mysqldb
python3.9-netgen
本虛擬套件由這些套件填實: python3-netgen
python3.9-newt
本虛擬套件由這些套件填實: python3-newt
python3.9-nlopt
本虛擬套件由這些套件填實: python3-nlopt
python3.9-nordugrid-arc
本虛擬套件由這些套件填實: python3-nordugrid-arc
python3.9-nss
本虛擬套件由這些套件填實: python3-nss
python3.9-numpy
本虛擬套件由這些套件填實: python3-numpy
python3.9-ogre-1.12
本虛擬套件由這些套件填實: python3-ogre-1.12
python3.9-onewire
本虛擬套件由這些套件填實: python3-onewire
python3.9-onnx
本虛擬套件由這些套件填實: python3-onnx
python3.9-open3d
本虛擬套件由這些套件填實: python3-open3d
python3.9-openbabel
本虛擬套件由這些套件填實: python3-openbabel
python3.9-openvdb
本虛擬套件由這些套件填實: python3-openvdb
python3.9-parted
本虛擬套件由這些套件填實: python3-parted
python3.9-pcl
本虛擬套件由這些套件填實: python3-pcl
python3.9-pgmagick
本虛擬套件由這些套件填實: python3-pgmagick
python3.9-pikepdf
本虛擬套件由這些套件填實: python3-pikepdf
python3.9-pivy
本虛擬套件由這些套件填實: python3-pivy
python3.9-plplot
本虛擬套件由這些套件填實: python3-plplot
python3.9-plplot-qt
本虛擬套件由這些套件填實: python3-plplot-qt
python3.9-pmemkv
本虛擬套件由這些套件填實: python3-pmemkv
python3.9-portio
本虛擬套件由這些套件填實: python3-portio
python3.9-prelude
本虛擬套件由這些套件填實: python3-prelude
python3.9-preludedb
本虛擬套件由這些套件填實: python3-preludedb
python3.9-pyalsa
本虛擬套件由這些套件填實: python3-pyalsa
python3.9-pyao
本虛擬套件由這些套件填實: python3-pyao
python3.9-pyao-dbg
本虛擬套件由這些套件填實: python3-pyao-dbg
python3.9-pyaudio
本虛擬套件由這些套件填實: python3-pyaudio
python3.9-pycangjie
本虛擬套件由這些套件填實: python3-pycangjie
python3.9-pycifrw
本虛擬套件由這些套件填實: python3-pycifrw
python3.9-pycodcif
本虛擬套件由這些套件填實: python3-pycodcif
python3.9-pyfuse3
本虛擬套件由這些套件填實: python3-pyfuse3
python3.9-pygresql
本虛擬套件由這些套件填實: python3-pygresql
python3.9-pyisomd5sum
本虛擬套件由這些套件填實: python3-pyisomd5sum
python3.9-pylibacl
本虛擬套件由這些套件填實: python3-pylibacl
python3.9-pylibacl-dbg
本虛擬套件由這些套件填實: python3-pylibacl-dbg
python3.9-pymad
本虛擬套件由這些套件填實: python3-pymad
python3.9-pymad-dbg
本虛擬套件由這些套件填實: python3-pymad-dbg
python3.9-pyodbc
本虛擬套件由這些套件填實: python3-pyodbc
python3.9-pyode
本虛擬套件由這些套件填實: python3-pyode
python3.9-pyraf
本虛擬套件由這些套件填實: python3-pyraf
python3.9-pyverbs
本虛擬套件由這些套件填實: python3-pyverbs
python3.9-pywatchman
本虛擬套件由這些套件填實: python3-pywatchman
python3.9-pyx
本虛擬套件由這些套件填實: python3-pyx
python3.9-pyxattr
本虛擬套件由這些套件填實: python3-pyxattr
python3.9-pyxattr-dbg
本虛擬套件由這些套件填實: python3-pyxattr-dbg
python3.9-qpid-proton
本虛擬套件由這些套件填實: python3-qpid-proton
python3.9-qrencode
本虛擬套件由這些套件填實: python3-qrencode
python3.9-radix
本虛擬套件由這些套件填實: python3-radix
python3.9-rdkit
本虛擬套件由這些套件填實: python3-rdkit
python3.9-renderpm
本虛擬套件由這些套件填實: python3-renderpm
python3.9-reportlab-accel
本虛擬套件由這些套件填實: python3-reportlab-accel
python3.9-roslz4
本虛擬套件由這些套件填實: python3-roslz4
python3.9-rpm
本虛擬套件由這些套件填實: python3-rpm
python3.9-rrdtool
本虛擬套件由這些套件填實: python3-rrdtool
python3.9-rrdtool-dbg
本虛擬套件由這些套件填實: python3-rrdtool-dbg
python3.9-samba
本虛擬套件由這些套件填實: python3-samba
python3.9-sane
本虛擬套件由這些套件填實: python3-sane
python3.9-sanlock
本虛擬套件由這些套件填實: python3-sanlock
python3.9-selinux
本虛擬套件由這些套件填實: python3-selinux
python3.9-sendfile-dbg
本虛擬套件由這些套件填實: python3-sendfile-dbg
python3.9-sfml
本虛擬套件由這些套件填實: python3-sfml
python3.9-silo
本虛擬套件由這些套件填實: python3-silo
python3.9-simgrid
本虛擬套件由這些套件填實: python3-simgrid
python3.9-skimage-lib
本虛擬套件由這些套件填實: python3-skimage-lib
python3.9-sklearn-lib
本虛擬套件由這些套件填實: python3-sklearn-lib
python3.9-slepc4py-64-complex3.14
本虛擬套件由這些套件填實: python3-slepc4py-64-complex3.14
python3.9-slepc4py-64-real3.14
本虛擬套件由這些套件填實: python3-slepc4py-64-real3.14
python3.9-slepc4py-complex3.14
本虛擬套件由這些套件填實: python3-slepc4py-complex3.14
python3.9-slepc4py-real3.14
本虛擬套件由這些套件填實: python3-slepc4py-real3.14
python3.9-smbc
本虛擬套件由這些套件填實: python3-smbc
python3.9-smbus
本虛擬套件由這些套件填實: python3-smbus
python3.9-spglib
本虛擬套件由這些套件填實: python3-spglib
python3.9-sss
本虛擬套件由這些套件填實: python3-sss
python3.9-subversion
本虛擬套件由這些套件填實: python3-subversion
python3.9-subvertpy
本虛擬套件由這些套件填實: python3-subvertpy
python3.9-sword
本虛擬套件由這些套件填實: python3-sword
python3.9-talloc
本虛擬套件由這些套件填實: python3-talloc
python3.9-tango
本虛擬套件由這些套件填實: python3-tango
python3.9-tdb
本虛擬套件由這些套件填實: python3-tdb
python3.9-tinyobjloader
本虛擬套件由這些套件填實: python3-tinyobjloader
python3.9-tk
本虛擬套件由這些套件填實: python3-tk
python3.9-torch
本虛擬套件由這些套件填實: python3-torch
python3.9-uamqp
本虛擬套件由這些套件填實: python3-uamqp
python3.9-urwid
本虛擬套件由這些套件填實: python3-urwid
python3.9-utmp
本虛擬套件由這些套件填實: python3-utmp
python3.9-venv (3.9.2-1)
Interactive high-level object-oriented language (pyvenv binary, version 3.9)
python3.9-volume-key
本虛擬套件由這些套件填實: python3-volume-key
python3.9-vtk-dicom
本虛擬套件由這些套件填實: python3-vtk-dicom
python3.9-wheezy.template
本虛擬套件由這些套件填實: python3-wheezy.template
python3.9-wxgtk-media4.0
本虛擬套件由這些套件填實: python3-wxgtk-media4.0
python3.9-wxgtk-webview4.0
本虛擬套件由這些套件填實: python3-wxgtk-webview4.0
python3.9-wxgtk4.0
本虛擬套件由這些套件填實: python3-wxgtk4.0
python3.9-xapian
本虛擬套件由這些套件填實: python3-xapian
python3.9-xattr
本虛擬套件由這些套件填實: python3-xattr
python3.9-xmmsclient
本虛擬套件由這些套件填實: python3-xmmsclient
python3.9-xrootd
本虛擬套件由這些套件填實: python3-xrootd
python3.9-yara
本虛擬套件由這些套件填實: python3-yara
python3.9-yaramod
本虛擬套件由這些套件填實: python3-yaramod
python3.9-zodbpickle
本虛擬套件由這些套件填實: python3-zodbpickle
python3.9-zope.interface
本虛擬套件由這些套件填實: python3-zope.interface
pythonpy (0.4.11b-3)
'python -c', with tab completion and shorthand
pytidylib-doc (0.3.2~dfsg-6)
Python wrapper for HTML Tidy (tidylib) documentation
pytrainer (2.0.2-1)
desktop application for logging sport activities
pyvcf (0.6.8+git20170215.476169c-7)
helper scripts for Variant Call Format (VCF) parser
pywps (4.2.11-1)
Implementation of OGC's Web Processing Service
pywps-doc (4.2.11-1)
Implementation of OGC's Web Processing Service - Documentation
pywps-wsgi (4.2.11-1)
Implementation of OGC's Web Processing Service - WSGI example
pyxplot (0.9.2-12)
data plotting program producing publication-quality output
pyxplot-doc (0.9.2-12)
documentation for pyxplot data plotting program
pyzfs-doc (2.0.3-9+deb11u1) [contrib]
wrapper for libzfs_core C library (documentation)
pyzo (4.11.2-1)
interactive editor for scientific Python
pyzo-doc (4.11.2-1)
documentation for Pyzo
pyzor (1:1.0.0-6)
spam-catcher using a collaborative filtering network
pyzor-doc (1:1.0.0-6)
spam-catcher using a collaborative filtering network (documentation)
q-text-as-data
本虛擬套件由這些套件填實: python3-q-text-as-data
q2-alignment (2020.11.1-2)
QIIME 2 plugin for generating and manipulating alignments
q2-cutadapt (2020.11.1-1)
QIIME 2 plugin to work with adapters in sequence data
q2-dada2 (2020.11.1-3)
QIIME 2 plugin to work with adapters in sequence data
q2-demux (2020.11.1-1)
QIIME 2 plugin for demultiplexing of sequence reads
q2-feature-classifier (2020.11.1-2)
QIIME 2 plugin supporting taxonomic classification
q2-feature-table (2020.11.1+dfsg-1)
QIIME 2 plugin supporting operations on feature tables
q2-metadata (2020.11.1+dfsg-1)
QIIME 2 plugin for working with and visualizing Metadata
q2-quality-control (2020.11.1-3)
QIIME 2 plugin for quality assurance of feature and sequence data
q2-quality-filter (2020.11.1-2)
QIIME2 plugin for PHRED-based filtering and trimming
q2-sample-classifier (2020.11.1-3)
QIIME 2 plugin for machine learning prediction of sample data
q2-taxa (2020.11.1+dfsg-2)
QIIME 2 plugin for working with feature taxonomy annotations
q2-types (2020.11.1-1)
QIIME 2 plugin defining types for microbiome analysis
q2cli (2020.11.1-1)
Click-based command line interface for QIIME 2
q2templates (2020.11.1+dfsg-1)
Design template package for QIIME 2 Plugins
q4wine (1.3.12-1)
Qt GUI for WINE
qabcs (1.0.2-4)
educational software to learn the alphabet and get familiar with a keyboard
qabcs-data (1.0.2-4)
data files for qabcs
qalc (2.8.2-1.1)
Powerful and easy to use command line calculator
qapt-batch (3.0.5-1)
Batch package manager for KDE
qapt-deb-installer (3.0.5-1)
tool for installing deb files
qapt-utils (3.0.5-1)
complete collection of QApt package management utilities
qasconfig (0.23.0-1)
ALSA configuration browser
qashctl (0.23.0-1)
mixer for ALSA's High level Control Interface
qasmixer (0.23.0-1)
ALSA mixer for the desktop
qastools-common (0.23.0-1)
QasTools common files
qbittorrent (4.2.5-0.1)
bittorrent client based on libtorrent-rasterbar with a Qt5 GUI
qbittorrent-dbg (4.2.5-0.1)
debug symbols for qbittorrent and qbittorrent-nox
qbittorrent-nox (4.2.5-0.1)
bittorrent client based on libtorrent-rasterbar (without X support)
qbrew (0.4.1-8+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.4.1-8 [armel, armhf])
Homebrewer's recipe calculator
qbrz (0.23.2+bzr1640-1)
Qt5 frontend for Breezy
qbs (1.18.0-5)
cross-platform build tool
qbs-common (1.18.0-5)
Qbs static files
qbs-dev (1.18.0-5)
Qbs development files
qbs-doc (1.18.0-5)
Qbs documentation
qbs-doc-html (1.18.0-5)
Qbs HTML documentation
qbs-examples (1.18.0-5)
examples for Qbs build tool
qc-pipeline
本虛擬套件由這些套件填實: qcumber
qca-qt5-2-utils (2.3.2-2)
command line tool for the Qt Cryptographic Architecture
qcalcfilehash (1.0.7+git20200816.1eb8770-2)
graphical utility for calculation and verification of hash sums
qcat (1.1.0-2)
demultiplexing Oxford Nanopore reads from FASTQ files
qcat-examples (1.1.0-2)
demultiplexing Oxford Nanopore reads from FASTQ files (examples)
qcomicbook (0.9.1-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.1-2 [armel, armhf])
qt viewer for comic book archives (cbr/cbz/cba/cbg/cbb)
qconf (2.5-1)
nice configure script for qmake-based projects
qcontrol (0.5.6-4)
hardware control for QNAP Turbo Station devices
qcontrol-udeb (0.5.6-4)
hardware control for QNAP Turbo Station devices
qcumber (2.3.0-2)
quality control of genomic sequences
qdacco (1.1.2-1)
Offline Catalan <-> English dictionary desktop application
qdbm-cgi (1.8.78-10)
QDBM Database CGI commands
qdbm-doc (1.8.78-10)
QDBM Database Documentation
qdbm-util (1.8.78-10)
QDBM Database Utilities
qdbus (4:5.15.2-5)
Qt 5 D-Bus tool — dummy transitional package
qdbus-qt5 (5.15.2-5)
Qt 5 D-Bus tool
qdirstat (1.7-2)
Qt-based directory statistics
qdoc-qt5 (5.15.2-5)
Qt 5 qdoc tool
qelectrotech (1:0.7.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1:0.7.0-1 [armel, armhf])
Electric schematic editor
qelectrotech-data (1:0.7.0-1)
symbols needed for qelectrotech
qelectrotech-examples (1:0.7.0-1)
examples files for qelectrotech
qemu (1:5.2+dfsg-11+deb11u3)
fast processor emulator, dummy package
qemu-block-extra (1:5.2+dfsg-11+deb11u3)
extra block backend modules for qemu-system and qemu-utils
qemu-efi (2020.11-2+deb11u2) [security]
transitional dummy package
qemu-efi-aarch64 (2020.11-2+deb11u2) [security]
UEFI firmware for 64-bit ARM virtual machines
qemu-efi-arm (2020.11-2+deb11u2) [security]
UEFI firmware for 32-bit ARM virtual machines
qemu-guest-agent (1:5.2+dfsg-11+deb11u3)
Guest-side qemu-system agent
qemu-keymaps
本虛擬套件由這些套件填實: qemu-system-data
qemu-kvm
本虛擬套件由這些套件填實: qemu-system-arm, qemu-system-ppc, qemu-system-x86
qemu-skiboot
本虛擬套件由這些套件填實: qemu-system-data
qemu-slof
本虛擬套件由這些套件填實: qemu-system-data
qemu-system (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries
qemu-system-aarch64
本虛擬套件由這些套件填實: qemu-system-arm
qemu-system-alpha
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-arm (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (arm)
qemu-system-avr
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-common (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (common files)
qemu-system-cris
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-data (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation (data files)
qemu-system-gui (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (user interface and audio support)
qemu-system-hppa
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-i386
本虛擬套件由這些套件填實: qemu-system-x86
qemu-system-m68k
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-microblaze
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-microblazeel
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-mips (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (mips)
qemu-system-mips64
本虛擬套件由這些套件填實: qemu-system-mips
qemu-system-mips64el
本虛擬套件由這些套件填實: qemu-system-mips
qemu-system-mipsel
本虛擬套件由這些套件填實: qemu-system-mips
qemu-system-misc (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (miscellaneous)
qemu-system-moxie
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-nios2
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-or1k
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-ppc (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (ppc)
qemu-system-ppc64
本虛擬套件由這些套件填實: qemu-system-ppc
qemu-system-riscv32
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-riscv64
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-rx
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-s390x
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-sh4
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-sh4eb
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-sparc (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (sparc)
qemu-system-sparc64
本虛擬套件由這些套件填實: qemu-system-sparc
qemu-system-tricore
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-x86 (1:5.2+dfsg-11+deb11u3)
QEMU full system emulation binaries (x86)
qemu-system-x86-64
本虛擬套件由這些套件填實: qemu-system-x86
qemu-system-xtensa
本虛擬套件由這些套件填實: qemu-system-misc
qemu-system-xtensaeb
本虛擬套件由這些套件填實: qemu-system-misc
qemu-user (1:5.2+dfsg-11+deb11u3)
QEMU user mode emulation binaries
qemu-user-binfmt (1:5.2+dfsg-11+deb11u3)
QEMU user mode binfmt registration for qemu-user
qemu-user-binfmt
本虛擬套件由這些套件填實: qemu-user-static
qemu-user-static (1:5.2+dfsg-11+deb11u3)
QEMU user mode emulation binaries (static version)
qemu-utils (1:5.2+dfsg-11+deb11u3)
QEMU utilities
qemubuilder (0.89)
pbuilder using QEMU as backend
qevercloud-doc (3.0.3+ds-6)
QEverCloud library documentation
qfits-tools (6.2.0-8+b2)
FITS manipulation tools
qfitsview (4.0+dfsg-3)
FITS file viewer based on DPUSER
qflow (1.3.17+dfsg.1-2)
Open-Source Digital Synthesis Flow
qflow-tech-osu018 (1.3.17+dfsg.1-2)
Technology files needed for qflow for osu018
qflow-tech-osu035 (1.3.17+dfsg.1-2)
Technology files needed for qflow for osu035
qflow-tech-osu050 (1.3.17+dfsg.1-2)
Technology files needed for qflow for osu050
qgis (3.10.14+dfsg-1)
Geographic Information System (GIS)
qgis-api-doc (3.10.14+dfsg-1)
QGIS API documentation
qgis-common (3.10.14+dfsg-1)
QGIS - architecture-independent data
qgis-dev
本虛擬套件由這些套件填實: libqgis-dev
qgis-mapserver
本虛擬套件由這些套件填實: qgis-server
qgis-plugin-grass (3.10.14+dfsg-1)
GRASS plugin for QGIS
qgis-plugin-grass-common (3.10.14+dfsg-1)
GRASS plugin for QGIS - architecture-independent data
qgis-provider-grass (3.10.14+dfsg-1)
GRASS provider for QGIS
qgis-providers (3.10.14+dfsg-1)
collection of data providers to QGIS
qgis-providers-common (3.10.14+dfsg-1)
collection of data providers to QGIS - architecture-independent files
qgis-server (3.10.14+dfsg-1)
QGIS server providing various OGC services
qgis3-survex-import (1.2-1)
QGIS3 plugin to read survex 3d files, for cave surveying
qgit (2.9-2)
Qt application for viewing GIT trees
qgo (2.1~git-20180413-1+b2 [amd64], 2.1~git-20180413-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1~git-20180413-1 [armel, armhf])
Go client and full featured SGF editor
qhelpgenerator-qt5 (5.15.2-5)
Qt 5 qhelpgenerator tool
qhimdtransfer (0.9.16-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.16-2 [armel, armhf])
Transfer software for HiMD Walkman
qhull-bin (2020.2-3)
calculate convex hulls and related structures (utilities)
qiime (2020.11.1-1)
Quantitative Insights Into Microbial Ecology
qimgv (0.9.1-2+b1)
Qt5 image viewer with optional video support
qiv (2.3.2-2)
Quick image viewer for X
qjackctl (0.9.1-1)
User interface for controlling the JACK sound server
qjackrcd (1.2.0-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.2.0-2 [armel, armhf])
Qt4 application to record JACK server outputs
qjoypad (4.3.1-2)
map gamepad/joystick events to mouse/keyboard event
qla-tools (20140529-3)
QLogic Linux tools for work with QLogic HBAs
qlcplus (4.12.3-3)
Control DMX or analog lighting systems
qlcplus-data (4.12.3-3)
Control DMX or analog lighting systems (data files)
qlipper (1:5.1.2-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1:5.1.2-1 [armel, armhf])
Lightweight and cross-platform clipboard history applet
(0.92-1+b2 [amd64], 0.92-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.92-1 [armel, armhf])
Language using turtle graphics famous for teaching kids
qmapshack (1.15.2-1+b1)
GPS mapping (GeoTiff and vector) and GPSr management
qmc (0.94-3.1)
Quine McClusky Simplification Tool
qmenu (5.0.2-2+b3)
Tool to create simple menus for terminal interfaces
qmenumodel-qml (0.8.0-2)
Qt binding for GMenuModel - QML module
qmidiarp (0.6.5-3)
MIDI arpeggiator for ALSA
qmidinet (0.9.1-1)
MIDI Network Gateway via UDP/IP Multicast
qmidiroute (0.4.0-1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.4.0-1+b1 [armel, armhf])
MIDI event router and filter
qml (5.15.2+dfsg-6)
Qt 5 QML viewer
qml-module-gsettings1.0 (0.2-4)
QML Bindings for GSettings
qml-module-io-thp-pyotherside (1.5.9-2+b3)
asynchronous Python 3 Bindings for Qt 5 (QML plugin)
qml-module-lomiri-downloadmanager (0.1.0-8)
Lomiri Download Manager QML Plugin
qml-module-lomiri-downloadmanager-doc (0.1.0-8)
Lomiri Download Manager QML Plugin - documentation files
qml-module-org-kde-activities (5.78.0-2)
provides integration of QML and KDE Frameworks
qml-module-org-kde-analitza (4:20.12.0-2)
library to work with mathematical expressions - QML library
qml-module-org-kde-bluezqt (5.78.0-3)
QML wrapper for bluez
qml-module-org-kde-charts (4:20.12.0-1)
QtQuick plugin to render interactive charts
qml-module-org-kde-draganddrop (5.78.0-2)
provides integration of QML and KDE frameworks - draganddrop
qml-module-org-kde-games-core (4:20.12.1-1)
kde-games-core QtDeclarative QML support
qml-module-org-kde-kaccounts (4:20.12.1-1)
KAccounts QtDeclarative QML Support
qml-module-org-kde-kcm (5.78.0-2)
provides integration of QML and KDE Frameworks - kcm
qml-module-org-kde-kconfig (5.78.0-2)
provides integration of QML and KDE Frameworks - kconfig
qml-module-org-kde-kcoreaddons (5.78.0-2)
provides integration of QML and KDE frameworks - kcoreaddons
qml-module-org-kde-kholidays (1:5.78.0-2)
holidays calculation library - qml files
qml-module-org-kde-kio (5.78.0-2)
provides integration of QML and KDE Frameworks - kio
qml-module-org-kde-kirigami2 (5.78.0-3)
set of QtQuick components targeted for mobile use
qml-module-org-kde-kitemmodels (5.78.0-2)
additional item/view models for Qt Itemview (QML Bindings)
qml-module-org-kde-kquickcontrols (5.78.0-2)
provides integration of QML and KDE frameworks - kquickcontrols
qml-module-org-kde-kquickcontrolsaddons (5.78.0-2)
provides integration of QML and KDE Frameworks - kquickcontrolsaddons
qml-module-org-kde-ksysguard (4:5.20.5-1)
KSysGuard QtDeclarative QML Support
qml-module-org-kde-kwindowsystem (5.78.0-2)
provides integration of QML and KDE frameworks - kwindowsystem
qml-module-org-kde-newstuff (5.78.0-4+deb11u1)
Support for downloading application assets from the network.
qml-module-org-kde-okular (4:20.12.3-2)
mobile support for Okular - QML modules
qml-module-org-kde-people (5.78.0-2)
framework providing unified access to contacts aggregated by person
qml-module-org-kde-prison (5.78.0-2)
barcode API for Qt
qml-module-org-kde-purpose (5.78.0-2)
abstraction to provide and leverage actions of a specific kind, qml bindings
qml-module-org-kde-qqc2desktopstyle (5.78.0-2)
Qt Quick Controls 2: Desktop Style
qml-module-org-kde-quickcharts (5.78.0-2)
Quick Charts
qml-module-org-kde-runnermodel (5.78.0-3)
Used to write plugins loaded at runtime called "Runners".
qml-module-org-kde-solid (5.78.0-2)
Qt library to query and control hardware plugin
qml-module-org-kde-telepathy (20.08.0-1)
kde-telepathy QtDeclarative QML support
qml-module-org-kde-userfeedback (1.0.0-3)
user feedback for applications - QML module
qml-module-org-nemomobile-mpris (1.0.3-1)
Qt 5 MPRIS interface and adaptor QML module
qml-module-qmltermwidget (1.1.1+git20200723-2.1)
QML port of qtermwidget
qml-module-qt-labs-animation (5.15.2+dfsg-6)
Qt 5 Qt.labs.animation QML module
qml-module-qt-labs-calendar (5.15.2+dfsg-2)
Qt 5 qt.labs.calendar QML module
qml-module-qt-labs-folderlistmodel (5.15.2+dfsg-6)
Qt 5 folderlistmodel QML module
qml-module-qt-labs-location (5.15.2+dfsg-2)
Qt Location Labs module
qml-module-qt-labs-platform (5.15.2+dfsg-2)
Qt 5 qt.labs.platform QML module
qml-module-qt-labs-qmlmodels (5.15.2+dfsg-6)
Qt 5 Qt.labs.qmlmodels QML module
qml-module-qt-labs-settings (5.15.2+dfsg-6)
Qt 5 settings QML module
qml-module-qt-labs-sharedimage (5.15.2+dfsg-6)
Qt 5 SharedImage QML module
qml-module-qt-labs-wavefrontmesh (5.15.2+dfsg-6)
Qt 5 Qt.labs.wavefrontmesh QML module
qml-module-qt-websockets (5.15.2-2)
Qt 5 Web Sockets compatibility QML module
qml-module-qt3d (5.15.2+dfsg-2)
Qt 5 3D QML module
qml-module-qtaudioengine (5.15.2-3)
Qt 5 AudioEngine QML module
qml-module-qtav (1.13.0+ds-3+b2)
QtAV QML module
qml-module-qtbluetooth (5.15.2-2)
Qt Connectivity module - Bluetooth QML module
qml-module-qtcharts (5.15.2-2)
Qt charts QML module
qml-module-qtcontacts (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt 5 Contacts QML module
qml-module-qtdatavisualization (5.15.2-2)
Qt 5 Data Visualization QML module
qml-module-qtfeedback (5.0~git20180329.a14bd0bb-3)
Qt 5 Feedback QML module
qml-module-qtgraphicaleffects (5.15.2-2)
Qt 5 Graphical Effects module
qml-module-qtgstreamer (1.2.0-5.1)
QML plugins from QtGStreamer - Qt 5 build
qml-module-qtlocation (5.15.2+dfsg-2)
Qt Location QML module
qml-module-qtmir (0.6.1-7)
Qt/QML module for Lomiri specific Mir APIs
qml-module-qtmultimedia (5.15.2-3)
Qt 5 Multimedia QML module
qml-module-qtnfc (5.15.2-2)
Qt Connectivity module - NFC QML module
qml-module-qtorganizer (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt 5 Organizer QML module
qml-module-qtpositioning (5.15.2+dfsg-2)
Qt Positioning QML module
qml-module-qtpublishsubscribe (5.0~git20181230.e3332ee3-4+b3)
Qt 5 Publish Subscribe QML module
qml-module-qtqml (5.15.2+dfsg-6)
Qt 5 QtQml QML module
qml-module-qtqml-models2 (5.15.2+dfsg-6)
Qt 5 Models2 QML module
qml-module-qtqml-statemachine (5.15.2+dfsg-6)
Qt 5 State Machine QML module
qml-module-qtqml-workerscript2 (5.15.2+dfsg-6)
Qt 5 QML Worker Script 2 QML module
qml-module-qtquick-controls (5.15.2-2)
Qt 5 Quick Controls QML module
qml-module-qtquick-controls2 (5.15.2+dfsg-2)
Qt 5 Qt Quick Controls 2 QML module
qml-module-qtquick-dialogs (5.15.2-2)
Qt 5 Dialogs QML module
qml-module-qtquick-extras (5.15.2-2)
Qt 5 Quick Extras QML module
qml-module-qtquick-layouts (5.15.2+dfsg-6)
Qt 5 Quick Layouts QML module
qml-module-qtquick-localstorage (5.15.2+dfsg-6)
Qt 5 localstorage QML module
qml-module-qtquick-particles2 (5.15.2+dfsg-6)
Qt 5 particles 2 QML module
qml-module-qtquick-pdf (5.15.2+dfsg-3)
Qt Quick PDF QML module
qml-module-qtquick-privatewidgets (5.15.2-2)
Qt 5 Private Widgets QML module
qml-module-qtquick-scene2d (5.15.2+dfsg-2)
Qt 5 Quick Scene 2D QML module
qml-module-qtquick-scene3d (5.15.2+dfsg-2)
Qt 5 Quick Scene 3D QML module
qml-module-qtquick-shapes (5.15.2+dfsg-6)
Qt 5 Qt Quick Shapes QML module
qml-module-qtquick-templates2 (5.15.2+dfsg-2)
Qt 5 Qt Quick Templates 2 QML module
qml-module-qtquick-virtualkeyboard (5.15.2+dfsg-2)
Qt virtual keyboard - QML module
qml-module-qtquick-window2 (5.15.2+dfsg-6)
Qt 5 window 2 QML module
qml-module-qtquick-xmllistmodel (5.15.2-3)
Qt 5 xmllistmodel QML module
qml-module-qtquick2 (5.15.2+dfsg-6)
Qt 5 Qt Quick 2 QML module
qml-module-qtremoteobjects (5.15.2-2)
Qt module for IPC — QML binding
qml-module-qtscxml (5.15.2-2)
Qt scxml QML module
qml-module-qtsensors (5.15.2-2)
Qt 5 Sensors QML module
qml-module-qtserviceframework (5.0~git20181230.e3332ee3-4+b3)
Qt 5 Service Framework QML module
qml-module-qtsysteminfo (5.0~git20181230.e3332ee3-4+b3)
Qt 5 System Info QML module
qml-module-qttest (5.15.2+dfsg-6)
Qt 5 test QML module
qml-module-qtwayland-client-texturesharing (5.15.2-3)
Qt 5 Wayland Shared Texture Provider QML module
qml-module-qtwayland-compositor (5.15.2-3)
Qt 5 Wayland Compositor QML module
qml-module-qtwebchannel (5.15.2-2)
Web communication library for Qt - QML module
qml-module-qtwebengine (5.15.2+dfsg-3)
Qt WebEngine QML module
qml-module-qtwebkit (5.212.0~alpha4-11)
Qt WebKit QML module
qml-module-qtwebsockets (5.15.2-2)
Qt 5 Web Sockets QML module
qml-module-qtwebview (5.15.2-2)
display web content in a QML application
qml-module-snapd (1.58-4)
Snapd QML module
qmlscene (5.15.2+dfsg-6)
Qt 5 QML scene viewer
qmmp (1.4.4-1)
feature-rich audio player with support of many formats
qmmp-plugin-projectm (1.4.4-1)
qmmp audio player -- projectM visualization plugin
qnapi (0.2.3-2+b1 [amd64], 0.2.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
application that downloads Polish subtitles from www.napiprojekt.pl
qnetstatview (1.5.6-2)
Shows detailed listings of all TCP and UDP endpoints
qnifti2dicom (0.4.11-3)
convert 3D medical images to DICOM 2D series (gui)
qonk (0.3.1-3.2)
Small build-and-conquer strategy game with very simple rules
qosmic (1.6.0-4+b1)
GUI for creating & rendering fractal flame images
qpdf (10.1.0-1)
tools for transforming and inspecting PDF files
qpdfview (0.4.18-5)
tabbed document viewer
qpdfview-djvu-plugin (0.4.18-5)
tabbed document viewer - DjVu plugin
qpdfview-pdf-mupdf-plugin (0.4.18-5)
tabbed document viewer - DjVu plugin
qpdfview-pdf-poppler-plugin (0.4.18-5)
tabbed document viewer - DjVu plugin
qpdfview-ps-plugin (0.4.18-5)
tabbed document viewer - PostScript plugin
qpdfview-translations (0.4.18-5)
tabbed document viewer - translations
qperf (0.4.11-2)
Measure socket and RDMA performance
qprint (1.1.dfsg.2-2.1)
encoder and decoder for quoted-printable encoding
qprint-doc (1.1.dfsg.2-2.1)
qprint quoted-printable encoder/decoder (documentation)
qprogram-starter (1.7.4-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.7.4-1 [armel, armhf])
Qt program to start programs or commands
qps (2.2.0-1)
Qt process manager
qpsmtpd (0.94-4)
Flexible SMTP daemon for network-level spam detection
qpxtool (0.8.1-1)
CD/DVD quality checker
qqwing (1.3.4-1.1+b1)
tool for generating and solving Sudoku puzzles (application)
qrazercfg (0.42+ds-1)
Graphical Razer device configuration tool
qrazercfg-applet (0.42+ds-1)
Graphical Razer device configuration tool - applet
qreator (16.06.1-7)
graphical utility for creating QR codes
qrencode (4.1.1-1)
QR Code encoder into PNG image
qrenderdoc (1.11+dfsg-5)
Stand-alone graphics debugging tool -- graphical utility
qrisk2 (0.1.20150729-5)
cardiovascular disease risk calculator
qrouter (1.4.71-2)
Multi-level, over-the-cell maze router
qrq (0.3.3-3)
high speed morse trainer, similar to DL4MM's Rufz
qsampler (0.9.1-1)
LinuxSampler GUI frontend based on the Qt toolkit
qshutdown (1.7.4-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.7.4-1 [armel, armhf])
Qt program to shutdown/reboot/suspend/hibernate the system
qsopt-ex (2.5.10.3-2)
Exact linear programming solver
qspeakers (1.6-1)
loudspeaker design software
qsstv (9.4.4-3)
Qt-based slow-scan TV and fax
qstardict (1.3-6)
International dictionary written using Qt
qstat (2.15-6)
Command-line tool for querying quake (and other) servers
qstopmotion (2.5.2-1)
Application for creating stop-motion animation movies
qsynth (0.9.1-1)
fluidsynth MIDI sound synthesiser front-end
qt3d-assimpsceneimport-plugin (5.15.2+dfsg-2)
Qt 3D GL Assimp scene import plugin
qt3d-defaultgeometryloader-plugin (5.15.2+dfsg-2)
Qt 3D default geometry loader plugin
qt3d-gltfsceneio-plugin (5.15.2+dfsg-2)
Qt 3D GL Transmission Format scene IO plugin
qt3d-scene2d-plugin (5.15.2+dfsg-2)
Qt 3D Scene 2D plugin
qt3d5-dev (5.15.2+dfsg-2)
Qt 5 3D development files
qt3d5-dev-tools (5.15.2+dfsg-2)
Qt 3D development tools
qt3d5-doc (5.15.2+dfsg-2)
Qt 3D documentation
qt3d5-doc-html (5.15.2+dfsg-2)
Qt 3D HTML documentation
qt3d5-examples (5.15.2+dfsg-2)
Qt 5 3d examples
qt5-assistant (5.15.2-5)
Qt 5 Assistant
qt5-doc (5.15.2-2)
Qt 5 API Documentation
qt5-doc-html (5.15.2-2)
Qt 5 API documentation (HTML format)
qt5-flatpak-platformtheme (5.15.2+dfsg-9)
Qt 5 Flatpak platform theme (transitional package)
qt5-gtk-platformtheme (5.15.2+dfsg-9)
Qt 5 GTK+ 3 platform theme
qt5-gtk2-platformtheme (5.0.0+git23.g335dbec-4+b3)
Qt 5 extra widget styles - GTK+ 2 Platform theme
qt5-image-formats-plugin-pdf (5.15.2+dfsg-3)
Qt 5 PDF image format plugin
qt5-image-formats-plugins (5.15.2-2)
Qt 5 Image Formats module
qt5-qmake (5.15.2+dfsg-9)
Qt 5 qmake Makefile generator tool
qt5-qmake-bin (5.15.2+dfsg-9)
Qt 5 qmake Makefile generator tool — binary file
qt5-qmltooling-plugins (5.15.2+dfsg-6)
Qt 5 qmltooling plugins
qt5-quick-demos (5.15.2-2)
Qt Quick demo applications
qt5-style-kvantum (0.18.0+repack-1)
Kvantum style engine (binaries)
qt5-style-kvantum-l10n (0.18.0+repack-1)
Kvantum style engine (localization)
qt5-style-kvantum-theme
本虛擬套件由這些套件填實: qt5-style-kvantum-themes, materia-kde, adapta-kde
qt5-style-kvantum-themes (0.18.0+repack-1)
Kvantum style engine (themes)
qt5-style-plugin-cleanlooks (5.0.0+git23.g335dbec-4+b3)
Qt 5 extra widget styles - Cleanlooks
qt5-style-plugin-motif (5.0.0+git23.g335dbec-4+b3)
Qt 5 extra widget styles - Motif
qt5-style-plugin-plastique (5.0.0+git23.g335dbec-4+b3)
Qt 5 extra widget styles - Plastique
qt5-style-plugins (5.0.0+git23.g335dbec-4+b3)
Qt 5 extra widget styles
qt5-styles-ukui (1.0.6-1)
QStyle plugins provided by ukui
qt5-ukui-platformtheme (1.0.6-1)
Qt5 QPA platform theme of UKUI
qt5-xdgdesktopportal-platformtheme (5.15.2+dfsg-9)
Qt 5 XDG Desktop Portal platform theme
qt5ct (1.1-1+b2)
Qt5 Configuration Utility
qt5dxcb-plugin (5.0.17-1)
Qt platform theme integration plugin for DDE
qt5keychain-dev (0.10.0-1)
Development files for qtkeychain (QT5 version)
qt5qevercloud-dev (3.0.3+ds-6)
Development files for libqt5qevercloud
qt5serialport-examples (5.15.2-2)
Qt 5 serial port examples
qtads (2.1.7-0.1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.1.7-0.1 [armel, armhf])
Qt HTML interpreter for TADS
qtattributionsscanner-qt5 (5.15.2-5)
Qt 5 qtattributionsscanner tool
qtav-players (1.13.0+ds-3+b2)
QtAV/QML players
qtbase-abi-5-15-2
本虛擬套件由這些套件填實: libqt5core5a
qtbase5-dev (5.15.2+dfsg-9)
Qt 5 base development files
qtbase5-dev-tools (5.15.2+dfsg-9)
Qt 5 base development programs
qtbase5-doc (5.15.2+dfsg-9)
Qt 5 base documentation
qtbase5-doc-dev (5.15.2+dfsg-9)
Qt 5 base tags files
qtbase5-doc-html (5.15.2+dfsg-9)
Qt 5 base HTML documentation
qtbase5-examples (5.15.2+dfsg-9)
Qt 5 base examples
qtbase5-gles-dev (5.15.2+dfsg-4)
Qt 5 base development files — OpenGL ES variant
qtbase5-private-dev (5.15.2+dfsg-9)
Qt 5 base private development files
qtbase5-private-gles-dev (5.15.2+dfsg-4)
Qt 5 base private development files — OpenGL ES variant
qtcharts5-doc (5.15.2-2)
Qt charts QCH documentation
qtcharts5-doc-html (5.15.2-2)
Qt charts HTML documentation
qtcharts5-examples (5.15.2-2)
Qt chart examples
qtchooser (66-2)
Wrapper to select between Qt development binary versions
qtconnectivity5-dev (5.15.2-2)
Qt Connectivity development files
qtconnectivity5-doc (5.15.2-2)
Qt 5 Connectivity documentation
qtconnectivity5-doc-html (5.15.2-2)
Qt 5 Connectivity HTML documentation
qtconnectivity5-examples (5.15.2-2)
Qt 5 Connectivity examples
qtcreator (4.14.1-1)
integrated development environment (IDE) for Qt
qtcreator-data (4.14.1-1)
application data for Qt Creator IDE
qtcreator-doc (4.14.1-1)
documentation for Qt Creator IDE
qtcurve (1.9-7+b2)
unified widget styles for Qt and GTK+ applications (metapackage)
qtcurve-l10n (1.9-7)
translation files for QtCurve
qtdatavisualization5-doc (5.15.2-2)
Qt 5 Data Visualization documentation
qtdatavisualization5-doc-html (5.15.2-2)
Qt 5 Data Visualization HTML documentation
qtdatavisualization5-examples (5.15.2-2)
Examples for Qt 5 Data Visualization module
qtdbustest-runner (0.2+bzr42+repack1-11)
Library for testing DBus interactions using Qt (test runner executable)
qtdeclarative-abi-5-15-2
本虛擬套件由這些套件填實: libqt5qml5
qtdeclarative5-dev (5.15.2+dfsg-6)
Qt 5 declarative development files
qtdeclarative5-dev-tools (5.15.2+dfsg-6)
Qt 5 declarative development programs
qtdeclarative5-doc (5.15.2+dfsg-6)
Qt 5 declarative documentation
qtdeclarative5-doc-dev (5.15.2+dfsg-6)
Qt 5 declarative tags files
qtdeclarative5-doc-html (5.15.2+dfsg-6)
Qt 5 declarative HTML documentation
qtdeclarative5-examples (5.15.2+dfsg-6)
Qt 5 declarative examples
qtdeclarative5-private-dev (5.15.2+dfsg-6)
Qt 5 declarative private development files
qtel (19.09.1-3)
Graphical client for the EchoLink® protocol
qtel-icons (19.09.1-3)
Icons for graphical client for the EchoLink® protocol
qterm (1:0.7.4-2)
BBS client for X Window System written in Qt
qterminal (0.16.1-1)
Lightweight Qt terminal emulator
qterminal-l10n (0.16.1-1)
Language package for qterminal
qtermwidget5-data (0.16.1-1)
Terminal emulator widget for Qt 5 (data files)
qtfeedback5-dev (5.0~git20180329.a14bd0bb-3)
Qt 5 Feedback development files
qtfeedback5-examples (5.0~git20180329.a14bd0bb-3)
Qt 5 Feedback examples
qtgamepad5-examples (5.15.2-2)
Qt 5 Gamepad examples
qtgraphicaleffects5-doc (5.15.2-2)
Qt 5 graphical effects documentation
qtgraphicaleffects5-doc-html (5.15.2-2)
Qt 5 graphicaleffects HTML documentation
qtgstreamer-doc (1.2.0-5.1)
QtGStreamer API documentation
qtgstreamer-plugins-qt5 (1.2.0-5.1)
GStreamer plugins from QtGStreamer - Qt 5 build
qthid-fcd-controller (4.1-5+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 4.1-5 [armel, armhf])
Funcube Dongle controller
qtikz (0.13.1+ds1-1)
editor for the TikZ drawing language - Qt version
qtlocation5-dev (5.15.2+dfsg-2)
Qt 5 Location development files
qtlocation5-doc (5.15.2+dfsg-2)
Qt 5 Location and Positioning QCH documentation
qtlocation5-doc-dev (5.15.2+dfsg-2)
Qt 5 Location and Positioning tags files
qtlocation5-doc-html (5.15.2+dfsg-2)
Qt 5 Location and Positioning HTML documentation
qtlocation5-examples (5.15.2+dfsg-2)
Qt 5 Location and Positioning examples
qtltools (1.3.1+dfsg-2+b1)
Tool set for molecular QTL discovery and analysis
qtltools-example (1.3.1+dfsg-2)
Tool set for molecular QTL discovery and analysis - example
qtmir
本虛擬套件由這些套件填實: qtmir-android, qtmir-desktop
qtmir-android (0.6.1-7)
Qt platform abstraction (QPA) plugin for a Mir server (mobile)
qtmir-desktop (0.6.1-7)
Qt platform abstraction (QPA) plugin for a Mir server (desktop)
qtmir-tests (0.6.1-7)
QtMir tests and demos
qtmultimedia5-dev (5.15.2-3)
APIs for multimedia functionality - development files
qtmultimedia5-doc (5.15.2-3)
Qt 5 multimedia documentation
qtmultimedia5-doc-html (5.15.2-3)
Qt 5 multimedia HTML documentation
qtmultimedia5-examples (5.15.2-3)
Examples for Qt 5 Multimedia module
qtnetworkauth5-doc (5.15.2-2)
online account access for Qt apps - documentation
qtnetworkauth5-doc-html (5.15.2-2)
online account access for Qt apps - HTML Documentation
qtnetworkauth5-examples (5.15.2-2)
online account access for Qt apps - Examples
qtop (2.3.4-2+b2 [amd64], 2.3.4-2+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.3.4-2 [armel, armhf])
windowed version of the console top command
qtox (1.17.3-1)
Powerful Tox client that follows the Tox design guidelines
qtpass (1.3.2-3)
GUI for password manager pass
qtpdf5-dev (5.15.2+dfsg-3)
Qt 5 PDF library - development files
qtpdf5-doc (5.15.2+dfsg-3)
Qt 5 PDF documentation
qtpdf5-doc-html (5.15.2+dfsg-3)
Qt 5 PDF HTML documentation
qtpdf5-examples (5.15.2+dfsg-3)
Qt PDF - examples
qtpim5-dev (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt 5 PIM development files
qtpim5-doc (5.0~git20190618.8fec622c+dfsg1-8)
Qt 5 PIM documentation
qtpim5-doc-html (5.0~git20190618.8fec622c+dfsg1-8)
Qt 5 PIM HTML documentation
qtpim5-examples (5.0~git20190618.8fec622c+dfsg1-8+b1)
Qt 5 PIM HTML examples
qtpositioning5-dev (5.15.2+dfsg-2)
Qt 5 Positioning development files
qtqr (2.0~bzr33-2)
Qt frontend for QR code generator and decoder
qtquickcontrols2-5-dev (5.15.2+dfsg-2)
Qt 5 Quick Controls 2 development files
qtquickcontrols2-5-doc (5.15.2+dfsg-2)
Qt 5 Quick Controls 2 documentation
qtquickcontrols2-5-doc-html (5.15.2+dfsg-2)
Qt 5 Quick Controls 2 HTML documentation
qtquickcontrols2-5-examples (5.15.2+dfsg-2)
Qt 5 Qt Quick Templates 2 examples
qtquickcontrols5-doc (5.15.2-2)
Qt 5 Quick Controls documentation
qtquickcontrols5-doc-html (5.15.2-2)
Qt 5 Quick Controls HTML documentation
qtquickcontrols5-examples (5.15.2-2)
Qt 5 Quick Controls examples
qtractor (0.9.20-1)
MIDI/Audio multi-track sequencer application
qtremoteobjects5-doc (5.15.2-2)
Qt Remote Objects QCH documentation
qtremoteobjects5-doc-html (5.15.2-2)
Qt Remote Objects HTML documentation
qtremoteobjects5-examples (5.15.2-2)
Qt Remote Objects examples
qtscript-abi-5-6-0
本虛擬套件由這些套件填實: libqt5script5
qtscript5-dev (5.15.2+dfsg-2)
Qt 5 script development files
qtscript5-doc (5.15.2+dfsg-2)
Qt 5 script documentation
qtscript5-doc-html (5.15.2+dfsg-2)
Qt 5 script HTML documentation
qtscript5-examples (5.15.2+dfsg-2)
Qt 5 script examples
qtscrob (0.11+git-5+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.11+git-5 [armel, armhf])
audioscrobbler submitter for portable media players - Qt frontend
qtscxml-abi-5-15-2
本虛擬套件由這些套件填實: libqt5scxml5-dev
qtscxml5-doc (5.15.2-2)
Qt SCXML QCH documentation
qtscxml5-doc-html (5.15.2-2)
Qt SCXML HTML documentation
qtscxml5-examples (5.15.2-2)
Qt SCXML examples
qtsensors5-doc (5.15.2-2)
Qt 5 Sensors documentation
qtsensors5-doc-html (5.15.2-2)
Qt 5 Sensors HTML documentation
qtsensors5-examples (5.15.2-2)
Qt 5 sensors examples
qtserialbus5-doc (5.15.2-2)
Qt serialbus serial bus access QCH documentation
qtserialbus5-doc-html (5.15.2-2)
Qt serialbus serial bus access HTML documentation
qtserialbus5-examples (5.15.2-2)
Qt 5 Serialbus serial bus access examples
qtserialport5-doc (5.15.2-2)
Qt 5 serial port documentation
qtserialport5-doc-html (5.15.2-2)
Qt 5 serial port HTML documentation
qtspeech5-doc (5.15.2-2)
Speech library for Qt - documentation
qtspeech5-doc-html (5.15.2-2)
Speech library for Qt - HTML documentation
qtspeech5-examples (5.15.2-2)
Speech library for Qt - Examples
qtspeech5-flite-plugin (5.15.2-2)
Speech library for Qt - Flite plugin
qtspeech5-speechd-plugin (5.15.2-2)
Speech library for Qt - speechd plugin
qtsvg-abi-5-15-2
本虛擬套件由這些套件填實: libqt5svg5
qtsvg5-doc (5.15.2-3)
Qt 5 SVG documentation
qtsvg5-doc-html (5.15.2-3)
Qt 5 SVG HTML documentation
qtsvg5-examples (5.15.2-3)
Qt 5 SVG examples
qtsystems5-dev (5.0~git20181230.e3332ee3-4+b3)
Qt 5 Systems development files
qtsystems5-examples (5.0~git20181230.e3332ee3-4+b3)
Qt 5 Systems examples
qtsystems5-private-dev (5.0~git20181230.e3332ee3-4+b3)
Qt 5 Systems private development files
qtsystems5-tools (5.0~git20181230.e3332ee3-4+b3)
Qt 5 Systems tools
qttools5-dev (5.15.2-5)
Qt 5 tools development files
qttools5-dev-tools (5.15.2-5)
Qt 5 development tools
qttools5-doc (5.15.2-5)
Qt 5 tools documentation
qttools5-doc-html (5.15.2-5)
Qt 5 tools HTML documentation
qttools5-examples (5.15.2-5)
Qt 5 tools examples
qttools5-private-dev (5.15.2-5)
Qt 5 tools private development files
qttranslations5-l10n (5.15.2-2)
translations for Qt 5
qtvirtualkeyboard-plugin (5.15.2+dfsg-2)
Qt virtual keyboard
qtvirtualkeyboard5-doc (5.15.2+dfsg-2)
Qt 5 Virtual Keyboard documentation
qtvirtualkeyboard5-doc-html (5.15.2+dfsg-2)
Qt 5 Virtual Keyboard HTML documentation
qtvirtualkeyboard5-examples (5.15.2+dfsg-2)
Qt virtual keyboard examples
qtwayland-client-abi-5-15-2
本虛擬套件由這些套件填實: libqt5waylandclient5
qtwayland-compositor-abi-5-15-2
本虛擬套件由這些套件填實: libqt5waylandcompositor5
qtwayland5 (5.15.2-3)
QtWayland platform plugin
qtwayland5-dev-tools (5.15.2-3)
Qt 5 Wayland developer tools
qtwayland5-doc (5.15.2-3)
Qt 5 Wayland Compositor documentation
qtwayland5-doc-html (5.15.2-3)
Qt 5 Wayland Compositor HTML documentation
qtwayland5-examples (5.15.2-3)
Qt 5 Wayland examples
qtwayland5-private-dev (5.15.2-3)
QtWayland private development files
qtwebchannel5-doc (5.15.2-2)
Web communication library for Qt - Documentation
qtwebchannel5-doc-html (5.15.2-2)
Web communication library for Qt - HTML Documentation
qtwebchannel5-examples (5.15.2-2)
Web communication library for Qt - Examples
qtwebengine-abi-5-15-2
本虛擬套件由這些套件填實: libqt5webenginecore5
qtwebengine5-dev (5.15.2+dfsg-3)
Web content engine library for Qt - development files
qtwebengine5-dev-tools (5.15.2+dfsg-3)
Qt WebEngine tools
qtwebengine5-doc (5.15.2+dfsg-3)
Qt 5 webengine documentation
qtwebengine5-doc-html (5.15.2+dfsg-3)
Qt 5 webengine HTML documentation
qtwebengine5-examples (5.15.2+dfsg-3)
Qt WebEngine - Examples
qtwebengine5-private-dev (5.15.2+dfsg-3)
Web content engine library for Qt - private development files
qtwebsockets5-doc (5.15.2-2)
Qt 5 Web Sockets documentation
qtwebsockets5-doc-html (5.15.2-2)
Qt 5 Web Sockets HTML documentation
qtwebsockets5-examples (5.15.2-2)
Examples for Qt 5 Web Sockets module
qtwebview5-doc (5.15.2-2)
display web content in a QML application - Documentation
qtwebview5-doc-html (5.15.2-2)
display web content in a QML application - HTML Documentation
qtwebview5-examples (5.15.2-2)
display web content in a QML application - Examples
qtx11extras5-doc (5.15.2-2)
Qt 5 X11 extras documentation
qtx11extras5-doc-html (5.15.2-2)
Qt 5 X11 extras HTML documentation
qtxdg-dev-tools (3.6.0-1)
Tools for QtXdg
qtxmlpatterns5-dev-tools (5.15.2-3)
Qt 5 XML patterns development programs
qtxmlpatterns5-doc (5.15.2-3)
Qt 5 XML patterns documentation
qtxmlpatterns5-doc-html (5.15.2-3)
Qt 5 XML patterns HTML documentation
qtxmlpatterns5-examples (5.15.2-3)
Qt 5 XML patterns examples
quadrapassel (1:3.38.1-1)
popular Russian game, similar to Tetris
quake (67) [contrib]
classic gothic/horror-themed first person shooter
quake-engine
本虛擬套件由這些套件填實: darkplaces, quakespasm, ezquake
quake-engine-server
本虛擬套件由這些套件填實: quakespasm, darkplaces-server
quake-server (67) [contrib]
classic first person shooter - server and init script
quake2 (67) [contrib]
classic science-fiction-themed first person shooter
quake2-engine
本虛擬套件由這些套件填實: yamagi-quake2
quake2-engine-server
本虛擬套件由這些套件填實: yamagi-quake2-core
quake2-server (67) [contrib]
dedicated server for Quake II
quake3 (67) [contrib]
Quake III Arena menu entry and launcher scripts
quake3-server (67) [contrib]
Quake III Arena dedicated server launcher scripts
quake4 (67) [contrib]
science-fiction-themed first person shooter
quake4-server (67) [contrib]
dedicated server for Quake 4
quakespasm (0.93.2+dfsg-2)
engine for iD software's Quake
quantlib-examples (1.21-1)
Quantitative Finance Library -- example binaries
quantlib-python (1.20-1+b1)
Python3 bindings for the Quantlib Quantitative Finance library
quantlib-refman-html (1.20-1)
Quantitative Finance Library -- reference manual in html
quantum-espresso (6.7-2)
Electronic-Structure and Ab-Initio Molecular Dynamics Suite
quantum-espresso-data (6.7-2)
Electronic-Structure and Ab-Initio Molecular Dynamics Suite (Documentation)
quarry (0.2.0.dfsg.1-4.1+b1)
Board games Go, Amazons, and Reversi (a.k.a. Othello)
quassel (1:0.13.1-5)
distributed IRC client - monolithic core+client
quassel-client (1:0.13.1-5)
distributed IRC client - client component
quassel-core (1:0.13.1-5)
distributed IRC client - core component
quassel-data (1:0.13.1-5)
distributed IRC client - shared data
quaternion (0.0.9.5~beta2-2)
desktop IM client for the Matrix protocol
quelcom (0.4.0-15)
Command line editing tools for MP3 and WAV files
quickcal (2.4-1)
fast and easy to use calculator with support for filing
quickml (0.7-6)
Very-easy-to-use mailing list system
quickplot (1.0.1~rc-1+b3)
fast interactive 2D plotter and data viewer
quickroute-gps (2.4-15+b1 [i386], 2.4-15 [amd64, arm64, armel, armhf, mipsel, ppc64el, s390x])
GPS analysis software for getting your route on the map
quicktime-utils (2:1.2.4-12+b4)
library for reading and writing Quicktime files (utilities)
quicktime-x11utils (2:1.2.4-12+b4)
library for reading and writing Quicktime files (x11 utilities)
quicktree (2.5-5)
Neighbor-Joining algorithm for phylogenies
quicktun (2.2.6-2+b1)
very simple, yet secure VPN software
quilt (0.66-2.1)
Tool to work with series of patches
quilt-el (0.66-2.1)
simple Emacs interface of quilt
quisk (4.1.77-1)
Software Defined Radio (SDR)
quitcount (3.1.4-3)
Small tool which may help yourself quit smoking
quiterss (0.19.4+dfsg-1)
RSS/Atom news feeds reader
quodlibet (4.3.0-1)
audio library manager and player for GTK3
quodlibet-plugins
本虛擬套件由這些套件填實: exfalso
quorum (1.1.1-4)
QUality Optimized Reads of genomic sequences
quota (4.06-1)
disk quota management tools
quotatool (1:1.6.2-5)
non-interactive command line tool to edit disk quotas
qutebrowser (2.0.2-2)
Keyboard-driven, vim-like browser based on PyQt5
qutebrowser-qtwebengine (2.0.2-2)
QtWebEngine backend dependency package for qutebrowser
qutebrowser-qtwebkit (2.0.2-2)
QtWebKit backend dependency package for qutebrowser
qutemol (0.4.1~cvs20081111-13)
interactive visualization of macromolecules
quvi (0.9.4-1.1+b1)
command line program to extract video download links
qv4l2 (1.20.0-2)
Test bench application for video4linux devices
qviaggiatreno (2013.7.3-9+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 2013.7.3-9 [armel, armhf])
Qt tool to monitor the Italian railway traffic
qweborf (0.17-3+deb11u1)
Shares files using the HTTP protocol
qwertone (0.3.0-2+b1)
simple music synthesizer
qwinff (0.2.1+git20201215-1)
GUI for FFmpeg
qwo (0.5-3+b1 [mips64el], 0.5-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
efficient input method for touch screens
qxgedit (0.9.1-1)
MIDI System Exclusive files editor
qxp2epub (0.9.6-2)
QuarkXPress to EPUB converter
qxp2odg (0.9.6-2)
QuarkXPress to OpenDocument graphics converter
qxw (20140331-1.1)
advanced interactive crossword construction tool
r-api-4.0
本虛擬套件由這些套件填實: r-base-core
r-api-bioc-3.12
本虛擬套件由這些套件填實: r-bioc-biocgenerics
r-base (4.0.4-1)
GNU R statistical computation and graphics system
r-base-core (4.0.4-1)
GNU R core of statistical computation and graphics system
r-base-core-dbg (4.0.4-1)
GNU R debug symbols for statistical comp. language and environment
r-base-dev (4.0.4-1)
GNU R installation of auxiliary GNU R packages
r-base-html (4.0.4-1)
GNU R html docs for statistical computing system functions
r-base-latex
本虛擬套件由這些套件填實: r-base-core
r-bioc-affy (1.68.0-1)
BioConductor methods for Affymetrix Oligonucleotide Arrays
r-bioc-affyio (1.60.0-1)
BioConductor tools for parsing Affymetrix data files
r-bioc-all (1.32.0-1)
Bioconductor data package used by several bioc tools
r-bioc-altcdfenvs (1:2.52.0-1)
BioConductor alternative CDF environments
r-bioc-annotate (1.68.0+dfsg-1)
BioConductor annotation for microarrays
r-bioc-annotationdbi (1.52.0-1)
GNU R Annotation Database Interface for BioConductor
r-bioc-annotationfilter (1.14.0+dfsg-1)
facilities for filtering Bioconductor annotation resources
r-bioc-annotationhub (2.22.0+dfsg-1)
GNU R client to access AnnotationHub resources
r-bioc-aroma.light (3.20.0-1)
BioConductor methods normalization and visualization of microarray data
r-bioc-beachmat (2.6.4+ds-1)
I/O for several formats storing matrix data
r-bioc-biobase (2.50.0-1)
base functions for Bioconductor
r-bioc-bioccheck (1.26.0+dfsg-1)
Bioconductor-specific package checks
r-bioc-biocfilecache (1.14.0+dfsg-1)
GNU R management of files across sessions
r-bioc-biocgenerics (0.36.0-1)
generic functions for Bioconductor
r-bioc-biocinstaller
本虛擬套件由這些套件填實: r-cran-biocmanager
r-bioc-biocneighbors (1.8.2+ds-1)
Nearest Neighbor Detection for Bioconductor Packages
r-bioc-biocparallel (1.24.1-1)
BioConductor facilities for parallel evaluation
r-bioc-biocsingular (1.6.0+ds-1)
Singular Value Decomposition for Bioconductor Packages
r-bioc-biocstyle (2.18.1+dfsg-3)
standard styles for vignettes and other Bioconductor documents
r-bioc-biocversion (3.12.0-1)
set the appropriate version of Bioconductor packages
r-bioc-biocviews (1.58.1-2)
Categorized views of R package repositories
r-bioc-biomart (2.46.2+dfsg-1)
GNU R Interface to BioMart databases (Ensembl, COSMIC, Wormbase and Gramene)
r-bioc-biomformat (1.18.0+dfsg-2)
GNU R interface package for the BIOM file format
r-bioc-biostrings (2.58.0-1)
GNU R string objects representing biological sequences
r-bioc-biovizbase (1.38.0-1)
GNU R basic graphic utilities for visualization of genomic data
r-bioc-bitseq (1.34.0+dfsg-1)
transcript expression inference and analysis for RNA-seq data
r-bioc-bladderbatch (1.28.0-1)
GNU R bladder gene expression data illustrating batch effects
r-bioc-bluster (1.0.0+dfsg-2)
Clustering Algorithms for Bioconductor
r-bioc-bsgenome (1.58.0-1)
BioConductor infrastructure for Biostrings-based genome data packages
r-bioc-chemminer (3.42.1+dfsg-1)
Cheminformatics Toolkit for R
r-bioc-cner (1.26.0+dfsg-1)
CNE Detection and Visualization
r-bioc-complexheatmap (2.6.2+dfsg-1)
make complex heatmaps using GNU R
r-bioc-consensusclusterplus (1.54.0-1)
GNU R determining cluster count and membership
r-bioc-ctc (1.64.0-1)
Cluster and Tree Conversion
r-bioc-cummerbund (2.32.0-1)
tool for analysis of Cufflinks RNA-Seq output
r-bioc-dada2 (1.18.0+dfsg-1)
sample inference from amplicon sequencing data
r-bioc-degreport (1.26.0+dfsg-1)
BioConductor report of DEG analysis
r-bioc-delayedarray (0.16.1+dfsg-1)
BioConductor delayed operations on array-like objects
r-bioc-delayedmatrixstats (1.12.3+ds-1)
Functions on Rows and Columns of 'DelayedMatrix' Objects
r-bioc-demixt (1.6.0-1)
Cell type-specific deconvolution of heterogeneous tumor samples
r-bioc-deseq (1.39.0-4)
GNU R differential gene expression analysis
r-bioc-deseq2 (1.30.1+dfsg-1)
R package for RNA-Seq Differential Expression Analysis
r-bioc-destiny (3.4.0-1)
GNU R diffusion maps
r-bioc-dexseq (1.36.0+dfsg-1)
GNU R inference of differential exon usage in RNA-Seq
r-bioc-dirichletmultinomial (1.32.0-1)
Dirichlet-Multinomial Mixture Model Machine Learning for Microbiome Data
r-bioc-dnacopy (1.64.0-1)
R package: DNA copy number data analysis
r-bioc-dropletutils (1.10.3+ds-2)
BioConductor utilities for handling single-cell droplet data
r-bioc-dupradar (1.20.0+ds-3)
BioConductor assessment of duplication rates in RNA-Seq datasets
r-bioc-ebseq (1.30.0-1)
R package for RNA-Seq Differential Expression Analysis
r-bioc-edaseq (2.24.0+dfsg-1)
GNU R exploratory data analysis and normalization for RNA-Seq
r-bioc-edger (3.32.1+dfsg-1)
Empirical analysis of digital gene expression data in R
r-bioc-ensembldb (2.14.0+dfsg-1)
GNU R utilities to create and use an Ensembl based annotation database
r-bioc-experimenthub (1.16.0+ds-1)
BioConductor client to access ExperimentHub resources
r-bioc-fmcsr (1.32.0+dfsg-1)
GNU R mismatch tolerant maximum common substructure searching
r-bioc-genefilter (1.72.1-1)
methods for filtering genes from microarray experiments
r-bioc-genelendatabase (1.26.0-1)
GNU R lengths of mRNA transcripts for a number of genomes
r-bioc-geneplotter (1.68.0-1)
R package of functions for plotting genomic data
r-bioc-genomeinfodb (1.26.2-2)
BioConductor utilities for manipulating chromosome identifiers
r-bioc-genomeinfodbdata (1.2.4-1)
BioConductor species and taxonomy ID look up tables
r-bioc-genomicalignments (1.26.0-1)
BioConductor representation and manipulation of short genomic alignments
r-bioc-genomicfeatures (1.42.1+dfsg-1)
GNU R tools for making and manipulating transcript centric annotations
r-bioc-genomicfiles (1.26.0-1)
Distributed computing by file or by range
r-bioc-genomicranges (1.42.0+dfsg-1)
BioConductor representation and manipulation of genomic intervals
r-bioc-geoquery (2.58.0+dfsg-2)
Get data from NCBI Gene Expression Omnibus (GEO)
r-bioc-glmgampoi (1.2.0+dfsg-6)
GNU R fit a Gamma-Poisson generalized linear model
r-bioc-go.db (3.12.1-1)
annotation maps describing the entire Gene Ontology
r-bioc-gosemsim (2.16.1-1)
GO-terms semantic similarity measures
r-bioc-goseq (1.42.0-1)
GNU R gene ontology analyser for RNA-seq and other length biased data
r-bioc-graph (1.68.0-1)
handle graph data structures for BioConductor
r-bioc-grohmm (1.24.0-1)
GRO-seq Analysis Pipeline
r-bioc-gviz (1.34.0+dfsg-1)
Plotting data and annotation information along genomic coordinates
r-bioc-hdf5array (1.18.1-3)
HDF5 backend for DelayedArray objects
r-bioc-hilbertvis (1.48.0-1)
GNU R package to visualise long vector data
r-bioc-hsmmsinglecell (1.10.0-1)
Single-cell RNA-Seq for differentiating human skeletal muscle myoblasts
r-bioc-htsfilter (1.30.1+dfsg-1)
GNU R filter replicated high-throughput transcriptome sequencing data
r-bioc-hypergraph (1.62.0-1)
BioConductor hypergraph data structures
r-bioc-ihw (1.18.0+dfsg-1)
GNU R independent hypothesis weighting
r-bioc-impute (1.64.0-1)
Imputation for microarray data
r-bioc-interactivedisplaybase (1.28.0+dfsg-1)
base package for enabling powerful shiny web displays of Bioconductor objects
r-bioc-iranges (2.24.1-1)
GNU R low-level containers for storing sets of integer ranges
r-bioc-keggrest (1.30.1+dfsg-1)
GNU R client-side REST access to KEGG
r-bioc-limma (3.46.0+dfsg-1)
linear models for microarray data
r-bioc-lpsymphony (1.18.0+dfsg-1)
Symphony integer linear programming solver in GNU R
r-bioc-makecdfenv (1.66.0-1)
BioConductor CDF Environment Maker
r-bioc-matrixgenerics (1.2.1-4)
S4 Generic Summary Statistic Functions that Operate on Matrix-Like Objects
r-bioc-mergeomics (1.18.0-1)
Integrative network analysis of omics data
r-bioc-metagenomeseq (1.32.0-1)
GNU R statistical analysis for sparse high-throughput sequencing
r-bioc-mofa (1.6.1+dfsg-1)
Multi-Omics Factor Analysis (MOFA)
r-bioc-monocle (2.18.0-1)
clustering, differential expression, and trajectory analysis for RNA-Seq
r-bioc-multiassayexperiment (1.16.0+dfsg-1)
Software for integrating multi-omics experiments in BioConductor
r-bioc-multtest (2.46.0-1)
Bioconductor resampling-based multiple hypothesis testing
r-bioc-mutationalpatterns (3.0.1+dfsg-2)
GNU R comprehensive genome-wide analysis of mutational processes
r-bioc-nanostringqcpro (1.22.0-1)
processing and QA for NanoString mRNA expression data
r-bioc-org.hs.eg.db (3.12.0-1)
genome-wide annotation for Human
r-bioc-pcamethods (1.82.0-1)
BioConductor collection of PCA methods
r-bioc-phyloseq (1.34.0+dfsg-1)
GNU R handling and analysis of high-throughput microbiome census data
r-bioc-preprocesscore (1.52.1-1)
BioConductor collection of pre-processing functions
r-bioc-protgenerics (1.22.0-1)
S4 generic functions for Bioconductor proteomics infrastructure
r-bioc-purecn (1.20.0+dfsg-3)
copy number calling and SNV classification using targeted short read sequencing
r-bioc-pwmenrich (4.26.0-1)
PWM enrichment analysis
r-bioc-qusage (2.24.0-1)
qusage: Quantitative Set Analysis for Gene Expression
r-bioc-qvalue (2.22.0-1)
GNU R package for Q-value estimation for FDR control
r-bioc-rbgl (1.66.0+dfsg-1)
R interface to the graph algorithms contained in the BOOST library
r-bioc-rgsepd (1.22.0-1)
GNU R gene set enrichment / projection displays
r-bioc-rhdf5 (2.34.0+dfsg-1)
BioConductor HDF5 interface to R
r-bioc-rhdf5filters (1.2.0+dfsg2-1)
GNU R HDF5 compression filters
r-bioc-rhdf5lib (1.12.1+dfsg-3+b1 [amd64], 1.12.1+dfsg-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R hdf5 library
r-bioc-rhtslib (1.22.0+dfsg-1)
HTSlib high-throughput sequencing library as GNU R package
r-bioc-rots (1.18.0-1)
GNU R Teproducibility-Optimized Test Statistic
r-bioc-rsamtools (2.6.0-1)
GNU R binary alignment (BAM), variant call (BCF), or tabix file import
r-bioc-rsubread (2.4.2-1)
Subread Sequence Alignment and Counting for R
r-bioc-rtracklayer (1.50.0-1)
GNU R interface to genome browsers and their annotation tracks
r-bioc-rwikipathways (1.10.0+dfsg-1)
GNU R client library for the WikiPathways API
r-bioc-s4vectors (0.28.1-1)
BioConductor S4 implementation of vectors and lists
r-bioc-savr (1.28.0-1)
GNU R parse and analyze Illumina SAV files
r-bioc-scater (1.18.3+ds-4)
Single-Cell Analysis Toolkit for Gene Expression Data in R
r-bioc-scran (1.18.5+dfsg-1)
BioConductor methods for single-cell RNA-Seq data analysis
r-bioc-scrnaseq (2.4.0+ds-3)
Collection of Public Single-Cell RNA-Seq Datasets
r-bioc-scuttle (1.0.4+dfsg-5)
BioConductor single-cell RNA-Seq analysis utilities
(1.56.0-1)
GNU R sequence logos for DNA sequence alignments
r-bioc-shortread (1.48.0-2)
GNU R classes and methods for high-throughput short-read sequencing data
r-bioc-singlecellexperiment (1.12.0+ds-1)
S4 Classes for Single Cell Data
r-bioc-singler (1.4.1+ds-1)
BioConductor reference-based single-cell RNA-Seq annotation
r-bioc-snpstats (1.40.0+dfsg-1)
BioConductor SnpMatrix and XSnpMatrix classes and methods
r-bioc-sparsematrixstats (1.2.1+dfsg-4)
BioConductor summary statistics for rows and columns of sparse matrices
r-bioc-summarizedexperiment (1.20.0+dfsg-1)
BioConductor assay container
r-bioc-sva (3.38.0-1)
GNU R Surrogate Variable Analysis
r-bioc-tcgabiolinks (2.18.0+dfsg-1)
GNU R/Bioconductor package for integrative analysis with GDC data
r-bioc-tcgabiolinksgui.data (1.10.0+dfsg-2)
Data for the TCGAbiolinksGUI package
r-bioc-tfbstools (1.28.0+dfsg-1)
GNU R Transcription Factor Binding Site (TFBS) Analysis
r-bioc-titancna (1.28.0-2)
Subclonal copy number and LOH prediction from whole genome sequencing
r-bioc-tximport (1.18.0+dfsg-1)
transcript-level estimates for biological sequencing
r-bioc-variantannotation (1.36.0-1)
BioConductor annotation of genetic variants
r-bioc-wgcna
本虛擬套件由這些套件填實: r-cran-wgcna
r-bioc-wrench (1.8.0+dfsg-1)
GNU R wrench normalization for sparse count data
r-bioc-xvector (0.30.0-1)
BioConductor representation and manpulation of external sequences
r-bioc-zlibbioc (1.36.0+dfsg-1)
(Virtual) zlibbioc Bioconductor package
r-cran-abind (1.4-5-2)
GNU R abind multi-dimensional array combination function
r-cran-acepack (1.4.1-2+b5)
GNU R package for regression transformations
r-cran-actuar (3.1-1-1)
GNU R actuarial functions and heavy tailed distributions
r-cran-ade4 (1.7-16-1)
GNU R analysis of ecological data
r-cran-adegenet (2.1.3-1)
GNU R exploratory analysis of genetic and genomic data
r-cran-adegraphics (1.0-15-2)
GNU R lattice-based package for the representation of multivariate data
r-cran-adephylo (1.1-11-4)
GNU R exploratory analyses for the phylogenetic comparative method
r-cran-aer (1.2-9-2)
Applied Econometrics with R
r-cran-afex (0.28-1-1)
GNU R package for analyzing factorial experiments using ANOVA or mixed models
r-cran-airr (1.3.0-2)
GNU R AIRR data representation reference library
r-cran-alakazam (1.1.0-1+b1 [amd64], 1.1.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Immunoglobulin Clonal Lineage and Diversity Analysis
r-cran-amap (0.8-18-2+b1)
Another Multidimensional Analysis Package
r-cran-amelia (1.7.6-1+b1)
GNU R package supporting multiple imputation of missing data
r-cran-amore (0.2-16-1+b1)
GNU R: A MORE flexible neural network package
r-cran-animation (2.6+dfsg-2)
GNU R gallery of animations and utilities to create animations
r-cran-apcluster (1.4.8-1+b1)
Affinity Propagation Clustering
r-cran-ape (5.4-1-1)
GNU R package for Analyses of Phylogenetics and Evolution
r-cran-aplpack (1.3.3-2)
Another Plot PACKage: stem.leaf, bagplot, faces, spin3R and others
r-cran-argparse (2.0.3-1)
GNU R command line parser for optional and positional arguments
r-cran-argparser (0.6-2)
GNU R command-line argument parser
r-cran-arm (1.11-2-1)
Data Analysis Using Regression and Multilevel/Hierarchical Models
r-cran-arsenal (3.6.2-1)
arsenal of GNU R functions for large-scale statistical summaries
r-cran-askpass (1.1-2)
safe password entry for GNU R, Git, and SSH
r-cran-assertive.base (0.0-8-1)
GNU R lightweight core of the 'assertive' package
r-cran-assertive.sets (0.0-3-3)
GNU R assertions to check properties of sets
r-cran-assertthat (0.2.1-2)
GNU R easy pre and post assertions
r-cran-av (0.5.1+dfsg-1)
working with Audio and Video in GNU R
r-cran-aweek (1.0.2-1)
GNU R convert dates to arbitrary week definitions
r-cran-backports (1.2.1-1)
reimplementation of functions introduced since R-3.0.0
r-cran-base64enc (0.1-3-3)
GNU R package that provides tools for base64 encoding
r-cran-base64url (1.4-2+b1)
GNU R fast and URL-safe Base64 encoder and decoder
r-cran-batchjobs (1.8-2)
GNU R batch computing
r-cran-batchtools (0.9.15+dfsg-1)
GNU R tools for computation on batch systems
r-cran-bayesfactor (0.9.12-4.2+dfsg-1)
GNU R Bayes factors for t-tests, ANOVAs and contingency tables
r-cran-bayesfm (0.1.4-1)
GNU R Bayesian inference for factor modeling
r-cran-bayesm (3.1-4+dfsg-1+b1)
GNU R package for Bayesian inference
r-cran-bayesplot (1.8.0-1)
GNU R plotting for bayesian models
r-cran-bayestestr (0.8.2-1)
GNU R understand and describe Bayesian models and posterior distributions
r-cran-bbmisc (1.11-4)
GNU R Miscellaneous helper functions for B. Bischl
r-cran-bbmle (1.0.23.1-2)
GNU R tools for general maximum likelihood estimation
r-cran-bdgraph (2.63-1)
GNU R bayesian structure learning in graphical models
r-cran-bdsmatrix (1.3-4-1+b1)
GNU R routines for block diagonal symmetric matrices
r-cran-beeswarm (0.2.3-4)
bee swarm plot, an alternative to stripchart
r-cran-bench (1.1.1-1+b1 [amd64], 1.1.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
High Precision Timing of R Expressions
r-cran-bh (1.74.0-1)
(Virtual) GNU R package to provide BH
r-cran-biasedurn (1.07-4)
GNU R Biased Urn model distributions
r-cran-bibtex (0.4.2.3-1)
GNU R Bibtex Parser
r-cran-bigmemory (4.5.36-1+b1)
Manage Massive Matrices with Shared Memory and Memory-Mapped Files
r-cran-bigmemory.sri (0.1.3-3)
Shared resource interface for Bigmemory Project packages
r-cran-bindr (0.1.1-3)
Parametrized Active Bindings for GNU R
r-cran-bindrcpp (0.2.2-3)
GNU R 'Rcpp' Interface to Active Bindings
r-cran-bio3d (2.4-1-1+b1)
GNU R package for biological structure analysis
r-cran-biocmanager (1.30.10+dfsg-2)
access the Bioconductor project package repository
r-cran-bios2cor (2.2-2)
GNU R from Biological Sequences and Simulations to Correlation
r-cran-bit (4.0.4+dfsg-1)
GNU R class for vectors of 1-bit booleans
r-cran-bit64 (4.0.5-1)
GNU R S3 Class for Vectors of 64bit Integers
r-cran-bitops (1.0-6-5)
GNU R package implementing bitwise operations
r-cran-biwt (1.0-2+b1)
biweight mean vector and covariance and correlation
r-cran-blme (1.0-5-1)
GNU R Bayesian linear mixed-effects models
r-cran-blob (1.2.1-2)
GNU R S3 Class for Representing Vectors of Binary Data ('BLOBS')
r-cran-blockmodeling (1.0.0-1)
Generalized and classical blockmodeling of valued networks
r-cran-bms (0.3.4-6)
GNU R package for Bayesian model averaging for linear models
r-cran-bold (1.1.0+dfsg-1)
GNU R interface to Bold Systems for genetic barcode data
r-cran-bookdown (0.21+dfsg-1)
authoring books and technical documents with GNU R markdown
r-cran-boolnet (2.1.5-3)
assembling, analyzing and visualizing Boolean networks
r-cran-boot (1.3-27-1)
GNU R package for bootstrapping functions from Davison and Hinkley
r-cran-bradleyterry2 (1.1-2-2)
GNU R package for using Bradley-Terry models
r-cran-brew (1.0-6-4)
GNU R templating framework for report generation
r-cran-brglm (0.7.1-1)
GNU R package for bias reduction in binomial-response GLMs
r-cran-bridgesampling (1.0-0-2)
GNU R bridge sampling for marginal likelihoods and Bayes factors
r-cran-brio (1.1.1-1)
basic R input output
r-cran-brms (2.14.4-1)
GNU R Bayesian regression models using 'Stan'
r-cran-brobdingnag (1.2-6-2)
Very Large Numbers in R
r-cran-broom (0.7.4+dfsg-1)
convert statistical analysis objects into tidy data frames with GNU R
r-cran-broom.mixed (0.2.6-2)
GNU R tidying methods for mixed models
r-cran-ca (0.71.1-2)
GNU R package for simple, multiple and joint correspondence analysis
r-cran-cachem (1.0.3-1)
cache GNU R objects with automatic pruning
r-cran-caic4 (0.9-2)
GNU R conditional Akaike information criterion for 'lme4'
r-cran-cairo (1.5-12.2-1)
GNU R graphics device using cairo graphics library
r-cran-cairodevice (2.28.2-1)
GNU R Cairo/Gtk2 device driver package
r-cran-calibrate (1.7.7-1)
Calibration of Scatterplot and Biplot Axes
r-cran-calibratr (0.1.2-2)
GNU R mapping ML scores to calibrated predictions
r-cran-callr (3.5.1-1)
Call GNU R from GNU R
r-cran-car (3.0-10-1)
GNU R Companion to Applied Regression by John Fox
r-cran-cardata (3.0.4-1)
GNU R package for datasets for Companion to Applied Regression
r-cran-caret (6.0-86+dfsg-1+b1)
GNU R package for classification and regression training
r-cran-catools (1.18.1-1)
GNU R package providing various utility functions
r-cran-cba (0.2-21-2)
GNU R clustering for business analytics
r-cran-cellranger (1.1.0-3)
GNU R package to map spreadsheet cell ranges to rows and columns
r-cran-cgdsr (1.3.0-2)
GNU R API for the MSKCC cancer genomics data server (CGDS)
r-cran-checkmate (2.0.0-1+b1)
GNU R fast and versatile argument checks
r-cran-chron (2.3-56-1)
GNU R package for chronologically ordered objects
r-cran-circlize (0.4.12-1)
Circular Visualization
r-cran-circular (0.4-93-2)
GNU R Circular Statistics
r-cran-class (7.3-18-1)
GNU R package for classification
r-cran-classint (0.4-3-1+b1)
GNU R Choose Univariate Class Intervals
r-cran-cli (2.3.0-1)
GNU R helpers for developing command line interfaces
r-cran-cliapp (0.1.1-1)
create rich command line applications in GNU R
r-cran-clipr (0.7.1-1)
Read and Write from the System Clipboard
r-cran-clisymbols (1.2.0-2)
Unicode Symbols at the R Prompt
r-cran-clubsandwich (0.5.3-1)
GNU R cluster-robust (Sandwich) variance estimators with small-sample
r-cran-clue (0.3-58-1)
GNU R cluster ensembles
r-cran-cluster (2.1.1-1)
GNU R package for cluster analysis by Rousseeuw et al
r-cran-clustergeneration (1.3.7-1)
GNU R random cluster generation (with specified degree of separation)
r-cran-cmprsk (2.2-10-1)
GNU R subdistribution analysis of competing risks
r-cran-cmstatr (0.7.1-1)
GNU R Statistical Methods for Composite Material Data
r-cran-coarsedatatools (0.6-5-2)
GNU R analysis of coarsely observed data
r-cran-coda (0.19-4-1)
Output analysis and diagnostics for MCMC simulations in R
r-cran-codetools (0.2-18-1)
GNU R package providing code analysis tools
r-cran-coin (1.4-0-1)
GNU R package providing conditional inference procedures
r-cran-colorspace (2.0-0+dfsg-1)
GNU R Color Space Manipulation
r-cran-colourpicker (1.1.0+dfsg-1)
GNU R colour picker tool for selecting colours in plots
r-cran-combinat (0.0-8-7)
GNU R package with utilities for combinatorics
r-cran-commonmark (1.7-2)
high performance CommonMark and Github markdown rendering in R
r-cran-conditionz (0.1.0-2)
control how many times conditions are thrown for GNU R
r-cran-conquer (1.0.2-1)
GNU R package for convolution-type smoothed quantile regression
r-cran-contfrac (1.1-12-2)
GNU R package providing various utilities for evaluating continued fractions
r-cran-conting (1.7-2)
GNU R package for Bayesian analysis of contingency tables
r-cran-corpcor (1.6.9-4)
GNU R for Estimation of Covariance and Correlation -- corpcor
r-cran-corrplot (0.84-3)
Visualization of a Correlation Matrix
r-cran-covid19us (0.1.7-1)
cases of COVID-19 in the United States prepared for GNU R
r-cran-covr (3.5.1+dfsg-2)
test coverage for GNU R packages
r-cran-cowplot (1.1.1+dfsg-1)
GNU R streamlined plot theme and plot annotations for 'ggplot2'
r-cran-cpp11 (0.2.6-1)
C++11 interface for GNU R's C interface
r-cran-crayon (1.4.0-1)
GNU R colored terminal output
r-cran-credentials (1.3.0-2)
GNU R tools for managing SSH and Git credentials
r-cran-crosstalk (1.1.1+dfsg-2)
GNU R inter-widget interactivity for HTML widgets
r-cran-crul (1.0.0+dfsg-1)
simple HTTP Client for GNU R
r-cran-ctmcd (1.4.1-2)
GNU R estimating the parameters of a continuous-time Markov chain from
r-cran-cubature (2.0.4.1-1)
GNU R package for adaptive multivariate integration
r-cran-cubelyr (1.0.1-1)
GNU R data cube 'dplyr' backend
r-cran-curl (4.3+dfsg-1+b1)
GNU R modern and flexible web client for R
r-cran-cvst (0.2-2-3)
GNU R fast cross-validation via sequential testing
r-cran-d3network (0.5.2.1-3)
GNU R tools for creating D3 JavaScript network, tree, dendrogram etc.
r-cran-data.table (1.13.6+dfsg-1)
GNU R extension of Data.frame
r-cran-date (1.2.39-1+b1)
GNU R package for date handling
r-cran-dbi (1.1.1-1)
GNU R package providing a generic database interface
r-cran-dbitest (1.7.0-2)
GNU R testing 'DBI' back ends
r-cran-dbplyr (2.1.0-1)
GNU R dplyr back end for databases
r-cran-ddalpha (1.3.11-1+b1)
GNU R depth-based classification and calculation of data depth
r-cran-ddrtree (0.1.5-2)
GNU R learning principal graphs with DDRTree
r-cran-deal (1:1.2-39-2)
Learning Bayesian Networks with Mixed Variables
r-cran-decor (1.0.0-2)
GNU R retrieve code decorations
r-cran-deldir (0.2-9-1)
GNU R Delaunay Triangulation and Dirichlet (Voronoi) Tessellation
r-cran-dendextend (1.14.0+dfsg-1)
Extending 'dendrogram' Functionality in GNU R
r-cran-densityclust (0.3-2)
GNU R clustering by fast search and find of density peaks
r-cran-deoptim (2.2-5-1+b1)
GNU R global optimization by differential evolution
r-cran-deoptimr (1.0-8-1+b3)
GNU R package for Diffential Evolution in pure R
r-cran-desc (1.2.0-3)
GNU R manipulation of DESCRIPTION files
r-cran-desolve (1.28-1+b1)
GNU R package providing functions that solve initial value problems
r-cran-devtools (2.3.2-1)
Tools to Make Developing R Packages Easier
r-cran-dfoptim (2020.10-1-1)
GNU R derivative-free optimization
r-cran-diagnosismed (0.2.3-7)
medical diagnostic test accuracy analysis toolkit
r-cran-dichromat (1:2.0-0-3)
GNU R color schemes for dichromats
r-cran-diffobj (0.3.2-1)
diffs for GNU R objects
r-cran-digest (0.6.27-1)
GNU R package for 'hash digest' of R data structures
r-cran-dimred (0.2.3-2)
GNU R framework for dimensionality reduction
r-cran-diptest (0.75-7-2)
Hartigan's Dip Test Statistic for Unimodality - Corrected
r-cran-discriminer (0.1-29-3)
GNU R tools of the trade for discriminant analysis
r-cran-distory (1.4.4-1+b1)
GNU R distance between phylogenetic histories
r-cran-docopt (0.7.1-2)
GNU R command-line interface specification language
r-cran-domc (1.3.7-1)
GNU R parallel excution backend for %dopar% using multicore
r-cran-doparallel (1.0.16-1)
GNU R foreach parallel adaptor for the parallel package
r-cran-dorng (1.8.2-2)
GNU R generic reproducible parallel backend for 'foreach' loops
r-cran-dosefinding (0.9-17-1+b1)
Planning and Analyzing Dose Finding experiments
r-cran-dosnow (1.0.19-1)
GNU R parallel excution backend for %dopar% using snow
r-cran-dotcall64 (1.0-0-2)
Enhanced Foreign Function Interface Supporting Long Vectors
r-cran-downlit (0.2.1-2)
GNU R syntax highlighting and automatic linking
r-cran-downloader (0.4-4)
GNU R package for downloading files over http and https
r-cran-dplyr (1.0.4-1)
GNU R grammar of data manipulation
r-cran-dqrng (0.2.1+dfsg-4+b1)
GNU R fast pseudo random number generators
r-cran-drr (0.0.4-2)
GNU R dimensionality reduction via regression
r-cran-dslabs (0.7.3-2)
GNU R Data Science Labs
r-cran-dt (0.17+dfsg-3)
GNU R wrapper of the JavaScript library 'DataTables'
r-cran-dtplyr (1.0.1-2)
GNU R data table back-end for 'dplyr'
r-cran-dygraphs (1.1.1.6+dfsg-2)
GNU R interface to 'Dygraphs' interactive time series charting library
r-cran-dynamictreecut (1.63-1-3)
Methods for Detection of Clusters in Hierarchical Clustering
r-cran-dynlm (0.3.6-2)
GNU R package for dynamic linear models and time series regression
r-cran-e1071 (1.7-4-1)
GNU R package with miscellaneous functions of the Dept of Statisics (e1071)
r-cran-eaf (1.9-1-1+b1)
GNU R plots of the empirical attainment function
r-cran-earth (5.3.0-1)
GNU R multivariate adaptive regression splines
r-cran-eco (4.0-1-3)
GNU R routines for Bayesian ecological inference
r-cran-ecodist (2.0.7-1)
GNU R package for dissimilarity-based ecological analysis
r-cran-ecosolver (0.5.4-3)
Embedded Conic Solver in R
r-cran-effects (4.2.0-1)
GNU R graphical and tabular effects display for glm models
r-cran-effectsize (0.4.3-2)
GNU R indices of effect size and standardized parameters
r-cran-egg (0.4.5-3)
GNU R extensions for 'ggplot2': custom geom, custom themes, plot
r-cran-ei (1.3-3-3)
GNU R ecological inference
r-cran-eipack (0.2-1-1+b1)
GNU R ecological inference and higher-dimension data management
r-cran-ellipse (0.4.2-1)
GNU R functions for drawing ellipses and ellipse-like confidence regions
r-cran-ellipsis (0.3.1-1)
GNU R package for working with ... (variable arguments)
r-cran-elliptic (1.4-0-2)
GNU R package providing elliptic and related functions
r-cran-emmeans (1.5.4-1)
GNU R estimated marginal means, aka least-squares means
r-cran-emoa (0.5-0.1-1+b1)
GNU R evolutionary multiobjective optimization algorithms
r-cran-energy (1.7-8-1)
GNU R package for energy statistics for distribution comparison
r-cran-epi (2.43-1)
GNU R epidemiological analysis
r-cran-epibasix (1.5-2)
GNU R Elementary Epidemiological Functions
r-cran-epicalc (2.15.1.0-5)
GNU R Epidemiological calculator
r-cran-epiestim (2.2-4+dfsg-1)
GNU R estimate time varying reproduction numbers from rpidemic curves
r-cran-epir (2.0.19-1)
GNU R Functions for analysing epidemiological data
r-cran-epitools (1:0.5-10.1-2)
GNU R Epidemiology Tools for Data and Graphics
r-cran-erm (1.0-1-1+b1)
GNU R package for 'extended Rasch modelling'
r-cran-estimability (1.3-3)
GNU R package providing tools for determining estimability of linear functions
r-cran-etm (1.1.1-1)
GNU R empirical transition matrix
r-cran-evaluate (0.14-2)
GNU R parsing and evaluation tools
r-cran-evd (2.3-3-3)
GNU R Functions for extreme value distributions
r-cran-exactranktests (0.8-31-2+b1)
GNU R exact distributions for rank and permutation tests
r-cran-expint (0.1-6-1+b1)
GNU R exponential integral and incomplete Gamma function
r-cran-expm (0.999-6-1)
GNU R Computation of the matrix exponential and related quantities
r-cran-extradistr (1.9.1-1)
additional univariate and multivariate distributions for GNU R
r-cran-factoextra (1.0.7-2)
GNU R extract and visualize multivariate data analyses
r-cran-factominer (2.4-1)
Multivariate Exploratory Data Analysis and Data Mining
r-cran-fail (1.3-4)
GNU R File Abstraction Interface Layer (FAIL) mimicking a key-value store
r-cran-fansi (0.4.2-1)
GNU R ANSI control sequence aware string functions
r-cran-farver (2.0.3-1+b1)
GNU R high performance colour space manipulation
r-cran-fasianoptions (3042.82-1+b3)
GNU R package for financial engineering -- fAsianOptions
r-cran-fassets (3042.84-1+b2)
GNU R package for financial engineering -- fAssets
r-cran-fastcluster (1.1.25-3+b5)
Fast hierarchical clustering routines for GNU R
r-cran-fastica (1.2-2-2+b1)
GNU R package for ICA and Projection Pursuit
r-cran-fastmap (1.1.0-1)
GNU R fast implementation of a key-value store
r-cran-fastmatch (1.1-0-3)
GNU R package for fast match replacement for repeated look-ups
r-cran-fauxpas (0.5.0+dfsg-2)
GNU R HTTP error helpers
r-cran-fbasics (3042.89.1-1+b1)
GNU R package for financial engineering -- fBasics
r-cran-fbonds (3042.78-4)
GNU R package for financial engineering -- fBonds
r-cran-fcopulae (3042.82.1-1+b1)
GNU R package for financial engineering -- fCopulae
r-cran-fdrtool (1.2.16-1)
GNU R estimation of false discovery dates and higher criticism
r-cran-fexoticoptions (3042.80-3)
GNU R package for financial engineering -- fExoticOptions
r-cran-fextremes (3042.82-3)
GNU R package for financial engineering -- fExtremes
r-cran-ff (4.0.4+ds-1+b1 [amd64], 4.0.4+ds-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Memory-Efficient Fast-Access Storage of Large Data
r-cran-ffield (0.1.0-3)
Force field simulation for a set of points
r-cran-fftw (1.0-6-2)
GNU R fast FFT and DCT Based on the FFTW Library
r-cran-fgarch (3042.83.2-1+b1)
GNU R package for financial engineering -- fGarch
r-cran-fields (11.6-1)
GNU R tools for spatial data
r-cran-filehash (2.4-2-3)
GNU R simple key-value database
r-cran-fimport (3042.85-3)
GNU R package for financial engineering -- fImport
r-cran-findpython (1.0.7-1)
GNU R functions to find an acceptable Python binary
r-cran-fingerprint (3.5.7-2+b1)
GNU R functions to operate on binary fingerprint data
r-cran-fit.models (0.64-1)
Compare Fitted Models
r-cran-fitbitscraper (0.1.8-6)
Import your Fitbit data from the Fitbit's website into R
r-cran-fitcoach (1.0-4)
R package for analysis and retrieve data of Fitbit
r-cran-fitdistrplus (1.1-3-1)
support fit of parametric distribution
r-cran-flashclust (1.01-2-3)
Implementation of optimal hierarchical clustering
r-cran-flexmix (2.3-17-1)
GNU R flexible mixture modeling
r-cran-fmultivar (3042.80.1-2)
GNU R package for financial engineering -- fMultivar
r-cran-fnn (1.1.3-2)
GNU R fast nearest neighbor search algorithms and applications
r-cran-fnonlinear (3042.79-1+b3)
GNU R package for financial engineering -- fNonlinear
r-cran-fontbitstreamvera (0.1.1+dfsg-4)
GNU R fonts with 'Bitstream Vera Fonts' license
r-cran-fontliberation (0.1.0+dfsg-4)
Liberation Fonts for GNU R
r-cran-fontquiver (0.2.1-3)
set of installed fonts for GNU R
r-cran-foptions (3042.86-1+b3)
GNU R package for financial engineering -- fOptions
r-cran-forcats (0.5.1-1)
GNU R package for working with categorical variables (factors)
r-cran-foreach (1.5.1-1)
GNU R foreach looping support
r-cran-forecast (8.13-1)
GNU R forecasting functions for time series and linear models
r-cran-foreign (0.8.81-1)
GNU R package to read/write data from other stat. systems
r-cran-formatr (1.7-2)
Format R code automatically
r-cran-formattable (0.2.1+dfsg-1)
GNU R create 'formattable' data structures
r-cran-formula (1.2-4-1)
GNU R package for extended model formulas
r-cran-fpc (2.2-9-1)
GNU R flexible procedures for clustering
r-cran-fportfolio (3042.83.1-1+b1)
GNU R package for financial engineering -- fPortfolio
r-cran-fracdiff (1.5-1-1+b1)
GNU R fractionally differenced ARIMA aka ARFIMA(p,d,q) models
r-cran-freetypeharfbuzz (0.2.6+dfsg-1)
GNU R deterministic computation of text box metrics
r-cran-fregression (3042.82-1+b2)
GNU R package for financial engineering -- fRegression
r-cran-fs (1.5.0+dfsg-1)
GNU R cross-platform file system operations
r-cran-ftrading (3042.79-3)
GNU R package for financial engineering -- fTrading
r-cran-fts (0.9.9.2-2)
GNU R interface to tslib
r-cran-funitroots (3042.79-1+b3)
GNU R package for financial engineering -- fUnitRoots
r-cran-furrr (0.2.2-1)
GNU R apply mapping functions in parallel using futures
r-cran-futile.logger (1.4.3-4)
logging utility for GNU R
r-cran-futile.options (1.0.1-3)
GNU R futile options management
r-cran-future (1.21.0+dfsg-1)
R package: A Future API for R
r-cran-future.apply (1.7.0-1)
apply function to elements in parallel using futures
r-cran-future.batchtools (0.10.0+dfsg-1)
Future API for Parallel and Distributed Processing
r-cran-g.data (2.4-4)
GNU R package for delayed-data
r-cran-gam (1.20-1)
Generalized Additive Models for R
r-cran-gamm4 (0.2-6-2)
GNU R generalized additive mixed models using 'mgcv' and 'lme4'
r-cran-gbm (2.1.8-1)
GNU R package providing Generalized Boosted Regression Models
r-cran-gbrd (0.4-11-2)
GNU R utilities for processing Rd objects and files
r-cran-gclus (1.3.2-2)
GNU R clustering graphics
r-cran-gdata (2.18.0-3)
GNU R package with data manipulation tools by Greg Warnes et al
r-cran-gdtools (0.2.3-1)
GNU R utilities for graphical rendering
r-cran-gee (4.13-20-2)
Generalized Estimation Equation Solver
r-cran-geepack (1.3-2-1)
Generalized Estimating Equation Package for R
r-cran-genabel (1.8-0-4)
GNU R package for genome-wide SNP association analysis
r-cran-genabel.data (1.0.0-4)
data package for genome-wide SNP association analysis
r-cran-generics (0.1.0-1)
GNU R common S3 generics not provided by base R methods
r-cran-genetics (1.3.8.1.2-2)
GNU R package for population genetics
r-cran-genie (1.0.5-2)
GNU R fast, robust, and outlier resistant hierarchical clustering
r-cran-genieclust (0.9.4-1+b1 [amd64], 0.9.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R Genie++ Hierarchical Clustering Algorithm with Noise Points Detection
r-cran-geometry (0.4.5-1+b1)
GNU R mesh generation and surface tesselation
r-cran-geosphere (1.5-10-2)
GNU R Spherical Trigonometry
r-cran-gert (1.1.0-1)
simple git client for GNU R
r-cran-getopt (1.20.3-3)
GNU R package providing command-line parsing functionality
r-cran-getoptlong (1.0.5+dfsg-1)
GNU R parsing command-Line arguments and variable interpolation
r-cran-ggalluvial (0.12.3-1)
GNU R alluvial plots in 'ggplot2'
r-cran-ggally (2.1.0-1)
GNU R extension to r-cran-ggplot2
r-cran-gganimate (1.0.7-1)
GNU R grammar of animated graphics
r-cran-ggbeeswarm (0.6.0-3)
GNU R categorical scatter (violin point) plots
r-cran-ggdendro (0.1.22+dfsg-1)
GNU R create dendrograms and tree diagrams using 'ggplot2'
r-cran-ggeffects (1.0.1-1)
GNU R create tidy data frames of marginal effects for 'ggplot'
r-cran-ggforce (0.3.2-1)
accelerating GNU R ggplot2
r-cran-ggm (2.5-2)
GNU R functions for graphical Markov models
r-cran-ggplot.multistats (1.0.0-2)
GNU R multiple summary statistics for binned stats/geometries
r-cran-ggplot2 (3.3.3+dfsg-1)
implementation of the Grammar of Graphics
r-cran-ggpubr (0.4.0-1)
GNU R ggplot2 based publication ready plots
r-cran-ggraph (2.0.4-1)
GNU R implementation of grammar of graphics for graphs and networks
r-cran-ggrepel (0.9.1-1)
auto-position non-overlapping text labels in plots
r-cran-ggridges (0.5.3-1)
Ridgeline Plots in 'ggplot2'
r-cran-ggsci (2.9-3)
Scientific Journal and Sci-Fi Themed Color Palettes
(0.1-2)
GNU R ggplot2 extension for publication-ready sequence logos
r-cran-ggsignif (0.6.0-3)
GNU R significance brackets for ggplot2
r-cran-ggthemes (4.2.4-1)
extra themes, scales and geoms for r-cran-ggplot2
r-cran-ggvis (0.4.7+dfsg-2)
GNU R interactive grammar of graphics
r-cran-gh (1.2.0-1)
GNU R Minimal client to access the 'GitHub' 'API'
r-cran-git2r (0.28.0-1)
GNU R access to Git repositories
r-cran-gitcreds (0.1.1-2)
query 'git' credentials from GNU R
r-cran-glasso (1.11-1+b1)
GNU R graphical lasso: estimation of Gaussian graphical models
r-cran-glmmtmb (1.0.2.1-1+b1)
Generalized Linear Mixed Models using Template Model Builder
r-cran-glmnet (4.1-2)
Lasso and Elastic-Net Regularized Generalized Linear Models
r-cran-globaloptions (0.1.2-1)
Generate Functions to Get or Set Global Options
r-cran-globals (0.14.0-1)
R package: Identify Global Objects in R Expressions
r-cran-glue (1.4.2-1)
GNU R interpreted string literals
r-cran-gmaps (0.2-5)
GNU R support for producing geographic maps with grid graphics
r-cran-gmm (1.6-5-1)
GNU R generalized method of moments and generalized empirical likelihood
r-cran-gmodels (2.18.1-2)
GNU R package with tools for model fitting by Greg Warnes et al
r-cran-gmp (0.6-2-1)
Multiple Precision Arithmetic
r-cran-gnm (1.1-1-2+b1)
GNU R package for generalized nonlinear models
r-cran-goftest (1.2-2-1+b1)
GNU R Classical Goodness-of-Fit Tests for Univariate Distributions
r-cran-googlevis (0.6.9+dfsg-1)
GNU R Interface to Google Charts
r-cran-goplot (1.0.2-2)
GNU R visualization of functional analysis data
r-cran-gower (0.2.2-1)
GNU R Gower's Distance
r-cran-gparotation (2014.11-1-3)
GNU R GPA factor rotation
r-cran-gplots (3.1.1-1)
GNU R package with tools for plotting data by Greg Warnes et al
r-cran-gprofiler (0.7.0-2)
Interface to the 'g:Profiler' Toolkit
r-cran-graphlayouts (0.7.1-1)
GNU R additional layout algorithms for network visualizations
r-cran-gregmisc (2.1.5-4)
GNU R package with miscellaneous functions by Greg Warnes et al
r-cran-gridbase (0.4-7-5)
GNU R Integration of base and grid graphics
r-cran-gridextra (2.3-3)
GNU R package with extensions for the grid package
r-cran-gridgraphics (0.5-1-1)
GNU R redraw base graphics using 'grid' graphics
r-cran-gridsvg (1.7-2-2)
GNU R export 'grid' graphics as SVG
r-cran-gridtext (0.1.4-1)
GNU R improved text rendering support for 'Grid' graphics
r-cran-grimport2 (0.2-0-3)
GNU R importing 'SVG' graphics
r-cran-gsa (1.03.1-2)
GNU R gene set analysis
r-cran-gsl (2.1-6-2)
GNU R wrapper for the GNU Scientific Library
r-cran-gss (2.2-2-1)
GNU R package for multivariate estimation using smoothing splines
r-cran-gtable (0.3.0+dfsg-2)
Arrange grobs in tables
r-cran-gtools (3.8.2-1+b1)
GNU R package with R programming tools by Greg Warnes et al
r-cran-guerry (1.7.0-2)
maps, data and methods related to Guerry moral statistics
r-cran-gwidgets (0.0-54.2-2)
gWidgets API for Toolkit-Independent, Interactive GUIs
r-cran-gwidgetsrgtk2 (0.0-86.1-1)
Toolkit Implementation of gWidgets for RGtk2
r-cran-gwidgetstcltk (0.0-55.1-3)
Toolkit implementation of gWidgets for tcltk package
r-cran-haplo.stats (1.8.6-2)
GNU R package for haplotype analysis
r-cran-hash (2.2.6.1-3)
GNU R full feature implementation of hash/associated arrays/dictionaries
r-cran-haven (2.3.1-1)
GNU R package to import/export SPSS, Stata and SAS files
r-cran-hdf5 (1.6.10-4.1+b5)
GNU R package interfacing the NCSA HDF5 library
r-cran-hdf5r (1.3.3+dfsg-5)
GNU R interface to the 'HDF5' binary data format
r-cran-heatmaply (1.2.1+dfsg-1)
GNU R interactive cluster heat maps using 'plotly'
r-cran-hexbin (1.28.2-1)
GNU R hexagonal binning routines
r-cran-highr (0.8+dfsg-2)
Syntax Highlighting for R Source Code
r-cran-hmisc (4.5-0-1)
GNU R miscellaneous functions by Frank Harrell
r-cran-hms (1.0.0-1)
GNU R pretty time of day
r-cran-hsaur3 (1.0-10-1)
Handbook of Statistical Analyses Using R (3rd Edition)
r-cran-htmltable (2.1.0-1)
GNU R package for advanced html tables
r-cran-htmltools (0.5.1.1-1)
GNU R tools for HTML
r-cran-htmlwidgets (1.5.3+dfsg-1)
GNU R HTML Widgets
r-cran-httpcode (0.3.0-2)
GNU R HTTP Status Code Helper
r-cran-httpuv (1.5.5+dfsg-1)
GNU R package of HTTP and WebSocket Server Library
r-cran-httr (1.4.2-1)
GNU R tools for working with URLs and HTTP
r-cran-huge (1.3.4.1-1+b1)
GNU R high-dimensional undirected graph estimation
r-cran-hwriter (1.3.2-4)
HTML Writer - Outputs R objects in HTML format
r-cran-hypergeo (1.2-13-4)
GNU R package providing the Gaussian hypergeometric for complex numbers
r-cran-ica (1.0-2-3)
Independent Component Analysis
r-cran-igraph (1.2.6-1)
GNU R network analysis and visualization
r-cran-incidence (1.7.3-1)
GNU R compute, handle, plot and model incidence of dated events
r-cran-ini (0.3.1-2)
Read and Write '.ini' Files
r-cran-inline (0.3.17-1)
GNU R package to inline C, C++, Fortran functions from R
r-cran-insight (0.12.0-1)
GNU R easy access to model information for various model objects
r-cran-int64 (1.1.2-4+b3)
GNU R package for 64 bit integer types
r-cran-interp (1.0-33-1+b1)
GNU R interpolation methods
r-cran-intervals (0.15.2-1+b1)
GNU R tools for working with points and intervals
r-cran-inum (1.0-2-1)
GNU R interval and enum-type representation of vectors
r-cran-ipred (0.9-9-2)
GNU R improved predictors
r-cran-irace (3.4.1-1+b1)
GNU R iterated racing for automatic algorithm configuration
r-cran-irdisplay (1.0-1)
Rich display library for the Jupyter R kernel
r-cran-irkernel (1.1.1-1)
Native R kernel for the Jupyter notebook
r-cran-irlba (2.3.3-2)
GNU R fast truncated SVD, PCA and symmetric eigendecomposition
r-cran-iso (0.0-18.1-1)
GNU R functions to perform isotonic regression
r-cran-isoband (0.2.3-2)
Generate Isolines and Isobands from Regularly Spaced Elevation
r-cran-isocodes (2020.12.04-1)
GNU R package providing tables for several ISO codes
r-cran-isospec
本虛擬套件由這些套件填實: r-cran-isospecr
r-cran-isospecr (2.1.3-1)
GNU R IsoSpec Algorithm
r-cran-isoweek (0.6-2-3)
GNU R week of the year and weekday according to ISO 8601
r-cran-iterators (1.0.13-1)
GNU R iterator support for vectors, lists and other containers
r-cran-itertools (0.1-3-3)
Iterator Tools
r-cran-its (1.1.8-8)
GNU R package for handling irregular time series
r-cran-jomo (2.7-2-1)
GNU R multilevel joint modelling multiple imputation
r-cran-jpeg (0.1-8.1-1+b1)
Read and write JPEG images
r-cran-jrc (0.4.0-1)
Exchange Commands Between R and 'JavaScript'
r-cran-jsonld (2.2+dfsg-1)
GNU R JSON for linking data
r-cran-jsonlite (1.7.2+dfsg-1)
Robust, High Performance JSON Parser and Generator for R
r-cran-kaos (0.1.2-2)
Encoding of Sequences Based on Frequency Matrix Chaos
r-cran-kedd (1.0.3-2)
Kernel Estimator+Bandwidth Selection - Density+Derivatives
r-cran-kernlab (0.9-29-1+b1)
GNU R package for kernel-based machine learning lab
r-cran-kernsmooth (2.23-18-1)
GNU R package for kernel smoothing and density estimation
r-cran-km.ci (0.5-2-2+b1)
GNU R confidence intervals for the Kaplan-Meier estimator
r-cran-kmi (0.5.5-2)
GNU R Kaplan-Meier Multiple Imputation
r-cran-kmsurv (0.1-5-2+b1)
data sets for GNU R from Klein and Moeschberger (1997), Survival Analysis
r-cran-knitr (1.31+dfsg-1)
GNU R package for dynamic report generation using Literate Programming
r-cran-knn.covertree (1.0-2)
GNU R accurate kNN implementation with multiple distance measures
r-cran-ks (1.11.7-1+b1)
GNU R kernel smoothing
r-cran-ksamples (1.2-9-2)
GNU R K-Sample Rank Tests and their Combinations
r-cran-kutils (1.70+dfsg-2)
GNU R project management tools
r-cran-labeling (0.4.2-1)
GNU R Axis Labeling optimization
r-cran-laeken (0.5.1-2)
GNU R estimation of indicators on social exclusion and poverty
r-cran-lambda.r (1.2.4-2)
GNU R modeling data with functional programming
r-cran-lasso2 (1.2-21.1-1)
GNU R L1 constrained estimation aka `lasso'
r-cran-later (1.1.0.1+dfsg-1)
GNU R utilities for delaying function execution
r-cran-lattice (0.20-41-1+b1)
GNU R package for 'Trellis' graphics
r-cran-latticeextra (0.6-29-3)
GNU R package of additional graphical displays based on lattice
r-cran-lava (1.6.8.1-1)
GNU R latent variable models
r-cran-lavaan (0.6.7-1)
GNU R package for latent variable analysis -- lavaan
r-cran-lavasearch2 (1.5.6+dfsg-1)
GNU R tools for model specification in the latent variable framework
r-cran-lazyeval (0.2.2-1+b1)
GNU R lazy (non-standard) evaluation
r-cran-lbfgsb3c (2020-3.2-2)
GNU R limited memory BFGS minimizer with bounds on Parameters
r-cran-leaps (3.1-1+b1)
Regression Subset Selection
r-cran-learnbayes (2.15.1-4)
GNU R functions for learning bayesian inference
r-cran-leiden (0.3.7+dfsg-1)
GNU R implementation of Leiden clustering algorithm
r-cran-lexrankr (0.5.2-2)
extractive summarization of text with the LexRank algorithm
r-cran-lhs (1.1.1-1)
GNU R Latin Hypercube Samples
r-cran-libcoin (1.0-7-1)
GNU R linear test statistics for permutation inference
r-cran-lifecycle (0.2.0-2)
manage the life cycle of your GNU R package functions
r-cran-linprog (0.9-2-2)
GNU R linear programming / optimization
r-cran-lisreltor (0.1.4-3)
import output from LISREL into GNU R
r-cran-listenv (0.8.0+dfsg-1)
R package: Environments Behaving (Almost) as Lists
r-cran-littler (0.3.12-1)
GNU R scripting and command-line front-end
r-cran-lme4 (1.1-26-1)
GNU R package for linear mixed effects model fitting
r-cran-lmertest (3.1-3-1)
GNU R tests in Linear Mixed Effects Models
r-cran-lmtest (0.9.38-1)
GNU R package for diagnostic checking in linear models
r-cran-locfit (1.5-9.4-2+b1)
GNU R local regression, likelihood and density estimation
r-cran-logcondens (2.1.5-3)
GNU R estimate a log-concave probability density from Iid observations
r-cran-logging (0.10-108-2)
GNU R logging package
r-cran-logspline (2.1.16-1+b1)
GNU R package providing routines for the logspline density estimation
r-cran-loo (2.4.1-1)
GNU R leave-one-out cross-validation and WAIC for Bayesian models
r-cran-lpsolve (5.6.15-1+b1)
GNU R package providing linear program solvers
r-cran-lsd (4.1-0-2)
Lots of Superior Depictions
r-cran-lsei (1.3-0-1)
solving problems under equality/inequality constraints
r-cran-lsmeans (2.30-0-2)
GNU R package providing least-squares means for various classes of models
r-cran-lubridate (1.7.9.2+dfsg-1)
simplifies dealing with dates in R
r-cran-luminescence (0.9.10-1)
GNU R comprehensive luminescence dating data analysis
r-cran-lwgeom (0.2-5-2)
GNU R bindings to selected 'liblwgeom' functions for simple features
r-cran-magic (1.5-9-2)
GNU R create and investigate magic squares
r-cran-magick (2.6.0+dfsg-1)
advanced graphics and image-processing in GNU R
r-cran-magrittr (2.0.1-1)
GNU R forward-pipe operator
r-cran-maldiquant (1.19.3-2)
GNU R package for quantitative analysis of mass spectrometry data
r-cran-maldiquantforeign (0.12-2)
GNU R package providing import/export routines for MALDIquant
r-cran-manipulatewidgets (0.9.0-3)
GNU R package for more interactivity in interactive charts
r-cran-mapdata (2.3.0-3)
GNU R support for producing geographic maps (supplemental data)
r-cran-mapproj (1.2.7-1+b1)
GNU R support for cartographic projections of map data
r-cran-maps (3.3.0-3)
GNU R support for producing geographic maps
r-cran-maptools (1:1.0-2+dfsg-1)
GNU R Tools for reading and handling spatial objects
r-cran-maptree (1.4-7-4)
GNU R mapping, pruning, and graphing tree models
r-cran-markdown (1.1+dfsg-1+b1)
GNU R package providing R bindings to the Sundown Markdown rendering library
r-cran-markovchain (0.8.5-4-1)
Easy Handling Discrete Time Markov Chains
r-cran-mass (7.3-53.1-1)
GNU R package of Venables and Ripley's MASS
r-cran-matching (4.9-7-1+b1)
multivariate and propensity score matching with balance optimization
r-cran-matchit (4.1.0-1)
GNU R package of nonparametric matching methods
r-cran-matlab (1.0.2-3)
MATLAB emulation package
r-cran-matrix (1.3-2-1)
GNU R package of classes for dense and sparse matrices
r-cran-matrixcalc (1.0.3-5)
GNU R functions for matrix calculations -- matrixcalc
r-cran-matrixmodels (0.4-1-3)
GNU R package for sparse and dense matrix models
r-cran-matrixstats (0.58.0-3)
GNU R methods that apply to rows and columns of a matrix
r-cran-maxlik (1.4-6-1)
GNU R maximum likelihood estimation
r-cran-maxstat (0.7-25-2+b1)
GNU R maximally selected rank statistics
r-cran-mclust (5.4.7-1)
Gaussian Mixture Modelling for Model-Based Clustering
r-cran-mcmc (0.9-7-1+b1)
GNU R package for Markov Chain Monte Carlo simulations
r-cran-mcmcpack (1.5-0-1)
R routines for Markov chain Monte Carlo model estimation
r-cran-mda (0.5-2-1)
GNU R mixture and flexible discriminant analysis
r-cran-medadherence (1.03-6)
GNU R Medication Adherence: Commonly Used Definitions
r-cran-mediana (1.0.8-3)
clinical trial simulations
r-cran-memoise (2.0.0-1)
Memoise functions
r-cran-mertools (0.5.2-1)
GNU R tools for analyzing mixed effect regression models
r-cran-metafor (2.4-0-2)
Meta-Analysis Package for R
r-cran-metamix (0.3-2+b1)
GNU R bayesian mixture analysis for metagenomic community profiling
r-cran-metap (1.3-2)
Meta-Analysis of Significance Values
r-cran-metrics (0.1.4-2)
GNU R evaluation metrics for machine learning
r-cran-mets (1.2.8.1+dfsg-1)
GNU R analysis of multivariate event times
r-cran-mfilter (0.1.5-2)
GNU R package providing miscellaneous time series filters
r-cran-mgcv (1.8-34-1)
GNU R package for multiple parameter smoothing estimation
r-cran-mi (1.0-8)
GNU R package for Missing Data Imputation and Model Checking -- mi
r-cran-mice (3.13.0-2)
GNU R multivariate imputation by chained equations
r-cran-mime (0.9-1+b1)
R package which maps filenames to MIME Types
r-cran-minerva (1.5.8-2+b1)
Maximal Information-Based Nonparametric Exploration
r-cran-miniui (0.1.1.1-3)
Shiny UI Widgets for Small Screens
r-cran-minpack.lm (1.2-1-5)
GNU R Levenberg-Marquardt nonlinear least-squares algorithm found in MINPACK
r-cran-minqa (1.2.4-1+b5)
GNU R package for quadratic optimisation without derivatives
r-cran-misc3d (0.9-0-1)
GNU R collection of 3d plot functions and rgl-based isosurfaces
r-cran-misctools (0.6-26-2)
GNU R miscellaneous tools and utilities
r-cran-mitml (0.4-0-1)
GNU R tools for multiple imputation in multilevel modeling
r-cran-mitools (2.4-2)
GNU R tools for multiple imputation of missing data
r-cran-mixtools (1.2.0-1+b1)
GNU R tools for analyzing finite mixture models
r-cran-mlbench (2.1-3-1)
GNU R Machine Learning Benchmark Problems
r-cran-mlmetrics (1.1.1-3)
GNU R machine learning evaluation metrics
r-cran-mlmrev (1.0-8-2)
GNU R Examples from Multilevel Modelling Software Review
r-cran-mlr (2.18.0+dfsg-1)
Machine learning in GNU R
r-cran-mnormt (2.0.2-1)
GNU R package providing multivariate normal and t distribution
r-cran-mnp (3.1-1-1)
GNU R package for fitting multinomial probit (MNP) models
r-cran-mockery (0.4.2+dfsg-2)
mocking library for GNU R
r-cran-mockr (0.1-3)
mocking in GNU R
r-cran-modeldata (0.1.0-1)
Data Sets Used Useful for Modeling Packages
r-cran-modelmetrics (1.2.2.2-1+b1)
GNU R Rapid Calculation of Model Metrics
r-cran-modelr (0.1.8-1)
GNU R modelling functions that work with the pipe
r-cran-modeltools (0.2-23-2)
GNU R package providing a collection of tools to deal with statistical models
r-cran-msm (1.6.8-1+b1)
GNU R Multi-state Markov and hidden Markov models in continuous time
r-cran-multcomp (1.4-16-1)
GNU R package for multiple comparison procedures
r-cran-multcompview (0.1-8-2)
GNU R visualizations of paired comparisons
r-cran-multicool (0.1-11-2+b1)
GNU R permutations of multisets in Cool-Lex order
r-cran-multicore (0.2-1+b3)
GNU R parallel processing on multi-core or multi-cpu machines
r-cran-multidimbio (1.2.2-2)
GNU R multivariate analysis and visualization for biological data
r-cran-multilevel (2.6-3)
GNU R multilevel functions for applied psychology
r-cran-munsell (0.5.0-2)
Utilities for using Munsell colors
r-cran-mutoss (0.1-12-3)
GNU R unified multiple testing procedures
r-cran-mvnfast (0.2.5.1+dfsg-1)
GNU R fast multivariate normal and student's t methods
r-cran-mvnormtest (0.1-9-1+b3)
GNU R package for multivariate normality test
r-cran-mvtnorm (1.1-1-1)
GNU R package to compute multivariate Normal and T distributions
r-cran-nanotime (0.3.2-1+b1 [amd64], 0.3.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R package for nanosecond-resolution time support
r-cran-natserv (1.0.0+dfsg-1)
GNU R 'NatureServe' Interface
r-cran-ncdf4 (1.17-1+b3)
GNU R interface to Unidata netCDF format data files
r-cran-ncdfgeom (1.1.0-3)
GNU R NetCDF geometry and time series
r-cran-ncmeta (0.3.0-1)
GNU R Straightforward 'NetCDF' Metadata
r-cran-network (1.16.1-1)
Classes for Relational Data
r-cran-nfactors (2.4.1-2)
GNU R analysis and solutions to the Cattell Scree test
r-cran-nleqslv (3.3.2-2)
GNU R package for solving systems of nonlinear equations
r-cran-nlme (3.1.152-1)
GNU R package for (non-)linear mixed effects models
r-cran-nloptr (1.2.2.2-1)
GNU R package for interface to NLopt
r-cran-nlp (0.2-1-1)
Natural Language Processing Infrastructure for R
r-cran-nmf (0.23.0-1)
GNU R framework to perform non-negative matrix factorization
r-cran-nnet (7.3-15-1)
GNU R package for feed-forward neural networks
r-cran-nnls (1.4-3+b2)
GNU R package for non-negative least squares (the Lawson-Hanson algorithm)
r-cran-nortest (1.0-4-3)
GNU R package with five tests for normality
r-cran-nozzle.r1 (1.1-1+dfsg-4)
GNU R nozzle reports
r-cran-npsurv (0.5-0-1)
Nonparametric Survival Analysis
r-cran-numderiv (2016.8-1.1-3)
GNU R package for accurate numerical derivatives
r-cran-openmx (2.18.1-3)
GNU R extended structural equation modelling
r-cran-openssl (1.4.3+dfsg-2)
GNU R toolkit for encryption, signatures and certificates based on OpenSSL
r-cran-openxlsx (4.2.3-1)
GNU R package to read and write XLSX files
r-cran-optimalcutpoints (1.1-4-2)
Computing Optimal Cutpoints in Diagnostic Tests
r-cran-optimx (2020-4.2+dfsg-2)
GNU R expanded replacement and extension of the 'optim' function
r-cran-optparse (1.6.6-2)
GNU/R Command line option parser
r-cran-ordinal (2019.12-10-1+b1)
GNU R regression models for ordinal data
r-cran-packrat (0.5.0-3)
GNU R dependency management system for R package dependencies
r-cran-pan (1.6-2)
GNU R multiple imputation for multivariate panel or clustered data
r-cran-pander (0.6.3+dfsg-2)
GNU R 'Pandoc' writer
r-cran-parallelly (1.23.0-1)
GNU R enhancing the 'parallel' package
r-cran-parallelmap (1.5.0-3)
GNU R unified interface to parallelization back-ends
r-cran-parameters (0.11.0-1)
GNU R processing of model parameters
r-cran-paramhelpers (1.14-1+b1)
GNU R helpers for parameters in black-box optimization and tuning
r-cran-parmigene (1.1.0-1)
Parallel Mutual Information to establish Gene Networks
r-cran-parsetools (0.1.3-2)
GNU R parse tools
r-cran-party (1.3-5-1)
GNU R laboratory for recursive partytioning
r-cran-partykit (1.2-11-2)
GNU R toolkit for recursive partytioning
r-cran-patchwork (1.1.1-1)
GNU R the composer of plots
r-cran-pbapply (1.4-3-1)
GNU R package providing progress bars for vectorized R functions
r-cran-pbdzmq (0.3.5+dfsg-1)
R bindings for ZeroMQ from the pbdR project
r-cran-pbivnorm (0.6.0-4)
GNU R package for calculating probabilities from a bivariate normal CDF
r-cran-pbkrtest (0.5-0.1-2)
GNU R package for tests in linear mixed-effect models
r-cran-pbmcapply (1.5.0-2)
GNU R tracking the progress of Mc*pply with progress bar
r-cran-pcapp (1.9-73-2+b1)
Robust PCA by Projection Pursuit
r-cran-pcict (0.5-4.1-2)
GNU R Implementation of POSIXct work-alike calendars
r-cran-pdftools (2.3.1-1)
GNU R text extraction, rendering and converting of PDF documents
r-cran-performance (0.6.1-1)
GNU R assessment of regression models performance
r-cran-permute (0.9-5-2)
R functions for generating restricted permutations of data
r-cran-phangorn (2.5.5-2)
GNU R package for phylogenetic analysis
r-cran-pheatmap (1.0.12-2)
GNU R package to create pretty heatmaps
r-cran-phylobase (0.8.10-1+b1)
GNU R base package for phylogenetic structures and comparative data
r-cran-phytools (0.7-70-1)
GNU R phylogenetic tools for comparative biology
r-cran-pillar (1.4.7-1)
GNU R coloured formatting for columns
r-cran-pixmap (0.4-12-1)
GNU R bitmap images (Pixel Maps)
r-cran-pkgbuild (1.2.0-1)
find tools needed to build GNU R packages
r-cran-pkgcond (0.1.0-3)
GNU R classed error and warning conditions
r-cran-pkgconfig (2.0.3-2)
Private Configuration for 'R' Packages
r-cran-pkgkitten (0.2.1-1)
GNU R package to create simple packages
r-cran-pkgload (1.1.0-1)
simulate GNU R package installation and attach
r-cran-pkgmaker (0.32.2-1)
GNU R package development utilities
r-cran-pki (0.1-8-1)
public key infrastucture for R based on the X.509 standard
r-cran-plm (2.4-0-1)
GNU R estimators and tests for panel data econometrics
r-cran-plogr (0.2.0-3)
GNU R C++ Logging Library
r-cran-plotly (4.9.3+dfsg-2)
create interactive web graphics via 'plotly.js' in GNU R
r-cran-plotmo (3.6.0-1)
GNU R plot a model's response and residuals
r-cran-plotrix (3.8-1-1)
GNU R package providing various plotting functions
r-cran-pls (2.7-3-1)
GNU R partial least squares and principal component regression
r-cran-plumber (1.0.0-1)
API Generator for GNU R
r-cran-plyr (1.8.6-2)
tools for splitting, applying and combining data
r-cran-png (0.1-7-4)
GNU R package to read and write PNG images
r-cran-poissonbinomial (1.2.1-1)
GNU R Efficient Computation of Ordinary and Generalized Poisson
r-cran-polspline (1.1.19-1)
GNU R package providing polynomial spline fitting
r-cran-polyclip (1.10-0-2)
GNU R Polygon Clipping
r-cran-polycor (0.7-10-2)
GNU R polychoric and polyserial correlations
r-cran-polycub (0.8.0-2)
GNU R Cubature over Polygonal Domains
r-cran-polynom (1.4-0-3)
GNU R class for univariate polynomial manipulations
r-cran-popepi (0.4.8+dfsg-2)
Functions for Epidemiological Analysis using Population Data
r-cran-postlogic (0.1.0.1-3)
GNU R Infix and Postfix Logic Operators
r-cran-powerlaw (0.70.6-2)
GNU R analysis of heavy tailed distributions
r-cran-prabclus (2.3-2-2)
GNU R clustering of presence-absence, abundance and multilocus genetic data
r-cran-pracma (2.3.3-1)
practical numerical math functions for GNU R
r-cran-praise (1.0.0-4)
GNU R praise users
r-cran-prediction (0.3.14-2)
GNU R tidy, type-safe 'prediction()' methods
r-cran-prettycode (1.1.0-2)
pretty print GNU R code in the terminal
r-cran-prettyr (2.2-3-2)
Pretty Descriptive Stats
r-cran-prettyunits (1.1.1-2)
GNU R pretty, human readable formatting of quantities
r-cran-princurve (2.1.6-1)
fit a principal curve in arbitrary dimension
r-cran-proc (1.17.0.1-1)
Display and Analyze ROC Curves
r-cran-processx (3.4.5-1)
GNU R execute and control system processes
r-cran-prodlim (2019.11.13-1+b1)
GNU R product-limit estimation for Censored Event History Analysis
r-cran-profilemodel (0.6.1-1)
GNU R tools for profiling inference functions
r-cran-profmem (0.6.0+dfsg-1)
Simple Memory Profiling for R
r-cran-progress (1.2.2-2)
GNU R terminal progress bars
r-cran-projpred (2.0.2+dfsg-1)
GNU R projection predictive feature selection
r-cran-promises (1.1.1+dfsg-1)
GNU R abstractions for promise-based asynchronous programming
r-cran-propclust (1.4-6-1+b2 [amd64], 1.4-6-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Propensity Clustering and Decomposition
r-cran-proto (1.0.0-3)
Prototype object-based programming
r-cran-proxy (0.4-24-2)
GNU R distance and similarity measures
r-cran-ps (1.5.0-1)
GNU R list, query, manipulate system processes
r-cran-pscbs (0.65.0-3)
R package: Analysis of Parent-Specific DNA Copy Numbers
r-cran-pscl (1.5.5-1+b1)
GNU R package for discrete data models
r-cran-psy (1.1-5)
GNU R procedures for psychometrics
r-cran-psych (2.0.12-1)
GNU R procedures for psychological, psychometric, and personality research
r-cran-psychometric (2.2-2)
GNU R applied psychometric theory
r-cran-psychotools (0.6-0-1)
GNU R psychometric modeling infrastructure
r-cran-psychotree (0.15-3-2)
GNU R recursive partitioning based on psychometric models
r-cran-psychtools (2.0.8-1)
GNU R tools to accompany the 'r-cran-psych'
r-cran-psyphy (0.2-2-1)
functions for analyzing psychophysical data in GNU R
r-cran-purrr (0.3.4-1+b1)
GNU R functional programming tools
r-cran-purrrlyr (0.0.7-1)
GNU R Tools at the Intersection of 'purrr' and 'dplyr'
r-cran-purrrogress (0.1.1-3)
GNU R progress bars to mapping functions
r-cran-pvclust (2.2-0-2)
Hierarchical Clustering with P-Values via Multiscale Bootstrap
r-cran-pwr (1.3-0+dfsg-1)
GNU R basic functions for power analysis
r-cran-pwt (7.1.1-7)
GNU R package for the Penn World Tables (version 5.6 to 7.1)
r-cran-pwt8 (8.1.1-5)
GNU R package for the Penn World Tables (version 8.x)
r-cran-pwt9 (9.1-0-2)
GNU R package for the Penn World Tables (version 9.x)
r-cran-qap (0.1-1-2)
GNU R heuristics for the quadratic assignment problem (QAP)
r-cran-qgraph (1.6.9-1)
GNU R graph plotting methods and psychometric data visualization
r-cran-qlcmatrix (0.9.7-2)
GNU R utility sparse matrix functions for quantitative language
r-cran-qpdf (1.1+dfsg-1+b4 [amd64], 1.1+dfsg-1+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R split, combine and compress PDF files
r-cran-qqman (0.1.4-7)
R package for visualizing GWAS results using Q-Q and manhattan plots
r-cran-qtl (1.47-9-1)
GNU R package for genetic marker linkage analysis
r-cran-quadprog (1.5-8-1+b1)
GNU R package for solving quadratic programming problems
r-cran-quantmod (0.4.18-1)
GNU R package for quantitative financial modeling framework
r-cran-quantreg (5.85-1)
GNU R package for quantile regression
r-cran-qvcalc (1.0.2-2)
GNU R quasi variances for factor effects in statistical models
r-cran-r.cache (0.14.0-2)
R package: Fast and Light-Weight Caching of Objects and Results
r-cran-r.methodss3 (1.8.1-1)
GNU R utility function for defining S3 methods
r-cran-r.oo (1.24.0-1)
GNU R object-oriented programming with or without references
r-cran-r.utils (2.10.1-1)
GNU R various programming utilities
r-cran-r2d2 (1.0-0-3)
Bivariate (2D) Confidence Region and Frequency Distribution
r-cran-r6 (2.5.0-1)
R classes with reference semantics
r-cran-ragg (0.4.1-1)
GNU R graphic devices based on AGG
r-cran-randomfields (3.3.8-1+b1)
GNU R simulation and analysis of random fields
r-cran-randomfieldsutils (0.5.3-2)
utilities for the simulation and analysis of random fields
r-cran-randomforest (4.6-14-3)
GNU R package implementing the random forest classificator
r-cran-randomglm (1.02-1-1+b2 [amd64], 1.02-1-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Random General Linear Model Prediction
r-cran-ranger (0.12.1-3+b1)
Fast Implementation of Random Forests
r-cran-rann (2.6.1-1+b2 [amd64], 2.6.1-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fast Nearest Neighbour Search Using L2 Metric
r-cran-rappdirs (0.3.3-1)
GNU R application directories
r-cran-raschsampler (0.8-8-3)
GNU R package for sampling binary matrices with fixed margins
r-cran-raster (3.4-5-1)
GNU R geographic data analysis and modeling
r-cran-rbibutils (2.0-1)
GNU R convert between bibliography formats
r-cran-rcarb (0.1.4-1)
GNU R dose rate modelling of carbonate-rich samples
r-cran-rcdk (3.5.0+dfsg-3)
GNU R interface to the 'CDK' libraries
r-cran-rcdklibs (2.3+dfsg-8)
Chemistry Development Kit (CDK) libraries packaged for GNU R
r-cran-rcmdcheck (1.3.3-2)
Run 'R CMD check' from 'R' and Capture Results
r-cran-rcmdr (2.7-1-1)
GNU R platform-independent basic-statistics GUI
r-cran-rcmdrmisc (2.7-1-1)
GNU R package for miscellaneous Rcmdr utilities
r-cran-rcolorbrewer (1.1-2-3)
GNU R package providing suitable color palettes
r-cran-rcompgen
本虛擬套件由這些套件填實: r-base-core
r-cran-rcpp (1.0.6-1)
GNU R package for Seamless R and C++ Integration
r-cran-rcppannoy (0.0.18-1)
Rcpp bindings for Annoy (approximate nearest neighbors)
r-cran-rcpparmadillo (0.10.2.1.0-1)
GNU R package for Armadillo C++ linear algebra library
r-cran-rcppcctz (0.2.9-1+b1 [amd64], 0.2.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R package with 'CCTZ' bindings
r-cran-rcppdate (0.0.2-1)
GNU R package with 'Date' bindings
r-cran-rcppeigen (0.3.3.9.1-1)
GNU R package for Eigen templated linear algebra
r-cran-rcppgsl (0.3.8-1)
GNU R package for integration with the GNU GSL
r-cran-rcpphnsw (0.3.0.9001+ds-1+b1 [amd64], 0.3.0.9001+ds-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
R bindings for a Library for Approximate Nearest Neighbors
r-cran-rcppparallel (5.0.2+dfsg-4)
parallel programming tools for Rcpp
r-cran-rcppprogress (0.4.2-2)
interruptible progress bar for C++ in GNU R packages
r-cran-rcpproll (0.3.0-2)
GNU R efficient rolling / windowed operations
r-cran-rcurl (1.98-1.2-1+b1)
GNU R General network (HTTP/FTP/...) client interface
r-cran-rdbnomics (0.6.4-1)
access to hundreds of millions data series from DBnomics API
r-cran-rdflib (0.2.3+dfsg-2)
GNU R tools to manipulate and query semantic data
r-cran-rdpack (2.1-1)
GNU R update and manipulate Rd documentation objects
r-cran-readbrukerflexdata (1.8.5-3)
GNU R package to read Bruker Daltonics *flex format files
r-cran-readmzxmldata (2.8.1-4)
GNU R package to read mass spectrometry data in mzXML format
r-cran-readr (1.4.0-1)
GNU R package to read rectangular text data
r-cran-readstata13 (0.9.2-1+b3)
GNU R package to import 'Stata' data files
r-cran-readxl (1.3.1-2+b1)
GNU R package to read Excel files
r-cran-recipes (0.1.15+dfsg-1)
GNU R preprocessing tools to create design matrices
r-cran-redland (1.0.17-14-1)
RDF library bindings in GNU R
r-cran-registry (0.5-1-2)
GNU R package for registries
r-cran-regsem (1.6.2+dfsg-1)
GNU R regularized structural equation modeling
r-cran-relimp (1.0-5-4)
GNU R package for inference on relative importance of regressors
r-cran-relsurv (2.2-3-2)
GNU R relative survival
r-cran-rematch (1.0.1-3)
GNU R package to match regular expression with a nicer api
r-cran-rematch2 (2.1.2-2)
Tidy Output from Regular Expression Matching
r-cran-remotes (2.2.0-2)
R Package Installation from Remote Repositories, Including 'GitHub'
r-cran-rentrez (1.2.3+dfsg-1)
GNU R interface to the NCBI's EUtils API
r-cran-repr (1.1.3-1)
Serializable representations of R objects
r-cran-reprex (1.0.0-1)
Prepare Reproducible Example Code via the Clipboard
r-cran-reshape (0.8.8-2)
Flexibly reshape data
r-cran-reshape2 (1.4.4-2)
Flexibly reshape data: a reboot of the reshape package
r-cran-reticulate (1.18+dfsg-1)
R interface to Python modules, classes, and functions
r-cran-rex (1.2.0-2)
GNU R friendly regular expressions
r-cran-rgdal (1.5-21+dfsg-1)
GNU R bindings for the geospatial data abstraction library
r-cran-rgenoud (5.8-3.0-2)
R Version of GENetic Optimization Using Derivatives
r-cran-rggobi (2.1.22-1+b2 [amd64], 2.1.22-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R package for the GGobi data visualization system
r-cran-rgl (0.105.13-1)
GNU R package for three-dimensional visualisation using OpenGL
r-cran-rglpk (0.6-4-1+b2 [amd64], 0.6-4-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R interface to the GNU Linear Programming Kit
r-cran-rglwidget (0.2.1-4)
GNU R 'rgl' in 'htmlwidgets' Framework
r-cran-rgtk2 (2.20.36-2+b1)
GNU R binding for Gtk2
r-cran-rhandsontable (0.3.7+dfsg-1)
GNU R interface to the 'Handsontable.js' library
r-cran-rinside (0.2.16-1+b1)
GNU R package to embed R in C++ application
r-cran-rio (0.5.16-2)
GNU R package with Swiss-army knife for data i/o
r-cran-ritis (1.0.0-1)
GNU R Integrated Taxonomic Information System client
r-cran-rjags (1:4-10-1+b1)
R interface to the JAGS Bayesian statistics package
r-cran-rjava (0.9-13-1)
GNU R low-level interface to Java
r-cran-rjson (0.2.20-2)
GNU R package for converting between R and JSON objects
r-cran-rlang (0.4.10-1)
Functions for Base Types and Core R and 'Tidyverse' Features
r-cran-rle (0.9.2-2)
GNU R common functions for run-length encoded vectors
r-cran-rlist (0.4.6.1-4)
GNU R toolbox for non-tabular data manipulation
r-cran-rlrsim (3.1-6-1+b1)
GNU R exact likelihood ratio tests for mixed and additive models
r-cran-rlumshiny (0.2.2-2)
GNU R 'Shiny' Applications for the R Package 'Luminescence'
r-cran-rmarkdown (2.6+dfsg-1)
convert R markdown documents into a variety of formats
r-cran-rmpi (0.6-9-1+b2 [amd64], 0.6-9-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R package interfacing MPI libraries for distributed computing
r-cran-rms (6.1-1-1)
GNU R regression modeling strategies by Frank Harrell
r-cran-rmysql (0.10.21-1)
GNU R package providing a DBI-compliant interface to MySQL
r-cran-rncl (0.8.4-1+b1)
GNU R interface to the Nexus Class Library
r-cran-rneos (0.4-0-2)
GNU R package with XML-RPC interface to NEOS
r-cran-rnetcdf (2.4-2-1)
GNU R package that provides an R interface to NetCDF datasets
r-cran-rnexml (2.4.5+ds-1)
GNU R package for semantically rich I/O for the 'NeXML' format
r-cran-rngtools (1.5-2)
GNU R package for random number generators
r-cran-rniftilib (0.0-35.r79-6)
GNU/R interface to NIFTICLIB
r-cran-robumeta (2.0-3)
GNU R robust variance meta-regression
r-cran-robust (0.5-0.0-1+b1)
Port of the S+ "Robust Library"
r-cran-robustbase (0.93-7-1)
GNU R package providing basic robust statistics
r-cran-robustrankaggreg (1.1-3)
Methods for robust rank aggregation
r-cran-rockchalk (1.8.144+dfsg-3)
GNU R regression estimation and presentation
r-cran-rocr (1.0-11-2)
GNU R package to prepare and display ROC curves
r-cran-rodbc (1.3-17-1)
GNU R package for ODBC database access
r-cran-rook (1.1-1+dfsg-3)
web server interface for R
r-cran-rook-examples (1.1-1+dfsg-3)
web server interface for R (examples)
r-cran-rotl (3.0.11-1)
GNU R interface to the 'Open Tree of Life' API
r-cran-roxygen2 (7.1.1-1)
in-line documentation for GNU R
r-cran-rpact (3.0.3-2)
Confirmatory Adaptive Clinical Trial Design and Analysis
r-cran-rpart (4.1-15-2+b1)
GNU R package for recursive partitioning and regression trees
r-cran-rpf (1.0.5+dfsg-1)
GNU R response probability functions
r-cran-rpostgresql (0.6-2+dfsg-3)
GNU R package providing database interface and driver for PostgreSQL
r-cran-rprojroot (2.0.2-1)
GNU R finding files in project subdirectories
r-cran-rprotobuf (0.4.17-1+b2)
GNU R package providing an interface to the Protocol Buffers API
r-cran-rquantlib (0.4.12-1+b1)
GNU R package interfacing the QuantLib finance library
r-cran-rrcov (1.5-5-1)
Scalable Robust Estimators with High Breakdown Point
r-cran-rredlist (0.7.0-1)
GNU R IUCN Red List Client
r-cran-rsample (0.0.8-1)
GNU R general resampling infrastructure
r-cran-rsclient (0.7-3-2+b4)
GNU R package providing an Rserve client
r-cran-rsconnect (0.8.16-2)
GNU R deployment interface for markdown and Shiny applications
r-cran-rsdmx (1:0.6+dfsg-1)
GNU R package for the Statistical Data and Metadata Exchange (SDMX) framework
r-cran-rserve (1.7-3.1-2+b1)
GNU R Rserve tcp/ip server and sample clients
r-cran-rsgcc (1.0.6-2+b1)
Gini correlation and clustering of gene expression data
r-cran-rslurm (0.5.0-2)
Submit R Calculations to a Slurm Cluster
r-cran-rsolnp (1.16+dfsg-4)
GNU R general non-linear optimization
r-cran-rspectra (0.16-0-1+b1)
GNU R solvers for large-scale eigenvalue and SVD problems
r-cran-rsprng (1.0-5+b3)
GNU R interface to SPRNG (Scalable Parallel RNGs)
r-cran-rsqlite (2.2.3-1)
Database Interface R driver for SQLite
r-cran-rstan (2.21.2-3)
GNU R interface to Stan
r-cran-rstanarm (2.21.1-1)
GNU R bayesian applied regression modeling via stan
r-cran-rstantools (2.1.1-1)
tools for developing GNU R packages interfacing with 'Stan'
r-cran-rstatix (0.6.0-1)
Pipe-Friendly Framework for Basic Statistical Tests
r-cran-rstudioapi (0.13-1)
GNU R package for access to the RStudio API
r-cran-rsvd (1.0.3-3)
Randomized Singular Value Decomposition
r-cran-rsvg (2.1-1)
GNU R render SVG images into PDF, PNG, PostScript, or bitmap arrays
r-cran-rsymphony (0.1-29-1+b1)
GNU R interface to the SYMPHONY MILP solver
r-cran-rtdists (0.11-2-2)
GNU R response time distributions
r-cran-rtsne (0.15-2+b1)
GNU R T-Distributed Stochastic Neighbor Embedding using a Barnes-Hut
r-cran-runit (0.4.32-3)
GNU R package providing unit testing framework
r-cran-rversions (2.0.2-1)
query GNU R versions, including 'r-release' and 'r-oldrel'
r-cran-rvest (0.3.6-1)
Easily Harvest (Scrape) Web Pages
r-cran-rwave (2.4-8-3)
GNU R time-frequency analysis of 1-D signals
r-cran-rwiener (1.3-3-1+b1)
GNU R Wiener process distribution functions
r-cran-s2 (1.0.4-1)
GNU R spherical geometry operators using the S2 geometry library
r-cran-samr (3.0-2)
GNU R significance analysis of microarrays
r-cran-sandwich (3.0-0-1)
GNU R package for model-robust standard error estimates
r-cran-sass (0.3.1+dfsg-2)
GNU R Syntactically Awesome Style Sheets ('Sass')
r-cran-satellite (1.0.2-1+b1)
GNU R handling and manipulating remote sensing data
r-cran-scales (1.1.1-1)
Scale functions for visualization
r-cran-scatterd3 (0.9.2+dfsg-1)
D3 JavaScript scatterplot from GNU R
r-cran-scattermore (0.7-2)
GNU R scatterplots with more points
r-cran-scatterplot3d (0.3-41-3)
GNU R package for Visualizing Multivariate Data
r-cran-sctransform (0.3.2-1)
Variance Stabilizing Transformations for Single Cell UMI Data
r-cran-sdmtools (1.1-221.2-1+b1)
Species Distribution Modelling Tools
r-cran-segmented (1.3-1-1)
GNU R segmented relationships in regression models
r-cran-selectr (0.4-2-2)
Translate CSS Selectors to XPath Expressions
r-cran-sem (3.1.11-1)
GNU R functions for fitting structural equation models -- sem
r-cran-semplot (1.1.2-3)
path Diagrams and visual analysis of various SEM GNU R packages
r-cran-semtools (0.5.4-1)
GNU R Tools for Structural Equation Modeling -- semTools
r-cran-sendmailr (1.2-1-5)
send email using GNU R
r-cran-seqinr (4.2-5-1)
GNU R biological sequences retrieval and analysis
r-cran-seriation (1.2-9-1)
GNU R infrastructure for ordering objects using seriation
r-cran-seroincidence (2.0.0-2)
GNU R seroincidence calculator tool
r-cran-sessioninfo (1.1.1-4)
R Session Information
r-cran-setrng (2013.9-1-3)
GNU R set (normal) random number generator and seed
r-cran-seurat (4.0.0-2)
Tools for Single Cell Genomics
r-cran-seuratobject (4.0.0-2)
GNU R data structures for single cell data
r-cran-sf (0.9-7+dfsg-5)
Simple Features for R
r-cran-sfsmisc (1.1-8-1)
GNU R utilities from 'Seminar fuer Statistik' ETH Zurich
r-cran-shape (1.4.5-1)
GNU R functions for plotting graphical shapes, colors
r-cran-shazam (1.0.2-1)
Immunoglobulin Somatic Hypermutation Analysis
r-cran-shiny (1.5.0+dfsg-2)
GNU R web application framework
r-cran-shinybs (0.61-4)
GNU R Twitter bootstrap components for Shiny
r-cran-shinycssloaders (1.0.0-1)
add CSS loading animations to 'shiny' outputs in GNU R
r-cran-shinydashboard (0.7.1-2)
GNU R create dashboards with 'Shiny'
r-cran-shinyfiles (0.9.0-1)
GNU R server-side file system viewer for shiny
r-cran-shinyjs (2.0.0-1)
Easily Improve the User Experience of Your Shiny Apps in Seconds
r-cran-shinystan (2.5.0-4)
GNU R interactive diagnostics and analysis for Bayesian models
r-cran-shinythemes (1.2.0+dfsg-1)
Themes for Shiny
r-cran-sitmo (2.0.1-2+b1)
GNU R parallel pseudo random number generator 'sitmo' header files
r-cran-sjlabelled (1.1.7-1)
GNU R labelled data utility functions
r-cran-sjmisc (2.8.6-1)
GNU R data and variable transformation functions
r-cran-sjplot (2.8.7-1)
GNU R data visualization for statistics in social science
r-cran-sjstats (0.18.1-1)
GNU R collection of convenient functions for statistical computations
r-cran-slam (0.1-48-1)
GNU R sparse lighweight arrays and matrices package
r-cran-slider (0.1.5-2)
GNU R sliding window functions
r-cran-sm (2.2-5.6-1+b2 [amd64], 2.2-5.6-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R package for kernel smoothing methods
r-cran-smoother (1.1-2)
GNU R functions relating to the smoothing of numerical data
r-cran-sn (1.6-2-1)
GNU R package providing skew-normal and skew-t distributions
r-cran-sna (2.6-1)
Tools for Social Network Analysis
r-cran-snakecase (0.11.0-2)
convert strings into any case for GNU R
r-cran-snow (1:0.4.3-2)
GNU R package for 'simple network of workstations'
r-cran-snowballc (0.7.0-1+b1)
Snowball stemmers based on the C libstemmer UTF-8 library
r-cran-snowfall (1.84-6.1-3)
GNU R easier cluster computing (based on snow)
r-cran-sodium (1.1-1+b2 [amd64], 1.1-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R modern and easy-to-use crypto library
r-cran-solrium (1.1.4+dfsg-2)
general purpose R interface to 'Solr'
r-cran-sourcetools (0.1.7-3)
tools for reading, tokenizing and parsing R code
r-cran-sp (1:1.4-5-1)
GNU R classes and methods for spatial data
r-cran-spacetime (1.2-4+dfsg-1)
GNU R classes and methods for spatio-temporal data
r-cran-spam (2.6-0-1)
GNU R functions for sparse matrix algebra
r-cran-sparsem (1.81-1)
GNU R package for basic linear algebra for sparse matrices
r-cran-sparsesvd (0.2-2)
GNU R sparse truncated singular value decomposition
r-cran-spatial (7.3-13-1)
GNU R package for spatial statistics
r-cran-spatialreg (1.1-5+dfsg-1+b1)
GNU R spatial regression analysis
r-cran-spatstat (1.64-1-1)
GNU R Spatial Point Pattern analysis, model-fitting, simulation, tests
r-cran-spatstat.data (1.7-0-1)
datasets for the package r-cran-spatstat
r-cran-spatstat.geom (1.65-5-2)
GNU R geometrical functionality of the 'spatstat' package
r-cran-spatstat.sparse (1.2-1-1)
GNU R sparse three-dimensional arrays and linear algebra utilities
r-cran-spatstat.utils (2.0-0-1)
GNU R utility functions for r-cran-spatstat
r-cran-spc (1:0.6.4-1)
GNU R Statistical Process Control
r-cran-spdata (0.3.8-1)
GNU R datasets for spatial analysis
r-cran-spdep (1.1-5+dfsg-1)
GNU R spatial dependence: weighting schemes, statistics and models
r-cran-splines2 (0.4.1-1)
GNU R regression spline functions and classes
r-cran-spp (1.16.0-2)
GNU R ChIP-seq processing pipeline
r-cran-squarem (2021.1-1)
Squared Extrapolation Methods for Accelerating EM-Like Monotone Algorithms
r-cran-stabledist (0.7-1-3)
GNU R package for stable distribution functions
r-cran-stanheaders (2.21.0-7-1)
C++ Header Files for Stan for GNU R
r-cran-stars (0.5-1-2)
scalable, spatiotemporal tidy arrays for GNU R
r-cran-statcheck (1.3.0-2)
GNU R functions for extraction of statistics from articles and recomputing p values
r-cran-statmod (1.4.35-1)
GNU R package providing algorithms and functions for statistical modeling
r-cran-statnet.common (4.4.1-1)
Common R Scripts and Utilities Used by the Statnet Project
r-cran-stringdist (0.9.6.3-1)
GNU R approximate string matching and string distance functions
r-cran-stringi (1.5.3-1)
GNU R character string processing facilities
r-cran-stringr (1.4.0-2)
Make it easier to work with strings
r-cran-strucchange (1.5-2-1+1+b1)
GNU R package for structural change regression estimation
r-cran-suppdists (1.1-9.5-2)
GNU R Supplementary Distributions
r-cran-surveillance (1.19.0-2)
GNU R package for the Modeling and Monitoring of Epidemic Phenomena
r-cran-survey (4.0-2)
GNU R analysis of complex survey samples
r-cran-survival (3.2-7-1)
GNU R package for survival analysis
r-cran-survminer (0.4.8+dfsg-1)
GNU R drawing survival curves using 'ggplot2'
r-cran-survmisc (0.5.5-3)
GNU R miscellaneous functions for survival data
r-cran-svglite (1.2.3.2-1)
GNU R 'SVG' graphics device
r-cran-swagger (3.33.1-1)
GNU R dynamically generate documentation from a 'Swagger' compliant API
r-cran-sys (3.4-1)
Powerful and Reliable Tools for Running System Commands in GNU R
r-cran-systemfit (1.1-24-2)
GNU R estimating systems of simultaneous equations
r-cran-systemfonts (1.0.0-1)
system native font finding for GNU R
r-cran-taxize (0.9.99-1)
GNU R taxonomic information from around the web
r-cran-tcltk2 (1.2-11-3)
GNU R package for Tcl/Tk additions
r-cran-tcr (2.3.2+ds-1)
Advanced Data Analysis of Immune Receptor Repertoires
r-cran-teachingdemos (2.12-2)
GNU R Demonstrations for teaching and learning
r-cran-tensor (1.5-4)
GNU R Tensor product of arrays
r-cran-testextra (0.1.0.1-3)
GNU R Extract Test Blocks
r-cran-testit (0.12-1)
simple package for testing GNU R packages
r-cran-testthat (3.0.1-1)
GNU R testsuite
r-cran-textshaping (0.2.1-1)
GNU R bindings to the 'HarfBuzz' and 'Fribidi' libraries for text shaping
r-cran-tfisher (0.2.0-3)
GNU R optimal thresholding fisher's P-value combination method
r-cran-tfmpvalue (0.0.8-2)
GNU R P-Value Computation for Position Weight Matrices
r-cran-tgp (2.4-17-1)
GNU R Bayesian treed Gaussian process models
r-cran-th.data (1.0-10-2)
GNU R package for datasets by Torsten Hothorn
r-cran-threejs (0.3.3+dfsg-2)
GNU R interactive 3D scatter plots, networks and globes
r-cran-tibble (3.0.6+dfsg-1)
GNU R Simple Data Frames
r-cran-tidygraph (1.2.0-1)
GNU R tidy API for graph manipulation
r-cran-tidyr (1.1.2-1)
GNU R package to easily tidy data
r-cran-tidyselect (1.1.0+dfsg-1)
GNU R select from a set of strings
r-cran-tidyverse (1.3.0-2)
Easily Install and Load the 'Tidyverse'
r-cran-tiff (0.1-6-1)
Read and write TIFF images
r-cran-tigger (1.0.0-1)
Infers new Immunoglobulin alleles from Rep-Seq Data
r-cran-tikzdevice (0.12.3.1-1)
GNU R graphics output in LaTeX format
r-cran-timedate (3043.102-1+b2)
GNU R package for financial engineering -- timeDate
r-cran-timereg (1.9.8-1)
GNU R flexible regression models for survival data
r-cran-timeseries (3062.100-2)
GNU R package for financial engineering -- timeSeries
r-cran-tinytest (1.2.4-1)
Lightweight and Feature Complete Unit Testing Framework
r-cran-tinytex (0.29-1)
GNU R helper to compile LaTeX documents
r-cran-tkrplot (0.0.25-1)
GNU R embedded Tk plotting device package
r-cran-tm (0.7-8-1)
Text Mining functionality for R
r-cran-tmb (1.7.18-2)
GNU R template model builder: general random effect tool
r-cran-tmvnsim (1.0-2-1+b1 [amd64], 1.0-2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
GNU R package for truncated multivariate normal simulation
r-cran-tmvtnorm (1.4-10-4)
GNU R truncated multivariate normal and student t distribution
r-cran-transformr (0.1.3-1)
GNU R polygon and path transformations
r-cran-treescape (1.10.18+dfsg-2)
GNU R Statistical Exploration of Landscapes of Phylogenetic Trees
r-cran-treespace (1.1.4.0+dfsg-1)
Statistical Exploration of Landscapes of Phylogenetic Trees
r-cran-triebeard (0.3.0-4)
GNU R radix trees in Rcpp
r-cran-trimcluster (0.1-5-2)
GNU R cluster analysis with trimming
r-cran-truncdist (1.0-2-4)
GNU R functions for truncated random variables
r-cran-truncnorm (1.0-8-3)
GNU R truncated normal distribution
r-cran-tseries (0.10-48-1)
GNU R package for time-series analysis and comp. finance
r-cran-tsne (0.1-3-3)
t-distributed stochastic neighbor embedding for R (t-SNE)
r-cran-tsp (1.1-10-1+b1)
GNU R traveling salesperson problem (TSP)
r-cran-ttr (0.24.2-1)
GNU R package to construct technical trading rules
r-cran-tufte (0.9-1)
Tufte's Styles for R Markdown Documents
r-cran-tweenr (1.0.1-2+b1)
GNU R interpolate data for smooth animations
r-cran-ucminf (1.1-4-3)
GNU R general-purpose unconstrained non-linear optimization
r-cran-udunits2 (0.13-2)
Udunits-2 Bindings for R
r-cran-unitizer (1.4.12-1)
interactive unit tests for GNU R
r-cran-units (0.6-7-1)
Measurement Units for R Vectors
r-cran-upsetr (1.4.0+dfsg-2)
GNU R visualization of intersecting sets
r-cran-urca (1.3-0-3+b2)
GNU R package providing unit root and cointegration tests
r-cran-urltools (1.7.3+dfsg-2)
GNU R vectorised tools for URL handling and parsing
r-cran-uroot (2.1-2-1)
GNU R unit root tests for seasonal time series
r-cran-usethis (2.0.0-1)
Automate Package and Project Setup
r-cran-utf8 (1.1.4-2)
GNU R unicode text processing
r-cran-uuid (0.1.4-1+b1)
Tools for generating and handling UUIDs
r-cran-uwot (0.1.10-1)
GNU R uniform manifold approximation and projection (UMAP)
r-cran-v8 (3.4.0+dfsg-1)
Embedded JavaScript and WebAssembly Engine for R
r-cran-vcd (1:1.4-8-1)
GNU R Visualizing Categorical Data
r-cran-vcdextra (0.7-5-1)
GNU R package providing extensions and additions to the vcd package
r-cran-vcr (0.6.0+dfsg-1)
GNU R record HTTP calls to disk
r-cran-vctrs (0.3.6-1)
GNU R vector helpers
r-cran-vdiffr (0.3.3+dfsg-1)
GNU R visual regression testing and graphical diffing
r-cran-vegan (2.5-7+dfsg-1)
Community Ecology Package for R
r-cran-venndiagram (1.6.20-3)
Generate High-Resolution Venn and Euler Plots
r-cran-vgam (1.1-5-1)
GNU R package for estimating vector generalized additive models
r-cran-vim (6.1.0+dfsg-1)
GNU R visualization and imputation of missing values
r-cran-vioplot (0.3.5-1)
GNU R toolbox for violin plots
r-cran-vipor (0.4.5-3)
GNU R plot categorical data using quasirandom noise and density estimates
r-cran-viridis (0.5.1-3)
GNU R package for color maps from matplotlib
r-cran-viridislite (0.3.0-4)
GNU R package for color maps from matplotlib (Lite Version)
r-cran-vr
本虛擬套件由這些套件填實: r-cran-mass
r-cran-waldo (0.2.3-2)
find differences between R objects
r-cran-warp (0.2.0-1)
GNU R tool to group dates
r-cran-waveslim (1.8.2-1+b1)
GNU R wavelet routines for 1-, 2- and 3-D signal processing
r-cran-wavethresh (4.6.8-3)
GNU R wavelets statistics and transforms
r-cran-wdi (2.7.2-1)
GNU R package for accessing the World Development Indicators
r-cran-webgestaltr (0.4.4-1)
find over-represented properties in gene lists
r-cran-webmockr (0.7.4-1)
GNU R stubbing and setting expectations on 'HTTP' requests
r-cran-webshot (0.5.2-2)
GNU R take screenshots of web pages
r-cran-webutils (1.1-1+b1)
GNU R utility functions for developing web applications
r-cran-wgcna (1.69-1+b1)
Weighted Correlation Network Analysis
r-cran-whatif (1.5-10-1)
GNU R evaluate counterfactuals
r-cran-whisker (0.4-2)
GNU R mustache, logicless templating
r-cran-wikidatar (1.4.0+dfsg-2)
GNU R API Client Library for 'Wikidata'
r-cran-wikipedir (1.5.0-3)
GNU R MediaWiki API Wrapper
r-cran-wikitaxa (0.4.0+dfsg-1)
taxonomic information from 'Wikipedia' for GNU R
r-cran-withr (2.4.1-1)
GNU R package to run code 'With' temporarily modified global state
r-cran-wk (0.3.4-1)
GNU R lightweight well-known geometry parsing
r-cran-wkutils (0.1.1-1)
GNU R utilities for well-known geometry vectors
r-cran-wordcloud (2.6-2)
word clouds with GNU R
r-cran-worrms (0.4.2+dfsg-1)
GNU R client of World Register of Marine Species (WoRMS)
r-cran-xfun (0.20-1)
miscellaneous GNU R functions by 'Yihui Xie'
r-cran-xml (3.99-0.5-1)
GNU R package for XML parsing and generation
r-cran-xml2 (1.3.2-1+b1)
GNU R XML parser
r-cran-xopen (1.0.0-2)
Open System Files, 'URLs', Anything
r-cran-xslt (1.4.2-1)
extensible style-sheet language transformations for GNU R
r-cran-xtable (1:1.8-4-2)
GNU R coerce data to LaTeX and HTML tables
r-cran-xts (0.12.1-1)
GNU R package for time series analysis -- xts
r-cran-yaml (2.2.1-1+b1)
Methods to convert R data to YAML and back
r-cran-zeallot (0.1.0-3)
GNU R multiple, unpacking, and destructuring assignment
r-cran-zelig (5.1.7-1)
GNU R package providing a unified front-end for estimating statistical models
r-cran-zeligchoice (0.9-6-3)
GNU R zelig choice models
r-cran-zeligei (0.1-2-3)
GNU R zelig ecological inference models
r-cran-zeligverse (0.1.1-3)
GNU R easily install and load stable zelig packages
r-cran-zip (2.1.1-1)
GNU R package for cross-platform zip compression
r-cran-zoo (1.8-8-1+b1)
GNU R package for totally ordered indexed observations
r-doc-html (4.0.4-1)
GNU R html manuals for statistical computing system
r-doc-info (4.0.4-1)
GNU R info manuals statistical computing system
r-doc-pdf (4.0.4-1)
GNU R pdf manuals for statistical computing system
r-gnome
本虛擬套件由這些套件填實: r-base-core
r-mathlib (4.0.4-1)
GNU R standalone mathematics library
r-noncran-hmisc
本虛擬套件由這些套件填實: r-cran-hmisc
r-omegahat-ggobi
本虛擬套件由這些套件填實: r-cran-rggobi
r-omegahat-xmlrpc (0.3-0-3)
GNU R package for Remote Procedure Calls via XML
r-other-amsmercury (1.3.0-4)
efficient calculation of accurate masses and abundances of isotopic peaks
r-other-ascat (2.5.2-3)
Allele-Specific Copy Number Analysis of Tumours
r-other-chbutils (0.1+git20171026.a226cee-2)
GNU R utilities from Harvard School of Public Health Bioinformatics
r-other-curvefdp (2.0-6)
estimation of confidence levels for peptide identifications
r-other-hms-dbmi-spp
本虛擬套件由這些套件填實: r-cran-spp
r-other-iwrlars (0.9-5-4+b1)
least angle regression, lasso, positive lasso and forward stagewise
r-other-mott-happy
本虛擬套件由這些套件填實: r-other-mott-happy.hbrem
r-other-mott-happy.hbrem (2.4-4)
GNU R package for fine-mapping complex diseases
r-other-nitpick (2.0-7)
peak identification for mass spectrometry data
r-other-rajewsky-dropbead (0.3.1+git20180221.d746c6f+ds-3)
Basic Exploration and Analysis of Drop-seq Data
r-other-wasabi (1.0.1-2)
prepare Sailfish and Salmon output for downstream analysis using GNU R
r-other-x4r (1.0.1+git20150806.c6bd9bd-3)
XMLA/MDX cube tool for R
r-recommended (4.0.4-1)
GNU R collection of recommended packages [metapackage]
r10k (3.7.0-2)
Puppet environment and module deployment
r4d (1.7-1) [contrib]
Remote For Device-under-test (R4D) Daemon
r6rs-nanopass-dev (1.9+git20160429.g1f7e80b-2.1)
embedded DSL for writing compilers in Scheme
r8168-dkms (8.048.03-3) [non-free]
dkms source for the r8168 network driver
rabbit (3.0.0-4)
presentation tool using RD, a simple text format
rabbit-mode (3.0.0-4)
Emacs-lisp rabbit-mode for writing RD document using Rabbit
rabbiter (2.0.4-3)
Twitter client for Rabbit
rabbitmq-server (3.8.9-3+deb11u1)
AMQP server written in Erlang
rabbitsign (2.1+dmca1-2)
application signing system for the TI-73/83+/84+ calculators
rabbitvcs-cli (0.18-2)
Command line interface for RabbitVCS
rabbitvcs-core (0.18-2)
Easy version control
rabbitvcs-gedit (0.18-2)
Gedit extension for RabbitVCS
rabbitvcs-nautilus (0.18-2)
Nautilus extension for RabbitVCS
rabbitvcs-thunar (0.18-2)
Thunar extension for RabbitVCS
racc (1.4.14-2)
Ruby LALR parser generator
racket (7.9+dfsg1-2)
extensible programming language in the Scheme family
racket-common (7.9+dfsg1-2)
extensible programming language in the Scheme family (shared files)
racket-doc (7.9+dfsg1-2)
extensible programming language in the Scheme family (documentation)
racon (1.4.20-1)
consensus module for raw de novo DNA assembly of long uncorrected reads
radeontool (1.6.3-1+b1)
utility to control ATI Radeon backlight functions on laptops
radeontop (1.3-1)
Utility to show Radeon GPU utilization
radiant (2.7.1+dfsg-4)
explore hierarchical metagenomic data with zoomable pie charts
radicale (3.0.6-3)
simple calendar and addressbook server - daemon
radio (3.107-1)
ncurses-based radio application
radioclk (1.0.pristine-2)
simple ntp refclock daemon for MSF/WWVB/DCF77 time signals
radium-compressor (0.5.1+git20190909-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.5.1+git20190909-1 [armel, armhf])
audio compressor for JACK
radius-server
本虛擬套件由這些套件填實: radsecproxy, freeradius
radlib-dev (2.12.0-6+b1)
development file for librad0
radon (4.1.0+dfsg-1)
Python tool to compute code metrics (Python3)
rados-objclass-dev (14.2.21-1)
RADOS object class development kit.
radosgw (14.2.21-1)
REST gateway for RADOS distributed object store
radsecproxy (1.8.2-4)
RADIUS protocol proxy supporting RadSec
radvd (1:2.18-3)
Router Advertisement Daemon
radvdump (1:2.18-3)
dumps Router Advertisements
rafkill (1.2.2-7)
vertical shoot'em-up similar to Raptor: Call of the Shadows
rafkill-data (1.2.2-7)
graphics and audio data for rafkill
ragel (6.10-1+b1 [amd64], 6.10-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
compiles finite state machines into code in various languages
ragout (2.3-2+b1)
Reference-Assisted Genome Ordering UTility
ragout-examples (2.3-2)
Reference-Assisted Genome Ordering UTility (example data)
raidutils (0.0.6-23)
Transition Package, raidutils to dpt-i2o-raidutils
rail (1.2.14-2)
Replace Agent-string Internal Library
rails (2:6.0.3.7+dfsg-2+deb11u2)
MVC ruby based framework geared for web application development (metapackage)
rainbows (5.0.0-2)
HTTP server for sleepy Rack applications
raincat (1.1.1.2-4+b2 [armel], 1.1.1.2-4+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
2D puzzle game featuring a fuzzy little cat
raincat-data (1.1.1.2-4)
2D puzzle game featuring a fuzzy little cat - data files
raintpl (3.1.1-1)
easy and fast template engine for PHP
rakarrack (0.6.1-5+b1 [amd64], 0.6.1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Simple and easy guitar effects processor for GNU/Linux
rake (13.0.3-1)
ruby make-like utility
rake-compiler (1.1.1-1)
Rake-based Ruby Extension (C, Java) task generator
raku-getopt-long (0.3.2-1)
Getopt implementation for Raku
raku-tap-harness (0.1.0-2)
TAP test harness for Raku
rakudo (2020.12+dfsg-1)
Perl 6 implementation on top of Moar virtual machine
rally (3.1.0-2)
benchmark System for OpenStack - command line and configuration
rambo-k (1.21+dfsg-3)
Read Assignment Method Based On K-mers
ramond (0.5-4.1)
IPv6 Router Advertisement MONitoring Daemon
rampler (2.0.0-1)
module for sampling genomic sequences
rancid (3.13-1)
Really Awesome New Cisco confIg Differ
rancid-cgi (3.13-1)
looking glass CGI based on rancid tools
rancid-core
本虛擬套件由這些套件填實: rancid
rancid-util
本虛擬套件由這些套件填實: rancid
randmac (0.1-3)
generate random MAC addresses
randomplay (0.60+pristine-1)
command-line based shuffle music player that remembers songs between sessions
randomsound (0.2-5+b2)
ALSA sound card related entropy gathering daemon
randtype (1.13-11+b1)
semi-random text typer
ranger (1.9.3-3)
Console File Manager with VI Key Bindings
rapid-photo-downloader (0.9.26-2)
Photo downloader (importer) from cameras, memory cards, other devices
rapidjson-dev (1.1.0+dfsg2-7)
fast JSON parser/generator for C++ with SAX/DOM style API
rapidjson-doc (1.1.0+dfsg2-7)
fast JSON parser/generator for C++ (API documentation)
rapmap (0.15.0+dfsg-1+b2)
rapid sensitive and accurate DNA read mapping via quasi-mapping
rapmap-dev (0.15.0+dfsg-1)
rapmap - rapid sensitive and accurate DNA read mapping (some headers)
rapmap-example-data (0.15.0+dfsg-1)
example data for rapmap - rapid sensitive and accurate DNA read mapping
raptor2-utils (2.0.14-1.2)
Raptor 2 RDF parser and serializer utilities
rar (2:6.23-1~deb11u1) [non-free]
Archiver for .rar files
rarcrack (0.2-1+b1)
Password cracker for rar archives
raritan-json-rpc-doc (3.6.1+ds1-1)
Documentation for Raritan JSON-RPC SDK
rarpd (0.981107-9+b1)
Reverse Address Resolution Protocol daemon
rasdaemon (0.6.6-2)
utility to receive RAS error tracings
rasmol (2.7.6.0-2)
visualization of biological macromolecules
rasmol-doc (2.7.6.0-2)
documentation for rasmol
raspell (1.3-2+b3)
interface binding for the Aspell spelling checker
raspi-firmware (1.20210303+ds-2) [non-free]
Raspberry Pi family GPU firmware and bootloaders
raspi3-firmware (1.20210303+ds-2) [non-free]
transitional package
rasqal-utils (0.9.33-0.1)
Rasqal RDF Query utilities
raster3d (3.0-7-2)
tools for generating images of proteins or other molecules
raster3d-doc (3.0-7-2)
documents and example files for Raster3D
rasterio (1.2.0-1)
Command line tool for investigating geospatial rasters
rasterlite2-bin (1.1.0~beta1-2)
command line tools for librasterlite2
rasterview (1.7.1-3)
CUPS/PWG/Apple raster file viewer
ratbagd (0.15-1+b1)
D-Bus daemon handling mouse configuration
rate4site (3.0.0-7)
detector of conserved amino-acid sites
ratfor (1.05-1)
Rational Fortran preprocessor for Fortran 77
ratmenu (2.3.22+b2)
Creates X menus from the shell
ratpoints (1:2.1.3-1+b2)
find rational points on hyperelliptic curves
ratpoison (1.4.9-1+b1 [amd64], 1.4.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
keyboard-only window manager
ratt (0.0~git20180127.c44413c-2+b16)
Rebuild All The Things!
rauc (1.5.1-1)
Robust Auto-Update Controller - binary
rauc-service (1.5.1-1)
Robust Auto-Update Controller - service
rawdns (1.6~ds1-1+b21)
raw DNS interface to the Docker API
rawtherapee (5.8-3)
raw image converter and digital photo processor
rawtherapee-data (5.8-3)
raw image converter and digital photo processor (data files)
rawtran (1.1-1+b2)
RAW photo to FITS converter
rawtran-doc (1.1-1)
Documentation of Rawtran
raxml (8.2.12+dfsg-6+b4)
Randomized Axelerated Maximum Likelihood of phylogenetic trees
ray (2.3.1-7)
de novo genome assemblies of next-gen sequencing data
ray-doc (2.3.1-7)
documentation for ray parallel de novo genome assembler
ray-extra (2.3.1-7)
Scripts and XSL sheets for post-processing for ray
razercfg (0.42+ds-1+b1)
Razer device configuration tool
razor (1:2.85-4.2+b7)
spam-catcher using a collaborative filtering network
rbd-fuse (14.2.21-1)
FUSE-based rbd client for the Ceph distributed file system
rbd-mirror (14.2.21-1)
Ceph daemon for mirroring RBD images
rbd-nbd (14.2.21-1)
NBD-based rbd client for the Ceph distributed file system
rbdoom3bfg (1.2.0+dfsg~git20210105-1)
Doom3 BFG edition game engine
rbenv (1.1.2-1)
simple per-user Ruby version manager
rblcheck (20190930-1)
Tool to query DNSBL servers
rbldns (1:1.05-13+deb11u1)
real time blackhole list server
rbldnsd (0.999~20180516-3)
small nameserver daemon designed for DNSBLs
rbootd (3.0)
Remote Boot Daemon
rc (1.7.4+97.gceb59bb-4)
implementation of the AT&T Plan 9 shell
rcconf (3.2+nmu1)
Debian Runlevel configuration tool
rclone (1.53.3-1+b6)
rsync for commercial cloud storage
rclone-browser (1.8.0-1.2)
Simple cross platform GUI for rclone
rcm (1.3.4-1)
tool to manage rc files (dotfiles)
rcs (5.10.0-1)
The GNU Revision Control System
rcs-blame (1.3.1-4.2)
display the last modification for each line in an RCS file
rdate (1:1.10-4)
sets the system's date from a remote host with network time protocol
rdate-udeb (1:1.10-4)
sets the system's date from a remote host
rdesktop (1.9.0-2+b1)
RDP client for Windows NT/2000 Terminal Server and Windows Servers
rdfind (1.4.1-1+b3 [amd64], 1.4.1-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
find duplicate files utility
rdiff (2.3.1-1)
Binary diff tool for signature-based differences
rdiff-backup (2.0.5-2)
remote incremental backup
rdiff-backup-fs (1.0.0-5)
Fuse filesystem for accessing rdiff-backup archives
rdkit-data (202009.4-1)
Collection of cheminformatics and machine-learning software (data files)
rdkit-doc (202009.4-1)
Collection of cheminformatics and machine-learning software (documentation)
rdma-core (33.2-1)
RDMA core userspace infrastructure and documentation
rdmacm-utils (33.2-1)
Examples for the librdmacm library
rdnssd (1.0.4-2)
IPv6 recursive DNS server discovery daemon
rdnssd-udeb (1.0.4-2)
IPv6 recursive DNS server discovery daemon
rdoc
本虛擬套件由這些套件填實: ruby
rdp-alignment (1.2.0-6)
Ribosomal Database Project (RDP) alignment tools package
rdp-classifier (2.10.2-5)
extensible sequence classifier for fungal lsu, bacterial and archaeal 16s
rdp-classifier-doc (2.10.2-5)
documentation and examples for rdp-classifier
rdp-readseq (2.0.2-7)
Ribosomal Database Project (RDP) sequence reading and writing
rdtool (0.6.38-4)
RD document formatter
rdtool-elisp (0.6.38-4)
Emacs-lisp rd-mode for writing RD document
re (0.1-7+b1 [amd64], 0.1-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Russian Anywhere -- Russian text converter
re2c (2.0.3-1)
lexer generator for C, C++ and Go
read-edid (3.0.2-1.1)
hardware information-gathering tool for VESA PnP monitors
readline-common (8.1-1)
GNU readline 與 history 函式庫,共通檔案
readline-doc (8.1-1)
GNU readline and history libraries, documentation and examples
readline-editor
本虛擬套件由這些套件填實: rlfe, ledit, rlwrap
readseq (1-14)
Conversion between sequence formats
readucks (0.0.3-2)
Nanopore read de-multiplexer (read demux -> readux -> readucks, innit)
realmd (0.16.3-3)
DBus service for configuring kerberos and other online identities
reapr (1.0.18+dfsg-5)
universal tool for genome assembly evaluation
rear (2.6+dfsg-1)
Bare metal disaster recovery and system migration framework
rear-doc (2.6+dfsg-1)
Bare metal disaster recovery and system migration framework (documentation)
reaver (1.6.5-1+b1 [amd64], 1.6.5-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
brute force attack tool against Wifi Protected Setup PIN number
rebar (2.6.4-3)
Sophisticated build-tool for Erlang projects that follows OTP principles
reboot-notifier (0.10)
daily reboot notification mailer
rebound (2.0.0-1.1)
Command-line tool to fetch Stack Overflow results when program execution error
recan (0.1.2-2)
genetic distance plotting for recombination events analysis
recap (2.1.0-1)
Generates reports of various information about the server
reclass (1.7.0-1.1)
hierarchical inventory backend for configuration management systems
reclass-doc (1.7.0-1.1)
reclass documentation
recode (3.6-24)
Character set conversion utility
recode-doc (3.6-24)
Documentation for Free recode
recoll (1.28.5-2)
Personal full text search package
recollcmd (1.28.5-2)
Command line programs for recoll
recollgui (1.28.5-2)
GUI program and elements for recoll
recommonmark-scripts (0.6.0+ds-1)
CommonMark utility for Docutils and Sphinx projects -- scripts
recon-ng (5.1.1-3)
Web Reconnaissance framework written in Python
recordmydesktop (0.3.8.1+svn602-1.1)
Captures audio-video data of a Linux desktop session
recoverdm (0.20-7)
recover files on disks with damaged sectors
recoverjpeg (2.6.3-3)
recover JFIF (JPEG) pictures and MOV movies
recutils (1.8-1)
text-based databases called recfiles
redeclipse (1.6.0-1)
free, casual arena shooter
redeclipse-common (1.6.0-1)
common config files for the Red Eclipse FPS game
redeclipse-data (1.6.0-1)
data for the Red Eclipse FPS game
redeclipse-server (1.6.0-1)
server for the Red Eclipse FPS game
redet (8.26-1.4)
regular expression development and execution tool
redet-doc (8.26-1.4)
regular expression development and execution tool (documentation)
redfishtool (1.1.0-3)
redfish command-line client
redir (3.3-1)
Redirect TCP connections
redis (5:6.0.16-1+deb11u2)
Persistent key-value database with network interface (metapackage)
redis-redisearch (1:1.2.2-4)
Full-text and secondary search index engine module for Redis
redis-redisearch-doc (1:1.2.2-4)
Full-text and secondary search index engine module for Redis (documentation)
redis-sentinel (5:6.0.16-1+deb11u2)
Persistent key-value database with network interface (monitoring)
redis-server (5:6.0.16-1+deb11u2)
Persistent key-value database with network interface
redis-tools (5:6.0.16-1+deb11u2)
Persistent key-value database with network interface (client)
redland-utils (1.0.17-1.1+b1)
Redland Resource Description Framework (RDF) Utility programs
rednotebook (2.21+ds-1)
Modern desktop diary and personal journaling tool
redshift (1.12-4.2)
Adjusts the color temperature of your screen
redshift-gtk (1.12-4.2)
Adjusts the color temperature of your screen -- GUI
redsocks (0.5-2+b2 [amd64], 0.5-2+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
arbitrary TCP connection redirector to a SOCKS or HTTPS proxy server
ree (1.4.1-1)
extract ROM extensions
refind (0.12.0-1)
boot manager for EFI-based computers
reflex (0.3.0-1+b6)
Run a command when files change
refmac-dictionary (5.41-2)
dictionary for macromolecular refinement and model building
regexxer (0.10-5)
visual search and replace tool using Perl Regex
regina-normal (6.0.1-4)
mathematical software for low-dimensional topology
regina-normal-dev (6.0.1-4)
development files for Regina, the topology software
regina-normal-doc (6.0.1-4)
documentation for Regina, the topology software
regionset (0.1-3.1+b1)
view and modify the region code of DVD drives
registry-tools (2:4.13.13+dfsg-1~deb11u6) [security]
tools for viewing and manipulating the Windows registry
reglookup (1.0.1+svn287-9)
utility to analysis for Windows NT-based registry
reglookup-doc (1.0.1+svn287-9)
developer documentation for libregfi and python-pyregfi
reiser4progs (1.2.1-3)
administration utilities for the Reiser4 filesystem
reiserfsprogs (1:3.6.27-4)
ReiserFS 檔案系統工具組
reiserfsprogs-udeb (1:3.6.27-4)
User-level tools for ReiserFS filesystems
relacy-dev (0.0+git20191025.acc09bb-2)
meticulous synchronization algorithm verifier for relaxed memory models
relational (3.0-1)
Educational tool for relational algebra (graphical user interface)
relational-cli (3.0-1)
Educational tool for relational algebra (command line interface)
relion (3.1.0-4)
toolkit for 3D reconstructions in cryo-electron microscopy
relion-cuda (3.1.0-2) [contrib]
parallel toolkit for 3D reconstructions in cryo-electron microscopy
relion-gui (3.1.0-4)
parallel toolkit for 3D reconstructions in cryo-electron microscopy
relion-gui-cuda (3.1.0-2) [contrib]
parallel toolkit for 3D reconstructions in cryo-electron microscopy
remake (4.3+dbg-1.5+dfsg-1)
GNU make fork with improved error reporting and debugging
remaster-iso (0.9.4-1)
Set of scripts to remaster Debian iso images.
remctl-client (3.17-1)
Client for Kerberos-authenticated command execution
remctl-server (3.17-1)
Server for Kerberos-authenticated command execution
remembrance-agent (2.12-7+b2)
Emacs mode to help find relevant texts
remind (03.03.01-1)
sophisticated calendar and alarm program
reminiscence (0.2.1-2+b2) [contrib]
free implementation of Flashback game engine
remmina (1.4.11+dfsg-3)
GTK+ Remote Desktop Client
remmina-common (1.4.11+dfsg-3)
Common files for Remmina
remmina-dev (1.4.11+dfsg-3)
Headers for Remmina
remmina-plugin-exec (1.4.11+dfsg-3)
EXEC plugin for Remmina
remmina-plugin-kiosk (1.4.11+dfsg-3)
Kiosk plugin for Remmina
remmina-plugin-kwallet (1.4.11+dfsg-3)
KWallet plugin for Remmina
remmina-plugin-nx (1.4.11+dfsg-3)
NX plugin for Remmina
remmina-plugin-rdp (1.4.11+dfsg-3)
RDP plugin for Remmina
remmina-plugin-secret (1.4.11+dfsg-3)
Secret plugin for Remmina
remmina-plugin-spice (1.4.11+dfsg-3)
Spice plugin for Remmina
remmina-plugin-vnc (1.4.11+dfsg-3)
VNC plugin for Remmina
remmina-plugin-www (1.4.11+dfsg-3)
WWW plugin for Remmina
remmina-plugin-xdmcp (1.4.11+dfsg-3)
XDMCP plugin for Remmina
remote-logon-config-agent (0.10-1)
Retrieve host and session information from remote broker servers
remote-logon-service (1.0.2.90-3)
DBus service for tracking available remote logon servers
remote-tty (4.0-13+b3)
multiuser "tip"/"cu" replacement with logging
remotetea (1.0.7-3.1)
Sun ONC/RPC support for Java
remotetrx (19.09.1-3)
Remote controller for radio transceivers
renaissance-doc (0.9.0-4.1)
GNUstep GUI Framework - documentation
rename (1.13-1)
Perl extension for renaming multiple files
rename-flac (2.2.0-1)
CLI tool to rename FLAC files
renameutils (0.12.0-9)
Programs to make file renaming easier
renattach (1.2.4-5+b1)
Rename attachments on the fly
renderd (0.5-2)
Daemon that renders map tiles using mapnik
renderdoc (1.11+dfsg-5)
Stand-alone graphics debugging tool -- metapackage
renderdoc-doc (1.11+dfsg-5)
Stand-alone graphics debugging tool -- docs
renderdoccmd (1.11+dfsg-5)
Stand-alone graphics debugging tool -- command line tool
reniced (1.21-1)
renice running processes based on regular expressions
renrot (1.2.0-1)
Rename and rotate files according to EXIF tags
rep (0.92.5-3+b6)
lisp command interpreter
rep-doc (0.92.5-3)
documentation for the lisp command interpreter
rep-gtk (1:0.90.8.2-3+b1 [mips64el], 1:0.90.8.2-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
GTK+ binding for librep
repeatmasker-recon (1.08-6)
finds repeat families from biological sequences
repetier-host (0.85+dfsg-2.1)
host controller for RepRap style 3D printers
rephrase (0.2-4)
Specialized passphrase recovery tool for GnuPG
repmgr (5.2.0-2)
replication manager for PostgreSQL (metapackage)
repmgr-common (5.2.0-2)
replication manager for PostgreSQL common files
repo (2.15.4-2) [contrib]
repository management tool built on top of git
reportbug (7.10.3+deb11u1)
reports bugs in the Debian distribution
reportbug-gtk (7.10.3+deb11u1)
reports bugs in the Debian distribution (GTK+ UI)
reposurgeon (4.25-1+b4)
Tool for editing version-control repository history
reprepro (5.3.0-1.2)
Debian package repository producer
reprof (1.0.1-7)
protein secondary structure and accessibility predictor
reprotest (0.7.16)
Build software and check it for reproducibility
reprounzip (1.0.16-2)
tool for reproducing scientific experiments (unpacker)
reprozip (1.0.16-1)
tool for reproducing scientific experiments (packer)
repsnapper (2.5a5-3)
STL to GCode Converter and print software for RepRap machines
reptyr (0.6.2-1.3)
Tool for moving running programs between ptys
request-tracker4 (4.4.4+dfsg-2+deb11u3)
extensible trouble-ticket tracking system
rerun (0.13.1-1)
tool to launch commands and restart them on filesystem changes
resample (1.8.1-1+b2)
Resamples a sound file using a FIR filter
rescue-check (1.85)
enter d-i rescue mode if requested
rescue-mode (1.85)
mount requested partition and start a rescue shell
resfinder (3.2-3)
identify acquired antimicrobial resistance genes
resfinder-db (0.0+git20200408.0322c0d-1)
ResFinder database is a curated database of acquired resistance genes
resfinder-example (3.2-3)
identify acquired antimicrobial resistance genes (example data)
residualvm (0.3.1+dfsg-2) [contrib]
interpreter to play LucasArts' Lua-based 3D adventures
residualvm-data (0.3.1+dfsg-2) [contrib]
interpreter to play LucasArts' Lua-based 3D adventures (data files)
resolvconf (1.87)
name server information handler
resolvconf
本虛擬套件由這些套件填實: openresolv
resolvconf-admin (0.3-1)
setuid helper program for setting up the local DNS
resource-agents (1:4.7.0-1)
Cluster Resource Agents
resource-agents-dev
本虛擬套件由這些套件填實: resource-agents
resource-agents-paf (2.3.0-1)
PostgreSQL resource agent for Pacemaker
resource-file-generator
本虛擬套件由這些套件填實: mono-devel
restartd (0.2.3-1.1)
Process checker and/or restarter
restfuldb (0.15.2+dfsg-1)
Web frontend for relational databases
restic (0.11.0-1+b5)
backup program with multiple revisions, encryption and more
restorecond (3.1-1)
SELinux core policy utilities (restorecond utilities)
restricted-ssh-commands (0.4-1)
Restrict SSH users to a predefined set of commands
resvg (0.8.0-4)
SVG rendering library (command-line utility)
retext (7.2.1-3)
Simple text editor for Markdown and reStructuredText
retriever
本虛擬套件由這些套件填實: cdrom-retriever, media-retriever, net-retriever
retro-runner (1.0.1-1+b1)
background service for retro-gtk
retroarch (1.7.3+dfsg1-1.1+b2)
Simple frontend for the libretro library
retroarch-assets (1.3.6+git20160731+dfsg1-2)
RetroArch assets for XMB, GLUI and Zarch
retry (1.0.4-2)
Retry a command until the command succeeds
retweet (0.10-1.1)
Simple twitter bot to retweet
rev-plugins (0.7.1-3+b1 [amd64], 0.7.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
reverb LADSPA plugin
revelation (0.5.4-2)
GNOME3 Password manager
revolt (0.0+git20200723.0aca6c8-1)
better desktop integration for Element
rex (1.13.3-1)
deployment and configuration management tool
rexical (1.0.7-1)
Lexical scanner generator for Ruby
rexima (1.4-8+b2)
simple ncurses/command-line mixer
rfcdiff (1.45-1.1)
compares two internet draft files and outputs the difference
rfdump (1.6-8)
tool to decode RFID tag data
rfkill (2.36.1-8+deb11u2) [security]
tool for enabling and disabling wireless devices
rfkill-modules
本虛擬套件由這些套件填實: rfkill-modules-5.10.0-27-amd64-di, rfkill-modules-5.10.0-27-686-pae-di, rfkill-modules-5.10.0-25-686-di, rfkill-modules-5.10.0-28-amd64-di, rfkill-modules-5.10.0-28-686-pae-di, rfkill-modules-5.10.0-26-686-pae-di, rfkill-modules-5.10.0-26-686-di, rfkill-modules-5.10.0-26-amd64-di, rfkill-modules-5.10.0-25-amd64-di, rfkill-modules-5.10.0-27-686-di, rfkill-modules-5.10.0-25-686-pae-di, rfkill-modules-5.10.0-28-686-di
rfkill-modules-5.10.0-25-686-di (5.10.191-1) [security]
rfkill modules
rfkill-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
rfkill modules
rfkill-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
rfkill modules
rfkill-modules-5.10.0-26-686-di (5.10.197-1)
rfkill modules
rfkill-modules-5.10.0-26-686-pae-di (5.10.197-1)
rfkill modules
rfkill-modules-5.10.0-26-amd64-di (5.10.197-1)
rfkill modules
rfkill-modules-5.10.0-27-686-di (5.10.205-2) [security]
rfkill modules
rfkill-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
rfkill modules
rfkill-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
rfkill modules
rfkill-modules-5.10.0-28-686-di (5.10.209-2)
rfkill modules
rfkill-modules-5.10.0-28-686-pae-di (5.10.209-2)
rfkill modules
rfkill-modules-5.10.0-28-amd64-di (5.10.209-2)
rfkill modules
rgbpaint (0.8.7-6.1)
simple pixel-based painting program
rgrep
本虛擬套件由這些套件填實: grep
rgxg (0.1.2-4)
command-line tool to generate regular expressions
rhash (1.4.1-2)
utility for computing hash sums and magnet links
rheolef (7.1-6)
efficient Finite Element environment
rheolef-doc (7.1-6)
efficient Finite Element environment - documentation
rhino (1.7.7.2-3)
JavaScript engine written in Java
rhinote (0.7.4-4)
virtual sticky-notes for your desktop
rhythmbox (3.4.4-4)
GNOME 的音樂播放及管理程式
rhythmbox-data (3.4.4-4)
data files for rhythmbox
rhythmbox-dev (3.4.4-4)
development files for the rhythmbox music player
rhythmbox-doc (3.4.4-4)
documentation files for the rhythmbox music player
rhythmbox-plugin-alternative-toolbar (0.19.3-2)
Enhanced play controls and interface for Rhythmbox
rhythmbox-plugin-cdrecorder (3.4.4-4)
burning plugin for rhythmbox music player
rhythmbox-plugins (3.4.4-4)
plugins for rhythmbox music player
ri (1:2.7+2)
Ruby Interactive reference
ri-li (2.0.1+ds-10)
toy train simulation game
ri-li-data (2.0.1+ds-10)
toy train simulation game - data files
ricks-amdgpu-utils (3.5.0-1)
AMD GPU performance adjustment and monitoring
ricochet (0.10)
multi-user networked version of the Ricochet Robots game
ricochet-im (1.1.4-3+b4 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.1.4-3+b2 [armel, armhf])
anonymous metadata-resistant instant messaging
riece (9.0.0-11)
IRC client for Emacs
riemann-c-client (1.10.4-2+b2)
Client utility for the Riemann event stream processor
ries (2018.08.05-1)
find algebraic equations, given their solution
rifiuti (20040505-4)
MS Windows recycle bin analysis tool
rifiuti2 (0.7.0-3)
replacement for rifiuti, a MS Windows recycle bin analysis tool
rig (1.11-1+b2)
Random identity generator
rinetd (0.62.1sam-1.1)
Internet TCP redirection server
rinse (3.6)
RPM installation environment
rio (1.07-14)
Command line Diamond Rio MP3 player controller
ripe-atlas-tools (2.3.0-2.1)
command-line interface for RIPE Atlas
ripe-atlas-tools-doc (2.3.0-2.1)
command-line interface for RIPE Atlas (documentation)
ripgrep (12.1.1-1+b1)
Recursively searches directories for a regex pattern
ripit (4.0.0~rc20161009-1)
Textbased audio CD ripper
ripmime (1.4.0.10.debian.1-3+b1 [amd64], 1.4.0.10.debian.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Extract attachments out of MIME encoded emails
ripper (0.0~git20150415.0.bd1a682-3+b17)
scrape licenses out of files
ripperx (2.8.0-2)
GTK-based audio CD ripper/encoder
ripser (1.1.20200206.286d36-3)
Fast computation of persistent homology of flag complexes
ristretto (0.10.0-1)
lightweight picture-viewer for the Xfce desktop environment
rkflashtool (0~20160324-2+b1 [amd64], 0~20160324-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tools for flashing Rockchip devices
rkhunter (1.4.6-9)
rootkit, backdoor, sniffer and exploit scanner
rkward (0.7.2-1)
KDE frontend to the R statistics language
rkward-data (0.7.2-1)
KDE frontend to the R statistics language - data files
rlfe (8.1-1)
Front-end using readline to "cook" input lines for other programs
rlinetd (0.9.3-1)
gruesomely over-featured inetd replacement
rlpr (2.05-5+b2)
A utility for lpd printing without using /etc/printcap
rlvm (0.14-5+b1)
RealLive virtual machine clone
rlwrap (0.43-1+b2)
readline feature command line wrapper
rmagic (2.21-5.1)
Report Magic for Analog
rmail (8.15.2-22)
MTA->UUCP remote mail handler
rman (3.2-9)
PolyglotMan - Reverse compile man pages
rmligs-german (20161207-9)
remove incorrectly used ligatures from LaTeX documents
rmlint (2.9.0-2.3)
Extremely fast tool to remove filesystem lint
rmlint-doc (2.9.0-2.3)
HTML documentation for rmlint
rmlint-gui (2.9.0-2.3)
GTK+ frontend to rmlint
rna-star (2.7.8a+dfsg-2)
ultrafast universal RNA-seq aligner
rnahybrid (2.1.2-6)
Fast and effective prediction of microRNA/target duplexes
rnbyc (0.9.13-3+deb11u2)
JWK and JWT parser and generator
rnetclient (2017.1-1+b2 [amd64], 2017.1-1+b1 [mips64el], 2017.1-1 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Send the Brazilian Income Tax Report to the Brazilian Tax Authority
rng-tools (5migrate1)
transitional dummy package for rng-tools migration
rng-tools (= 2.3)
本虛擬套件由這些套件填實: rng-tools-debian
rng-tools-debian (2.3)
daemon to use a Hardware RNG (classic version)
rng-tools5 (5-4+b1 [amd64], 5-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Daemon to use a Hardware TRNG
roaraudio (1.0~beta12-4)
sound server for audio mixing
roaraudio-server
本虛擬套件由這些套件填實: muroard, roaraudio
roarclients (1.0~beta12-4)
Collection of basic clients for the RoarAudio Sound System
roarplaylistd (0.1.9-9)
RoarAudio PlayList Daemon (RPLD)
roarplaylistd-client
本虛擬套件由這些套件填實: roarplaylistd-tools
roarplaylistd-codechelper-gst (0.1.9-9)
roarplaylistd helper script for GStreamer codecs
roarplaylistd-dev (0.1.9-9)
RoarAudio PlayList Daemon (RPLD) (plugin development files)
roarplaylistd-tools (0.1.9-9)
Tools to control RoarAudio PlayList Daemon (RPLD)
roary (3.13.0+dfsg-1)
high speed stand alone pan genome pipeline
robin-map-dev (0.6.3-1)
C++ implementation of a fast hash map and hash set
robocode (1.9.3.9-2)
Java programming game based on battle tanks
robocut (1.0.11-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.0.11-1 [armel, armhf])
Control program for Graphtec cutting plotters
robot-testing-framework (2.0.1+ds1-2)
Robot Testing Framework (metapackage)
robotfindskitten (2.8284271.702-1)
Zen Simulation of robot finding kitten
robottestingframework-testrunner (2.0.1+ds1-2)
Robot Testing Framework - robottestingframework-testrunner
robustirc-bridge (1.8-1+b16)
bridge between IRC and RobustIRC
rockdodger (1.1.4-2)
Fly through an asteroid field avoiding rocks and greeblies
rocketcea (1.1.18+dfsg-2)
NASA Chemical Equilibrium, wrapped in Python
rocksdb-tools (6.11.4-3+deb11u1)
persistent Key-Value Store for Flash and RAM Storage (tools)
rocksndiamonds (4.2.2.1+dfsg-2) [contrib]
arcade-style game
rocs (4:20.12.1-1)
graph theory IDE
roffit (0.7~20120815+gitbbf62e6-2)
convert nroff manual pages into HTML
rofi (1.5.4-1+b1)
window switcher, run dialog and dmenu replacement
rofi-dev (1.5.4-1+b1)
development files for rofi
roger-router (2.2.1-1)
Home router management tool - GUI
roguenarok (1.0-4)
versatile and scalable algorithm for rogue taxon identification
rolldice (1.16-1+b3)
virtual dice roller
rollup (2.38.4-1)
ES6 module bundler for JavaScript
rolo (014-4)
text-based (vCard) contact management software
ronn (0.9.1-2)
tool to build manuals from Markdown
roodi (5.0.0-4)
Ruby object oriented design inferometer
root-tail (1.3-2)
Displays select log files in the X root window
rootlesskit (0.14.2-1+b3)
Linux-native "fake root" for rootless containers
rootskel (1.133)
Skeleton root filesystem used by debian-installer
rootskel-gtk (11.0.1)
graphics for use in debian-installer (gtk user interface)
ros-actionlib-msgs (1.13.0-2)
Messages relating to Robot OS actionlib, definitions
ros-base (1.16)
Python Robot OS base metapackage
ros-base-dev (1.16)
Robot OS base development metapackage
ros-base-lisp-dev (1.16)
Lisp Robot OS base development metapackage
ros-base-python-dev (1.16)
Python Robot OS base development metapackage
ros-camera-calibration (1.15.2-4)
ROS camera_calibration nodes
ros-cmake-modules (0.5.0-1)
Robot OS CMake Modules
ros-core (1.16)
Robot OS core metapackage
ros-core-dev (1.16)
Robot OS core development metapackage
ros-core-lisp-dev (1.16)
Lisp Robot OS core development metapackage
ros-core-python-dev (1.16)
Python Robot OS core development metapackage
ros-core-rosbuild-dev (1.16)
Robot OS rosbuild core development metapackage
ros-desktop (1.16)
Robot OS desktop metapackage
ros-desktop-dev (1.16)
Robot OS desktop development metapackage
ros-desktop-full (1.16)
Robot OS desktop-full metapackage
ros-desktop-full-dev (1.16)
Robot OS desktop-full development metapackage
ros-desktop-full-lisp-dev (1.16)
LISP Robot OS desktop-full development metapackage
ros-desktop-full-python-dev (1.16)
Python Robot OS desktop-full development metapackage
ros-desktop-lisp-dev (1.16)
LISP Robot OS desktop development metapackage
ros-desktop-python-dev (1.16)
Python Robot OS desktop development metapackage
ros-diagnostic-msgs (1.13.0-2)
Messages relating to Robot OS diagnostic, definitions
ros-environment (1.3.2-2)
Robot OS environment hooks
ros-geometry-msgs (1.13.0-2)
Messages relating to Robot OS geometry, definitions
ros-image-proc (1.15.2-4+b1)
ROS image_proc node
ros-image-publisher (1.15.2-4+b1)
ROS image-publisher node
ros-image-rotate (1.15.2-4+b1)
ROS image-rotate node
ros-image-view (1.15.2-4+b1)
ROS image_view node
ros-map-msgs (1.14.1-2)
Definitions of map-related ROS Messages
ros-message-generation (0.4.1-4)
Generates build-time messaging dependencies for Robot OS packages
ros-message-runtime (0.4.13-5)
Generates runtime messaging dependencies for Robot OS package
ros-mk (1.15.7-2)
Robot OS make file helpers
ros-move-base-msgs (1.14.1-2)
Definitions of move-base-related ROS Messages
ros-nav-msgs (1.13.0-2)
Messages relating to Robot OS nav, definitions
ros-opencv-apps (2.0.2-3+b1)
opencv_apps Robot OS package - apps
ros-pcl-msgs (0.3.0-2)
Definitions of PCL-related Robot OS Messages
ros-perception (1.16)
Python Robot OS perception metapackage
ros-perception-dev (1.16)
Robot OS perception development metapackage
ros-perception-lisp-dev (1.16)
LISP Robot OS perception development metapackage
ros-perception-python-dev (1.16)
Python Robot OS perception development metapackage
ros-robot (1.16)
Python Robot OS robot metapackage
ros-robot-dev (1.16)
Robot OS robot development metapackage
ros-robot-lisp-dev (1.16)
LISP Robot OS robot development metapackage
ros-robot-python-dev (1.16)
Python Robot OS robot development metapackage
ros-robot-state-publisher (1.15.1-4)
Robot OS robot_state_publisher
ros-roscpp-msg (1.15.9+ds1-7+deb11u1)
Robot OS definitions of roscpp messages
ros-rosgraph-msgs (1.11.3-2)
Messages relating to the Robot OS Computation Graph, definitions
ros-sensor-msgs (1.13.0-2)
Messages relating to Robot OS sensor, definitions
ros-shape-msgs (1.13.0-2)
Messages relating to Robot OS shape, definitions
ros-simulators (1.16)
Python Robot OS simulators metapackage
ros-simulators-dev (1.16)
Robot OS simulators development metapackage
ros-simulators-lisp-dev (1.16)
LISP Robot OS simulators development metapackage
ros-simulators-python-dev (1.16)
Python Robot OS simulators development metapackage
ros-std-msgs (0.5.13-2)
Message definitions for Standard Robot OS Messages
ros-std-srvs (1.11.3-2)
Robot OS Common service definitions, definitions
ros-stereo-image-proc (1.15.2-4+b1)
ROS stereo_image_proc node
ros-stereo-msgs (1.13.0-2)
Messages relating to Robot OS stereo, definitions
ros-tf2-msgs (0.7.5-3)
Robot OS messages for tf2 transform library - definitions
ros-topic-tools-srvs (1.15.9+ds1-7+deb11u1)
service templates files for libtopic-tools (Robot OS)
ros-trajectory-msgs (1.13.0-2)
Messages relating to Robot OS trajectory, definitions
ros-visualization-msgs (1.13.0-2)
Messages relating to Robot OS visualization, definitions
ros-viz (1.16)
Python Robot OS viz metapackage
ros-viz-dev (1.16)
Robot OS viz development metapackage
ros-viz-python-dev (1.16)
Python Robot OS viz development metapackage
rosbash (1.15.7-2)
Assorted shell commands for using Robot OS with bash
rosbuild (1.15.7-2)
scripts for managing the Robot OS build system
rosdiagnostic (1.10.1+ds1-3)
command line tool to print aggregated diagnostic (Robot OS)
rosegarden (1:20.12-1)
music editor and MIDI/audio sequencer
roslang (1.15.7-2)
Common metapackage for all Robot OS client libraries
roslisp (1.9.24-3)
Lisp client library for Robot OS
rosout (1.15.9+ds1-7+deb11u1)
Robot OS system-wide logging mechanism
rospack-tools (2.6.2-5)
command-line tool for retrieving information about Robot OS packages
rotix (0.83-6+b1 [amd64], 0.83-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
generate rotational obfuscations
rott (1.1.2+svn287-3) [contrib]
SDL-Port of Rise of the Triad
rotter (0.9-3.1)
JACK client for transmission recording and audio logging
roundcube (1.4.15+dfsg.1-1~deb11u2)
skinnable AJAX based webmail solution for IMAP servers - metapackage
roundcube-core (1.4.15+dfsg.1-1~deb11u2)
skinnable AJAX based webmail solution for IMAP servers
roundcube-mysql (1.4.15+dfsg.1-1~deb11u2)
metapackage providing MySQL dependencies for RoundCube
roundcube-pgsql (1.4.15+dfsg.1-1~deb11u2)
metapackage providing PostgreSQL dependencies for RoundCube
roundcube-plugins (1.4.15+dfsg.1-1~deb11u2)
skinnable AJAX based webmail solution for IMAP servers - plugins
roundcube-plugins-extra (1.4.10+1-3)
skinnable AJAX based webmail solution - extra plugins
roundcube-sqlite3 (1.4.15+dfsg.1-1~deb11u2)
metapackage providing SQLite dependencies for RoundCube
routino (3.3.3-1)
Set of tools to find a path between two points
routino-common (3.3.3-1)
Routino data
routino-www (3.3.3-1)
Web frontend for routino
rovclock (0.6e-7+b1)
utility to control frequency rates of your Radeon card
rover (0.76.1)
text-based light-weight frontend for update-alternatives
rows (0.4.1-3)
common, beautiful interface to tabular data, no matter the format
rox-filer (1:2.11-5)
simple graphical file manager for X11
rpcbind (1.2.5-9)
converts RPC program numbers into universal addresses
rpi.gpio-common (0.7.0-0.2+b2)
Module to control Raspberry Pi GPIO channels (common files)
rpki-client (6.8p1-2)
OpenBSD RPKI validator
rpki-trust-anchors (20210817-1~deb11u1)
RPKI Trust Anchor Locators
rpl (1.8.0-2)
replace strings in files
rplay-client (3.3.2-18)
rplay network audio system - basic clients
rplay-contrib (3.3.2-18)
rplay network audio system - contributed binaries
rplay-server (3.3.2-18)
rplay network audio system - server
rpm (4.16.1.2+dfsg1-3)
package manager for RPM
rpm-common (4.16.1.2+dfsg1-3)
common files for RPM
rpm-i18n (4.16.1.2+dfsg1-3)
localization and localized man pages for rpm
rpm2cpio (4.16.1.2+dfsg1-3)
tool to convert RPM package to CPIO archive
rr (5.4.0-2)
application execution recorder, player and debugger
rrdcached (1.7.2-3+b7)
data caching daemon for RRDtool
rrdcollect (0.2.10-2+b3)
Round-Robin-Database Collecting Daemon
rrdcollect-dbg (0.2.10-2+b3)
debugging symbols for rrdcollect
rrdtool (1.7.2-3+b7)
time-series data storage and display system (programs)
rrdtool-tcl (1.7.2-3+b7)
time-series data storage and display system (Tcl interface)
rrep (1.3.6-2)
recursive pattern replacement utility
rrootage (0.23a-13)
arcade-style space shooting game
rrootage-data (0.23a-13)
space shooting game - data files
rs (20200313-1)
reshape a data array
rsakeyfind (1:1.0-8)
locates BER-encoded RSA private keys in memory images
rsbackup (6.0-2+b2)
rsync-based backup utility
rsbackup-graph (6.0-2+b2)
Graphics for rsync-based backup utility
rsem (1.3.3+dfsg-1)
RNA-Seq by Expectation-Maximization
rsh-client (0.17-22)
client programs for remote shell connections
rsh-client
本虛擬套件由這些套件填實: openssh-client
rsh-redone-client (85-2+b1)
Reimplementation of rsh and rlogin
rsh-redone-server (85-2+b1)
Reimplementation of rshd and rlogind
rsh-server (0.17-22)
server program for remote shell connections
rsh-server
本虛擬套件由這些套件填實: rsh-redone-server
rsibreak (4:0.12.13-1)
utility to help prevent repetitive strain injury
rsound-server
本虛擬套件由這些套件填實: roaraudio
rspamd (2.7-1)
Rapid spam filtering system
rss-bridge (2020-11-10+dfsg1-1)
web service generating ATOM feeds for websites that don't have them
rss-glx (0.9.1-6.1+b3)
Really Slick Screensavers GLX Port
rss2email (1:3.12.2-2)
receive RSS feeds by email
rssguard (3.9.0+dfsg-2)
simple, light and easy-to-use RSS/ATOM feed aggregator
rsstail (1.8-1+b1)
console RSS reader that monitors a feed and outputs new entries
rst2pdf (0.98-1)
ReportLab-based reStructuredText to PDF renderer
rstat-client (4.0.1-10+b1 [amd64], 4.0.1-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
client for rstatd
rstatd (4.0.1-10+b1 [amd64], 4.0.1-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
displays uptime information for remote machines
rsync (3.2.3-4+deb11u1)
fast, versatile, remote (and local) file-copying tool
rsync-bpc
本虛擬套件由這些套件填實: backuppc-rsync
rsyncrypto (1.14-1.2)
rsync friendly encryption
rsyslog (8.2102.0-2+deb11u1)
reliable system and kernel logging daemon
rsyslog-czmq (8.2102.0-2+deb11u1)
ZeroMQ protocol support for rsyslog via CZMQ
rsyslog-doc (8.2102.0-1)
documentation for rsyslog
rsyslog-elasticsearch (8.2102.0-2+deb11u1)
Elasticsearch output plugin for rsyslog
rsyslog-gnutls (8.2102.0-2+deb11u1)
TLS protocol support for rsyslog (GnuTLS)
rsyslog-gssapi (8.2102.0-2+deb11u1)
GSSAPI authentication and encryption support for rsyslog
rsyslog-hiredis (8.2102.0-2+deb11u1)
Redis output plugin for rsyslog
rsyslog-kafka (8.2102.0-2+deb11u1)
Apache Kafka support for rsyslog
rsyslog-mongodb (8.2102.0-2+deb11u1)
MongoDB output plugin for rsyslog
rsyslog-mysql (8.2102.0-2+deb11u1)
MySQL output plugin for rsyslog
rsyslog-openssl (8.2102.0-2+deb11u1)
TLS protocol support for rsyslog (OpenSSL)
rsyslog-pgsql (8.2102.0-2+deb11u1)
PostgreSQL output plugin for rsyslog
rsyslog-relp (8.2102.0-2+deb11u1)
RELP protocol support for rsyslog
rt-app (1.0-1)
Test application which simulates a real-time periodic load
rt-tests (1.5-2)
Test programs for rt kernels
rt4-apache2 (4.4.4+dfsg-2+deb11u3)
Apache 2 specific files for request-tracker4
rt4-clients (4.4.4+dfsg-2+deb11u3)
mail gateway and command-line interface to request-tracker4
rt4-db-mysql (4.4.4+dfsg-2+deb11u3)
MySQL database backend for request-tracker4
rt4-db-postgresql (4.4.4+dfsg-2+deb11u3)
PostgreSQL database backend for request-tracker4
rt4-db-sqlite (4.4.4+dfsg-2+deb11u3)
SQLite database backend for request-tracker4
rt4-doc-html (4.4.4+dfsg-2+deb11u3)
HTML documentation for request-tracker4
rt4-extension-calendar (1.01-1.1)
Calendar view for Request Tracker 4
rt4-extension-customfieldsonupdate (1.02-1)
edit ticket's custom fields on reply/comment (Request Tracker)
rt4-extension-jsgantt (1.06-1)
Gantt charts for your tickets (for RT4)
rt4-extension-nagios (1.01-1.1)
merge and resolve Nagios tickets in RT
rt4-extension-repeatticket (1.11-1)
Repeat tickets in Request Tracker 4 based on schedule
rt4-extension-smsnotify (1.04-1.1)
Send SMS notifications from RT via SMS::Send
rt4-fcgi (4.4.4+dfsg-2+deb11u3)
External FastCGI support for request-tracker4
rt4-standalone (4.4.4+dfsg-2+deb11u3)
Standalone web server support for request-tracker4
rtags (2.38-3)
C/C++ client/server indexer with integration for Emacs
rtax (0.984-7)
Classification of sequence reads of 16S ribosomal RNA gene
rtc-modules
本虛擬套件由這些套件填實: kernel-image-5.10.0-27-686-di, kernel-image-5.10.0-25-marvell-di, kernel-image-5.10.0-28-octeon-di, kernel-image-5.10.0-26-marvell-di, kernel-image-5.10.0-25-5kc-malta-di, kernel-image-5.10.0-25-686-di, kernel-image-5.10.0-28-686-di, kernel-image-5.10.0-28-5kc-malta-di, kernel-image-5.10.0-27-4kc-malta-di, kernel-image-5.10.0-26-loongson-3-di, kernel-image-5.10.0-27-5kc-malta-di, kernel-image-5.10.0-25-686-pae-di, kernel-image-5.10.0-27-marvell-di, kernel-image-5.10.0-28-4kc-malta-di, rtc-modules-5.10.0-26-octeon-di, kernel-image-5.10.0-27-octeon-di, kernel-image-5.10.0-25-4kc-malta-di, kernel-image-5.10.0-26-4kc-malta-di, kernel-image-5.10.0-26-octeon-di, kernel-image-5.10.0-28-marvell-di, kernel-image-5.10.0-28-686-pae-di, rtc-modules-5.10.0-27-octeon-di, kernel-image-5.10.0-27-loongson-3-di, kernel-image-5.10.0-26-686-di, rtc-modules-5.10.0-25-octeon-di, kernel-image-5.10.0-25-loongson-3-di, kernel-image-5.10.0-28-loongson-3-di, kernel-image-5.10.0-26-5kc-malta-di, kernel-image-5.10.0-26-686-pae-di, kernel-image-5.10.0-27-686-pae-di, rtc-modules-5.10.0-28-octeon-di, kernel-image-5.10.0-25-octeon-di
rtc-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
RTC modules
rtc-modules-5.10.0-26-octeon-di (5.10.197-1)
RTC modules
rtc-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
RTC modules
rtc-modules-5.10.0-28-octeon-di (5.10.209-2)
RTC modules
rtcw (1.51.c+dfsg1-3) [contrib]
game engine for Return to Castle Wolfenstein
rtcw-common (1.51.c+dfsg1-3) [contrib]
common files for Return to Castle Wolfenstein
rtcw-server (1.51.c+dfsg1-3) [contrib]
standalone server for Return to Castle Wolfenstein
rtirq-init (20150216-2.1)
startup script for realtime-preempt enabled kernels
rtkit (0.13-4)
Realtime Policy and Watchdog Daemon
rtklib (2.4.3+dfsg1-2.1)
Real Time Kinematic and other advanced GPS positioning techniques
rtklib-doc (2.4.3+dfsg1-2.1)
Real Time Kinematic and advanced GPS positioning techniques manual
rtklib-qt (2.4.3+dfsg1-2.1)
Tools for standard and precise positioning with GNSS QT interface
rtl-433 (20.11-1)
Decode 433.9 Mhz data
rtl-sdr (0.6.0-3)
Software defined radio receiver for Realtek RTL2832U (tools)
rtmpdump (2.4+20151223.gitfa8646d.1-2+b2)
small dumper for media content streamed over the RTMP protocol
rtorrent (0.9.8-1)
ncurses BitTorrent client based on LibTorrent from rakshasa
rtpg-www (0.2.11-3.1)
web based front end for rTorrent
rtr-tools (0.7.0-1)
RPKI-RTR command line tools
rtsp-server-perl (0.06-2)
Lightweight RTSP/RTP streaming media server (service)
rttool (1.0.3.0-6.1)
RT table formatter
rtv (1.27.0+dfsg-2)
Simple Reddit terminal browser
rubber (1.5.1-4)
automated system for building LaTeX documents
rubberband-cli (1.9.0-1)
audio time-stretching and pitch-shifting utility
rubberband-ladspa (1.9.0-1)
LADSPA plugin for audio pitch-shifting
rubberband-vamp (1.9.0-1)
Vamp plugins using Rubber Band
rubiks (20070912-5)
Small collection of Rubik's cube solvers
rubocop (0.89.1+dfsg-1)
Ruby static code analyzer
ruby (1:2.7+2)
Interpreter of object-oriented scripting language Ruby (default version)
ruby-abstract-type (0.0.7-3)
Allow non obstrusive declaring of abstract_type classes and modules
ruby-ace-rails-ap (4.1.1-1)
ajax.org Cloud9 Editor (Ace) for the Rails asset pipeline
ruby-acme-client (2.0.6-1)
Client for the ACME protocol
ruby-actioncable (2:6.0.3.7+dfsg-2+deb11u2)
WebSocket framework for Rails (part of Rails)
ruby-actionmailbox (2:6.0.3.7+dfsg-2+deb11u2)
receive and process incoming emails (part of Rails)
ruby-actionmailer (2:6.0.3.7+dfsg-2+deb11u2)
email composition, delivery framework (part of Rails)
ruby-actionpack (2:6.0.3.7+dfsg-2+deb11u2)
web-flow and rendering framework putting the VC in MVC (part of Rails)
ruby-actionpack-action-caching (1.2.1-1)
action caching for Action Pack
ruby-actionpack-page-caching (1.2.2-1)
static page caching for Action Pack (removed from core in Rails 4.0)
ruby-actionpack-xml-parser (2.0.1-4)
XML parameters parser for Action Pack
ruby-actiontext (2:6.0.3.7+dfsg-2+deb11u2)
edit and display rich text (part of Rails)
ruby-actionview (2:6.0.3.7+dfsg-2+deb11u2)
framework for handling view template lookup and rendering (part of Rails)
ruby-active-model-serializers (0.10.10-1)
Making it easy to serialize models for client-side use
ruby-activejob (2:6.0.3.7+dfsg-2+deb11u2)
job framework with pluggable queues (part of Rails)
ruby-activeldap (6.0.3-2)
ActiveLdap is a object-oriented API to LDAP
ruby-activemodel (2:6.0.3.7+dfsg-2+deb11u2)
toolkit for building modeling frameworks (part of Rails)
ruby-activemodel-serializers-xml (1.0.2-3)
xml serialization for active model
ruby-activerecord (2:6.0.3.7+dfsg-2+deb11u2)
object-relational mapper framework (part of Rails)
ruby-activerecord-explain-analyze (0.1.0-2)
ActiveRecord#explain with support for EXPLAIN ANALYZE
ruby-activerecord-import (1.0.6-1)
Bulk-loading extension for ActiveRecord
ruby-activerecord-nulldb-adapter (0.4.0-1)
ActiveRecord database adapters based on the Null Object pattern
ruby-activestorage (2:6.0.3.7+dfsg-2+deb11u2)
local and cloud file storage framework (part of Rails)
ruby-activesupport (2:6.0.3.7+dfsg-2+deb11u2)
collection of utility classes used by the Rails framework
ruby-acts-as-api (1.0.1-2.1)
makes creating XML/JSON responses in Rails 3 easy and fun
ruby-acts-as-list (1.0.3-1)
sorting, reordering capabilities to active_record models
ruby-acts-as-taggable-on (6.5.0-2)
provides advanced tagging for Rails
ruby-acts-as-tree (2.8.0-1.1)
simple tree behaviour to active_record models
ruby-adamantium (0.2.0-3)
Create immutable objects with ease
ruby-addressable (2.7.0-2)
alternative URI implementation for Ruby
ruby-adsf (1.4.5+dfsg1-1)
tiny static file server
ruby-adsf-live (1.4.5+dfsg1-1)
live reload support for ruby-adsf tiny static file server
ruby-ae (1.8.2-2)
assertive expressive (ae) is an assertions library
ruby-aes-key-wrap (1.0.1-1.1)
Ruby implementation of AES Key Wrap
ruby-afm (0.2.2-1.1)
Ruby library to read Adobe Font Metrics files
ruby-after-commit-queue (1.3.0-2)
run callbacks after database transaction
ruby-aggregate (0.2.3-1)
Ruby class for accumulating aggregate statistics
ruby-ahoy-email (1.1.0-2)
Simple, powerful email tracking for Rails
ruby-ahoy-matey (3.0.2-1)
simple, powerful analytics for Rails
ruby-airbrussh (1.4.0-2)
Concise log formatter for Capistrano and SSHKit
ruby-akismet (3.0.0-1)
Ruby client for the Akismet API
ruby-algebrick (0.7.4-1)
Algebraic types and pattern matching for Ruby
ruby-all-dev (1:2.7+2)
Ruby development environment (all versions supported in Debian)
ruby-amazon-ec2 (0.9.17-3.1)
Ruby library for accessing Amazon EC2
ruby-ami (2.4.0-1.1)
Ruby client library for the Asterisk Management Interface
ruby-ammeter (1.1.4-3)
Write specs for your Rails 3+ generators
ruby-amq-client
本虛擬套件由這些套件填實: ruby-amqp
ruby-amq-protocol (2.3.0-2)
AMQP 0.9.1 encoder & decoder
ruby-amqp (1.8.0-1)
feature-rich, asynchronous AMQP client
ruby-android-key-attestation (0.3.0-2)
Android key attestation verification
ruby-anima (0.3.1-2)
Initialize object attributes via attributes hash
ruby-ansi (1.5.0-1.1)
ANSI escape codes at your fingertips
ruby-api-pagination (4.8.2-1)
API pagination support
ruby-apollo-upload-server (2.0.3-1)
apollo-upload-server implementation for Ruby on Rails as middleware
ruby-appraisal (0.5.1-2)
Find out what your Ruby gems are worth
ruby-appraiser (0.2.0-3.1)
simple rubygems subcommand for Gemfile
ruby-appraiser-reek (1.0.0-1.1)
Run Reek inside RubyAppraiser
ruby-appraiser-rubocop (1.0.1-1.1)
Run Rubocop inside RubyAppraiser
ruby-arbre (1.2.1-4)
Object Oriented DOM Tree in Ruby
ruby-archive-tar-minitar
本虛擬套件由這些套件填實: ruby-minitar
ruby-archive-zip (0.11.0-1.1)
Simple, extensible, pure Ruby ZIP archive support
ruby-arel (9.0.0-2)
SQL AST manager for Ruby
ruby-aruba (1.0.4-1)
Cucumber extension for CLI applications
ruby-asana (0.10.2-2)
official Ruby client for the Asana API
ruby-ascii85 (1.0.3-1)
Ruby library to encode/decode the Ascii85 format
ruby-asciidoctor (2.0.12-2)
AsciiDoc to HTML rendering for Ruby (core libraries)
ruby-asciidoctor-include-ext (0.3.1-2)
Asciidoctor's standard include::[] processor reimplemented as an extension
ruby-asciidoctor-kroki (0.2.2-3)
Asciidoctor extension to convert diagrams to images using Kroki
ruby-asciidoctor-pdf (1.5.4-3)
Converts AsciiDoc documents to PDF using Prawn
ruby-asciidoctor-plantuml (0.0.12-1)
extension for Asciidoctor to enable support for PlantUML diagrams
ruby-asetus (0.3.0-1.1)
configuration library with YAML/JSON/TOML backends
ruby-asset-sync (2.11.0-1)
synchronises assets in a Rails app and Amazon/Rackspace cloud
ruby-ast (2.4.1-1)
Ruby library for working with abstract syntax trees
ruby-async (1.26.2-2)
concurrency framework for Ruby.
ruby-async-http (0.52.5-1)
HTTP client and server library
ruby-async-io (1.30.1-1)
Provides support for asynchronous TCP, UDP, UNIX and SSL sockets
ruby-async-pool (0.3.2-2)
singleplex and multiplex resource pool for implementing robust clients
ruby-atk (3.4.3-1)
ATK bindings for the Ruby language
ruby-atlassian-jwt (0.2.0-3)
Encode and decode JWT tokens for use with the Atlassian Connect
ruby-atomic (1.1.16-3+b1)
atomic reference implementation for JRuby, Rubinius, and MRI
ruby-attr-encrypted (3.1.0-3)
Encrypt and decrypt attributes
ruby-attr-required (1.0.0-2.1)
attr_required and attr_optional support for Ruby
ruby-aubio (0.3.6-2)
Ruby bindings for the aubio audio library
ruby-augeas (1:0.5.0-3+b8 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1:0.5.0-3+b7 [mips64el])
Augeas bindings for the Ruby language
ruby-autoparse (0.3.3-3)
provides automatic parsing for any given JSON Schema
ruby-autoprefixer-rails (10.1.0.0+dfsg1+~cs14.1.12-5)
Rails integration for the autoprefixer tool
ruby-avl-tree (1.2.1-1)
AVL and red-black trees in Ruby
ruby-awesome-nested-set (3.0.0-1.1)
awesome nested set implementation for Active Record
ruby-awesome-print (1.8.0-2)
pretty print Ruby objects with proper indentation and colors
ruby-awrence (1.1.1-2)
Camelize your snake keys when working with JSON APIs
ruby-aws (2.10.2-5)
AWS Ruby Library for interfacing with Amazon Web Services
ruby-aws-eventstream (1.1.0-1)
AWS Event Stream Library
ruby-aws-partitions (1.354.0-2)
provider of information about AWS partitions, regions, and services
ruby-aws-sdk (1.67.0-2)
Amazon Web Services SDK for Ruby
ruby-aws-sdk-cloudformation (1.41.0-2)
AWS SDK for Ruby - AWS CloudFormation
ruby-aws-sdk-core (3.104.3-3+deb11u2)
AWS SDK for Ruby - Core
ruby-aws-sdk-kms (1.24.0-3)
AWS SDK for Ruby - KMS
ruby-aws-sdk-s3 (1.48.0-3)
AWS SDK for Ruby - Amazon S3
ruby-aws-sigv4 (1.1.0-3)
AWS Signature Version 4 library
ruby-axiom-types (0.1.1-1.1)
Ruby module for abstract types for logic programming
ruby-azure-storage-blob (2.0.0-3)
access and manage Microsoft Azure Storage Blob Services
ruby-azure-storage-common (2.0.1-5)
ruby package that supports service client libraries for azure storage
ruby-babosa (1.0.4-1)
library for creating slugs
ruby-backbone-on-rails (1.4.0+dfsg-1)
simple library for using Backbone with Rails
ruby-backports (3.16.0-1)
backports of new features for older versions of Ruby
ruby-bacon (1.2.0-6.1)
Small RSpec clone
ruby-barby (0.6.8+dfsg-1)
Ruby library for barcode generation
ruby-barrier (1.0.2-1.1)
Ruby threads synchronization using a barrier method
ruby-base32 (0.3.2-4)
Ruby extension for base32 encoding and decoding
ruby-base62 (1.0.0-3)
base62 encoding and decoding library for Ruby
ruby-batch-loader (1.4.1+dfsg.1-3)
Powerful tool to avoid N+1 DB or HTTP queries
ruby-bcrypt (3.1.16-1)
Ruby binding for the bcrypt() password hashing algorithm
ruby-bcrypt-pbkdf (1.1.0-1)
Ruby library for OpenBSD's bcrypt_pdkfd
ruby-beaker-hostgenerator (1.1.22-1.1)
command line utility designed to generate beaker host config files
ruby-beaneater (1.0.0-1.1)
simple beanstalkd client for Ruby
ruby-beautify (0.97.4-4)
CLI binary and module that will pretty up Ruby code
ruby-beefcake (1.2.0-1)
sane Google Protocol Buffers library for Ruby
ruby-behance (0.6.1-4)
Ruby wrapper for the Behance API
ruby-benchmark (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-benchmark-ips (2.7.2-2)
iterations per second enhancement to Benchmark
ruby-benchmark-ips-doc (2.7.2-2)
iterations per second enhancement to Benchmark (documentation)
ruby-benchmark-memory (0.1.2-2)
Benchmark-style memory profiling for Ruby 2.1+
ruby-benchmark-suite (1.0.0+git.20130122.5bded6-3)
enhancements to the standard library benchmark.rb
ruby-benchmark-suite-doc (1.0.0+git.20130122.5bded6-3)
enhancements to the standard library benchmark.rb (documentation)
ruby-bert (1.1.6-2)
BERT serializiation for Ruby
ruby-bigdecimal (= 2.0.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-bindata (2.4.8-1)
declarative way to read and write binary file formats
ruby-bindex (0.5.0-1+b4 [amd64], 0.5.0-1+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Bindings for your Ruby exceptions
ruby-binding-ninja (0.2.3-2+b1)
pass binding of method caller implicitly
ruby-binding-of-caller (0.7.2+debian1-3)
retrieve the binding of a method's caller
ruby-bio (2.0.1-2)
Ruby tools for computational molecular biology
ruby-blade (0.7.1-2)
Sprockets Toolkit for Building and Testing JavaScript Libraries
ruby-blade-qunit-adapter (2.0.1-2)
Blade adapter for the QUnit JavaScript testing framework
ruby-blade-sauce-labs-plugin (0.7.3+dfsg-1.1)
Blade Runner plugin for Sauce Labs (saucelabs.com)
ruby-blankslate (3.1.3-1.1)
Ruby library providing a class with no predefined methods
ruby-blockenspiel (0.5.0-3)
Comprehensive implementation of Ruby DSL blocks
ruby-bluefeather (0.41-5.1)
Extend Markdown Converter written in Ruby
ruby-bogus (0.1.6-2)
library creating fakes to make isolated unit tests reliable
ruby-bootsnap (1.4.6-1+b2 [amd64, arm64, armel, armhf, i386, ppc64el, s390x], 1.4.6-1+b1 [mips64el, mipsel])
Boot large ruby/rails apps faster
ruby-bootstrap-form (4.2.0-2)
makes it easy to style forms using Twitter Bootstrap 3+
ruby-bootstrap-sass (3.4.1-3)
Twitter's Bootstrap, converted to Sass
ruby-bootstrap-switch-rails (3.3.4+dfsg+REALLY.3.3.3-1)
asset gemification of the bootstrap-switch plugin
ruby-bourne (1.6.0-3)
Adds test spies to mocha
ruby-brandur-json-schema (0.19.1-1.1)
JSON schema/hyperschema parser and validator for Ruby
ruby-brass (1.2.1-1.1)
bare-metal Ruby assertion system standard
ruby-browser (4.2.0-3)
browser detection for Ruby
ruby-bsearch (1.5-10.1)
binary search library for Ruby
ruby-bson (4.10.0-2)
Ruby implementation of BSON
ruby-buff-config (2.0.0-2)
Ruby library providiing a simple configuration class
ruby-buff-extensions (2.0.0-1)
Ruby library that provides extra features to core Ruby classes
ruby-buff-ignore (1.2.0-1)
Ruby helper library for parsing and managing an ignore file
ruby-buff-ruby-engine (0.1.0-2)
Ruby library that allows one to query the platform running Ruby
ruby-buff-shell-out (0.2.0-1)
Ruby library fo issuing shell commands and collecting the output
ruby-buftok (0.2.0-2)
extracts token delimited entities from a sequence of arbitrary inputs
ruby-build (20200401-1)
tool to compile and install different versions of Ruby
ruby-builder (3.2.4-1)
Builders for XML MarkUps
ruby-bullet (6.1.0-2)
This package provides the Bullet ruby gem
ruby-bundler (2.2.5-2)
Manage Ruby application dependencies (runtime)
ruby-bunny (2.14.4-4)
Synchronous Ruby AMQP client
ruby-byebug (11.1.3-1)
Ruby fast debugger - base + CLI
ruby-cabin (0.8.1-1)
experiments in structured and contextual logging
ruby-cairo (1.16.6-1+b1)
Cairo bindings for the Ruby language
ruby-cairo-gobject (3.4.3-1+b1)
CairoGObject bindings for the Ruby language
ruby-cancancan (3.0.1+gh-1)
Authorization Gem for Ruby on Rails
ruby-capture-output (1.0.0-3)
Ruby library to grab given IO output and return it as a string
ruby-capybara (3.12.0-1)
integration testing tool for Rack based web applications
ruby-case-transform (0.2-1.1)
Transform values to different cases
ruby-cassiopee (0.1.13-1)
Library to index strings and to search with exact or approximate match
ruby-cat (0.2.1-1.1)
Create Ruby objects in a sandbox
ruby-cbor (0.5.9.6-2)
CBOR, Concise Binary Object Representation
ruby-celluloid (0.16.0-5)
actor-based concurrent object framework for ruby
ruby-celluloid-essentials (0.20.5-1)
internally used Celluloid tools and superstructural dependencies
ruby-celluloid-extras (0.20.5-1.1)
Celluloid expansion, testing, and example classes
ruby-celluloid-fsm (0.20.5-1.1)
Celluloid Finite State Machines
ruby-celluloid-io (0.16.2-5)
Ruby library providing an event-driven IO system for Celluloid actors
ruby-celluloid-pool (0.20.5-1)
actor pool based on Celluloid
ruby-celluloid-supervision (0.20.5-1.1)
Supervision support for Celluloid
ruby-certificate-authority (0.2.0~434c15cd-1)
tool to manage the core functions outlined in RFC-3280 for PKI
ruby-cfpropertylist (2.2.8-1.1+deb11u1)
Manipulate binary and XML property lists as defined by apple
ruby-cgi (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-character-set (1.4.0-1+b1)
Build, read, write and compare sets of Unicode codepoints
ruby-charlock-holmes (0.7.7-1)
Ruby character encoding detection library
ruby-childprocess (4.0.0-1)
Ruby library for controlling external programs running in the background
ruby-chromedriver-helper (2.1.0-7)
Easy installation and use of chromedriver
ruby-chronic (0.10.5-2)
natural language date parser
ruby-chronic-duration (0.10.6.2-2)
simple Ruby natural language parser for elapsed time
ruby-chunky-png (1.3.8-1.1)
pure ruby library for read/write, chunk-level access to PNG files
ruby-citrus (3.0.2-1.1)
Parsing Expressions for Ruby
ruby-clamp (1.1.1-1)
minimal framework for Ruby command-line utilities
ruby-classifier (1.3.4-4)
Ruby module to allow Bayesian and other types of classifications
ruby-classifier-reborn (2.2.0-2)
Successor of Ruby::Classifier
ruby-clean-test (1.0.0-1.1)
Get unit tests readable and fluent
ruby-cleanroom (1.0.0-1.1)
Ruby module for safer evaluation of Ruby DSLs
ruby-client-side-validations (3.2.6+gh-1.1)
client side validations made easy for your Rails v3.1+ apps
ruby-climate-control (0.0.3-1.1)
library to easily manage environment variables
ruby-cliver (0.3.2-3)
cross-platform version constraints for cli tools
ruby-clockwork (2.0.4-1)
scheduler process to replace cron
ruby-clutter (3.4.3-1)
Clutter bindings for the Ruby language
ruby-clutter-gdk (3.4.3-1)
Clutter-Gdk bindings for the Ruby language
ruby-clutter-gtk (3.4.3-1)
Clutter-Gtk bindings for the Ruby language
ruby-cmath (1.0.0-2)
Provides Trigonometric and Transcendental functions for complex numbers
ruby-cmdparse (3.0.7-1)
advanced command line parsing Ruby module supporting sub-commands
ruby-cocaine (0.5.8-1.1)
library for running command line commands in Ruby
ruby-cocoon (1.2.6-2)
tool to handle nested forms using jQuery
ruby-coderay (1.1.3-4)
Ruby library for syntax highlighting
ruby-coercible (1.0.0-2.1)
powerful, flexible and configurable coercion library
ruby-coffee-rails (5.0.0-3)
Coffee Script adapter for the Rails asset pipeline
ruby-coffee-script (2.4.1-2)
Ruby CoffeeScript Compiler
ruby-coffee-script-source (1.12.2-1)
CoffeeScript Compiler - Ruby integration
ruby-color (1.8-2)
Ruby library to provide RGB, CMYK and other colorspace support
ruby-colorator (1.1.0-3)
String core extensions for terminal coloring
ruby-colored (1.2-2.1)
library to add colors or attributes to text
ruby-colored2 (3.1.2-2)
library to add colors or attributes to text
ruby-colorize (0.8.1-1)
color methods to Ruby String class
ruby-columnize (0.9.0-1.1)
Ruby library to format arrays as column-aligned strings
ruby-combustion (1.3.0-1)
elegant rails engine testing
ruby-commander (4.5.2-1)
Ruby command-line interface library
ruby-commonmarker (0.21.0-1)
CommonMark parser and renderer - Written in C, wrapped in Ruby
ruby-concord (0.1.5-3)
Helper for object composition
ruby-concurrent (1.1.6+dfsg-3)
modern concurrency tools for Ruby
ruby-concurrent-edge (= 1.1.6+dfsg-3)
本虛擬套件由這些套件填實: ruby-concurrent
ruby-concurrent-ext (1.1.6+dfsg-3+b1)
C extensions to optimize concurrent-ruby under MRI
ruby-configurate (0.3.1-1.1)
Flexible configuration system for Ruby
ruby-connection-pool (2.2.2-1)
generic connection pool for ruby
ruby-console (1.10.1-2)
Beautiful logging for Ruby
ruby-contest (0.1.3-3.1)
Ruby library to write declarative tests using nested contexts
ruby-contracts (0.16.0-1)
Contracts (a assert like) for Ruby
ruby-cookiejar (0.3.3-1)
client-side HTTP cookie library
ruby-cool.io (1.7.1-1)
cool framework for doing high performance I/O in Ruby
ruby-cose (1.2.0-2)
RFC 8152 CBOR Object Signing and Encryption (COSE)
ruby-countries (3.0.0-2)
Gives you a country object full of all sorts of useful information
ruby-coveralls (0.8.23-2)
Ruby implementation of the Coveralls API
ruby-crack (0.4.4-1)
Ruby library to parse XML and JSON
ruby-crass (1.0.2-2.1)
CSS parser based on the CSS Syntax Level 3 draft
ruby-crb-blast (0.6.9-4)
Run conditional reciprocal best blast
ruby-creole (0.5.0-2.1)
Creole common wiki markup language to HTML converter
ruby-cri (2.15.10-1)
library for building easy-to-use commandline tools
ruby-cri-doc (2.15.10-1)
library for building easy-to-use commandline tools - documentation
ruby-css-parser (1.6.0-1)
Ruby CSS parser library
ruby-cssmin (1.0.3-5)
Ruby library for minifying CSS
ruby-cstruct (1.0.1-3)
simulation of the C language's struct
ruby-csv (3.1.9-1)
CSV reader and writer
ruby-csv (= 3.1.2)
本虛擬套件由這些套件填實: libruby2.7
ruby-cucumber-core (1.5.0-2)
core library for the Cucumber application
ruby-cucumber-expressions (8.0.0-1)
simple patterns for matching Step Definitions
ruby-cucumber-wire (0.0.1-3)
Wire protocol for Cucumber (a ruby acceptance testing framework)
ruby-curb (0.9.11-1)
Ruby libcurl bindings
ruby-curses (1.2.4-1+b4)
curses binding for Ruby
ruby-cutest (1.2.1-2.1)
Run ruby tests independantly
ruby-daemons (1.1.9-2.1)
Ruby daemons library
ruby-dalli (2.7.11-1)
memcached client library for Ruby
ruby-data-migrate (6.6.0-1)
migrate data alongside schema changes for rake tasks
ruby-data-uri (0.1.0-2)
URI::Data class for parsing RFC2397 data URIs
ruby-database-cleaner (1.7.0-1.1)
clean databases to ensure a clean state for testing
ruby-dataobjects (0.10.17-2)
Unified interface to various databases for Ruby
ruby-dataobjects-postgres (0.10.17-1+b3)
PostgreSQL adapter for ruby-dataobjects
ruby-dataobjects-sqlite3 (0.10.17-1+b3)
SQLite adapter for ruby-dataobjects
ruby-date (= 3.0.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-dbf (3.0.5-1)
small fast Ruby library for reading database files
ruby-dbm (= 1.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-dbus (0.16.0-1)
Ruby module for interaction with D-Bus
ruby-ddmemoize (1.0.0-1.1)
Ruby library providing support for memoizing functions
ruby-ddmetrics (1.0.1-1.1)
Non-timeseries measurements for Ruby programs
ruby-ddplugin (1.0.2-1)
plugins management for Ruby apps
ruby-debian (0.3.10+b4)
ruby interface for dpkg
ruby-debug-inspector (0.0.2-1.1+b8)
Ruby wrapper for the MRI 2.0 debug_inspector API
ruby-deckar01-task-list (= 2.3.1-1)
本虛擬套件由這些套件填實: ruby-task-list
ruby-declarative (0.0.10-2)
DSL for nested schemas
ruby-declarative-option (0.1.0-1.1)
Dynamic options for declarative to evaluate at runtime
ruby-deep-merge (1.1.1-1)
recursively merge Hash elements in Ruby
ruby-default-value-for (3.3.0-1)
provides a way to specify default values for ActiveRecord models
ruby-delayed-job (4.1.8-1)
database-backed asynchronous priority queue system
ruby-delayed-job-active-record (4.1.4-1)
ActiveRecord backend for DelayedJob
ruby-delayer (1.1.2-1)
Ruby library providing delay the processing
ruby-delayer-deferred (2.2.0-1)
Ruby implementation of JSDeferred
ruby-delegate (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-delorean (2.1.0-2.1)
lets you travel in time with Ruby by mocking Time.now
ruby-dependor (1.0.1-2.1)
classes and modules that simplifing dependency injection in Ruby
ruby-derailed-benchmarks (1.7.0-2)
Benchmarks designed to performance test your ENTIRE site
ruby-descendants-tracker (0.0.4-2.1)
Ruby module that adds descendant tracking to a class
ruby-dev (1:2.7+2)
Header files for compiling extension modules for Ruby (default version)
ruby-device-detector (1.0.1-2)
Precise and fast user agent parser and device detector
ruby-devise (4.7.3-2)
Flexible authentication solution for Rails with Warden
ruby-devise-lastseenable (0.0.6-1.1)
make devise update last_seen flag on user
ruby-devise-token-authenticatable (0.5.2-1)
token based authentication for devise
ruby-devise-two-factor (3.1.0-2)
Barebones two-factor authentication with Devise
ruby-diaspora-federation-json-schema (0.2.6-1)
diaspora federation json schemas
ruby-diaspora-prosody-config (0.0.7-1)
Diaspora Configuration Wrapper For Prosodoy
ruby-did-you-mean (1.2.1-1)
smart error messages for Ruby > 2.3
ruby-did-you-mean (= 1.4.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-diff-lcs (1.4.4-1)
McIlroy-Hunt longest common subsequence algorithm implementation
ruby-diff-match-patch (0.1.0-2)
diff/match/patch algorithms implemented in Ruby
ruby-diffy (3.3.0-1)
convenient way to diff strings in Ruby
ruby-directory-watcher (1.5.1-3.1)
Watch directory/files and Generate events by file change
ruby-dirty-memoize (0.0.4-1.1)
Similar to ruby-memoize, but for mutable and parameterizable objects
ruby-discordrb-webhooks (3.3.0-1.1)
blackst0ne's fork of webhook client for discordrb
ruby-discourse-diff (0.1.0-3)
Discourse Diff provides inline html diffing for markdown blobs
ruby-discriminator (0.1.1-2)
Gem making smart ActiveRecords by loading subclasses
ruby-distribution (0.7.3+dfsg-1.1)
Ruby library to work with probability distributions
ruby-diva (1.0.2-1)
Implementation of expression for handling things for mikutter
ruby-dnsruby (1.61.5-2)
Ruby DNS and DNSSEC client library
ruby-docile (1.1.5-2)
Docile keeps your Ruby DSLs tame and well-behaved
ruby-docker-api (1.22.2-1.1)
Ruby gem to interact with docker.io remote API
ruby-domain-name (0.5.20190701-1)
Domain Name manipulation library for Ruby
ruby-doorkeeper (5.3.0-2)
OAuth 2 provider for Rails and Grape
ruby-doorkeeper-i18n (5.0.2-2)
Translations for doorkeeper rubygem
ruby-doorkeeper-openid-connect (1.7.4-2)
OpenID Connect extension for Doorkeeper
ruby-dotenv (2.4.0-1)
configuration variable loader
ruby-dry-configurable (0.9.0-2)
Simple mixin to make Ruby classes configurable
ruby-dry-container (0.7.2-2)
Simple, configurable object container implemented in Ruby
ruby-dry-core (0.4.9-2)
Toolset of small support modules used throughout the dry-rb ecosystem.
ruby-dry-equalizer (0.3.0-2)
Define equality, equivalency and hash methods automatically
ruby-dry-inflector (0.2.0-2)
Inflector for Ruby
ruby-dry-logic (1.0.5-2)
Predicate logic with rule composition
ruby-dry-types (1.2.2-2)
Flexible type system for Ruby with coercions and constraints
ruby-e2mmap (0.1.0-2)
define custom exceptions with specific messages
ruby-eb (2.6-3+b10 [amd64, armel, armhf, i386, mipsel, s390x], 2.6-3+b9 [ppc64el], 2.6-3+b8 [arm64], 2.6-3+b7 [mips64el])
EB library interface for the Ruby
ruby-ecasound (2.9.3-2)
multitrack-capable audio recorder and effect processor (ruby bindings)
ruby-ecma-re-validator (0.2.1-2)
Validate a regular expression string against ECMA-262
ruby-ed25519 (1.2.4-2+b1)
efficient digital signature library providing the Ed25519 algorithm
ruby-eim-xml (0.0.4-4.1)
Easy IMplemented XML by Ruby
ruby-ejs (1.1.1-2)
EJS (Embedded JavaScript) template compiler
ruby-elasticsearch (6.8.2-2)
Ruby client for connecting to an Elasticsearch cluster
ruby-elasticsearch-api (6.8.2-2)
Ruby implementation of the Elasticsearch REST API
ruby-elasticsearch-model (7.0.0-2)
ActiveModel/Record integrations for Elasticsearch
ruby-elasticsearch-rails (7.1.1-2)
Ruby on Rails integrations for Elasticsearch
ruby-elasticsearch-transport (6.8.2-2)
low-level Ruby client for connecting to Elasticsearch
ruby-em-http-request (1.1.5-2)
EventMachine based, async HTTP Request client
ruby-em-mongo (0.5.1-1.1)
Ruby EventMachine driver for MongoDB
ruby-em-redis (0.3.0+gh-3)
eventmachine-based implementation of the Redis protocol
ruby-em-socksify (0.3.1-2)
EventMachine SOCKSify shim: adds SOCKS support to any protocol
ruby-em-spec (0.2.7-1)
BDD for Ruby/EventMachine
ruby-em-synchrony (1.0.5-3.1)
fiber aware EventMachine libraries
ruby-em-websocket (0.5.1-2)
EventMachine based, async, Ruby WebSocket server
ruby-email-reply-parser (0.5.8-2)
Small library to parse plain text email content
ruby-email-reply-trimmer (0.1.6-1.1)
Library to trim replies from plain text email
ruby-email-spec (2.2.0-1)
easily test email in rspec and cucumber and minitest
ruby-email-validator (1.4.0-1.1)
email validator for Rails 3+
ruby-emot (0.0.4-2)
Yet another emoji handler
ruby-encryptor (3.0.0-2)
wrapper for the standard Ruby OpenSSL library
ruby-entypo-rails (3.0.0-3)
rails asset pipeline plugin providing Entypo icons
ruby-enum (0.7.2-3)
Enum-like behavior for Ruby
ruby-enumerable-statistics (2.0.1+dfsg-3)
Statistics features for Enumerable
ruby-enumerize (2.3.1-1)
enumerated attributes with internationalisation
ruby-equalizer (0.0.11-2)
Ruby module to define equality, equivalence and inspection methods
ruby-equatable (0.6.1-2)
extension of objects with equality comparison and inspection methods
ruby-errbase (0.0.3-1.1)
Common exception reporting for a variety of services
ruby-erubi (1.9.0-1)
Small ERB Implementation
ruby-erubis (2.7.0-3.1)
fast and extensible eRuby implementation which supports multi-language
ruby-escape (0.2-5)
HTML/URI/shell escaping utilities for Ruby
ruby-escape-utils (1.2.1-2+b1)
Faster string escaping routines for your web apps
ruby-espeak (1.0.4-2)
small Ruby API to create Text-To-Speech mp3 files
ruby-et-orbi (1.2.2-1)
Time zones for fugit and rufus-scheduler
ruby-etc (= 1.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-ethon (0.9.0-2)
libcurl wrapper using ffi
ruby-eventmachine (1.3~pre20201020-b50c135-2)
Ruby/EventMachine library
ruby-exception-notification (4.0.1-1.1)
exception notification support for Rails and Rack apps
ruby-excon (0.79.0-2)
Ruby library for creating fast, persistent, HTTP(S) connections
ruby-execjs (2.7.0-1)
Run JavaScript code from Ruby
ruby-exif (0.1.2-21+b11 [amd64, armel, armhf, i386, mipsel, s390x], 0.1.2-21+b9 [arm64, ppc64el], 0.1.2-21+b7 [mips64el])
Ruby library for EXIF tag parsing
ruby-expression-parser (0.9.1-5)
Mathematical expression parser for Ruby
ruby-expression-parser-doc (0.9.1-5)
mathematical expression parser for Ruby (documentation)
ruby-extlib (0.9.16-2)
general Ruby class extensions for DataMapper and Merb
ruby-eye (0.7-5)
process monitoring tool
ruby-facade (1.2.1-1)
An easy way to implement the facade pattern in Ruby
ruby-factory-bot (5.1.1-1)
Framework and DSL for defining and using model instance factories
ruby-factory-bot-rails (5.1.1-2)
provides integration between factory_bot and rails 5.2+
ruby-factory-girl (4.7.0-2.1)
framework and DSL for defining and using model instance factories
ruby-factory-girl-rails (4.7.0-2)
provides integration between factory_girl and rails 3.2+
ruby-fakefs (1.2.0-1)
Fake file system to be used in unit tests
ruby-faker (1.9.1-2)
easily generate fake data
ruby-fakeredis (0.8.0-4)
In-memory driver for redis-rb, useful for development and test environments
ruby-fakeweb (1.3.0+git20170806+dfsg1-2)
tool for faking responses to HTTP requests
ruby-faraday (1.1.0-6)
HTTP/REST API client library
ruby-faraday-cookie-jar (0.0.6-1.1)
Manages client-side cookie jar for Faraday HTTP client
ruby-faraday-middleware (1.0.0-2)
various middleware for Faraday HTTP/REST library
ruby-faraday-middleware-aws-sigv4 (0.3.0-2)
Faraday middleware for AWS Signature Version 4 using aws-sigv4
ruby-faraday-middleware-multi-json (0.0.6-2.1)
response JSON parser using MultiJson and FaradayMiddleware
ruby-fast-blank (1.0.0-2+b1)
Fast String blank? implementation
ruby-fast-gettext (2.0.3-1)
Fast GetText implementation for Ruby
ruby-fast-stemmer (1.0.2-2+b3)
Fast Porter stemmer based on a C version of algorithm for Ruby
ruby-fast-xs (0.8.0-3+b13 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 0.8.0-3+b9 [mips64el])
ruby extension for escaping text
ruby-fastimage (2.2.1-1)
Ruby library for finding the size or type of image with minimal dependency
ruby-faye (1.4.0-1)
Simple pub/sub messaging for the web
ruby-faye-websocket (0.11.0-1)
Standards-compliant WebSocket client and server
ruby-fcgi (0.9.2.1-2+b6)
FastCGI library for Ruby
ruby-fcntl (= 1.0.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-feature (1.4.0-2)
Feature Toggle library for ruby
ruby-feedparser (0.9.7-1)
Ruby library to parse ATOM/RSS feeds
ruby-ferret (0.11.8.7-2+b2)
full text search engine library for Ruby
ruby-ffaker (2.13.0-2)
ffaker generates dummy data
ruby-ffi (1.12.2+dfsg-2+b3)
load dynamic libraries, bind functions from within ruby code
ruby-ffi-bit-masks (0.1.1-1.1)
Adds support for bit-masked types in FFI
ruby-ffi-compiler (1.0.1-4)
Automating compilation of native libraries
ruby-ffi-libarchive (1.0.1-1)
Ruby FFI binding to libarchive
ruby-ffi-rzmq (2.0.7-1)
FFI based Ruby bindings for ZeroMQ (ØMQ) networking library
ruby-ffi-rzmq-core (1.0.7-1)
FFI wrapper around the ZeromMQ (ØMQ) networking library for Ruby
ruby-ffi-yajl (2.3.1-3+b1)
Ruby FFI wrapper around YAJL 2.x
ruby-fftw3 (1.0.2-3+b3)
Ruby interface to the FFTW Ver.3 library
ruby-fiber-local (1.0.0-2)
Provides a class-level mixin to make fiber local state easy
ruby-fiddle (= 1.0.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-file-tail (1.2.0-1)
Ruby library for following still-growing files
ruby-filepath (0.7-1)
small library to manipulate paths
ruby-filesystem (0.5-5.2)
Ruby extension for file-system information
ruby-fileutils (= 1.4.1)
本虛擬套件由這些套件填實: libruby2.7
ruby-fission (0.5.0-2.1)
Command line tool to manage VMware Fusion VMs
ruby-fix-trinity-output (1.0.0-2)
Fix output fastq files from trinityrnaseq
ruby-fixwhich (1.0.2-2)
ruby module that emulates the 'which' program
ruby-flexmock (2.3.6-5)
simple and flexible mock objects for testing
ruby-flipper (0.17.1-6)
Feature flipper for ANYTHING
ruby-flipper-active-record (= 0.17.1-6)
本虛擬套件由這些套件填實: ruby-flipper
ruby-flipper-active-support-cache-store (= 0.17.1-6)
本虛擬套件由這些套件填實: ruby-flipper
ruby-flowdock (0.7.1-1)
Ruby library for using Flowdock's API
ruby-fog-aliyun (0.3.2-4)
Fog provider for Aliyun Web Services
ruby-fog-aws (3.8.0-1)
module for the 'fog' gem to support Amazon Web Services
ruby-fog-core (2.1.0-3.1)
shared classes and tests for fog providers and services
ruby-fog-google (1.11.0-1)
module for the 'fog' library to support Google cloud services
ruby-fog-json (1.2.0-1)
JSON parsing for fog providers
ruby-fog-libvirt (0.8.0-1)
Module for the 'fog' gem to support libvirt
ruby-fog-local (0.6.0-1.1)
Module for the 'fog' gem to support local filesystem storage
ruby-fog-openstack (1.0.8-2.1)
module for 'fog' library to support OpenStack instances
ruby-fog-profitbricks (0.0.1-2.1)
library to use ProfitBricks cloud in applications
ruby-fog-rackspace (0.1.6-2.1)
Rackspace support for Fog
ruby-fog-storm-on-demand (0.1.1-2.1)
module for the 'fog' library to support StormOnDemand
ruby-fog-terremark (0.0.3-2.1)
library for using Terremark vCloud in applications
ruby-fog-vmfusion (0.1.0-2.1)
module for the 'fog' library to support VMWARE FUSION
ruby-fog-xml (0.1.1-5.1)
XML parsing for fog providers
ruby-fogbugz (0.2.1-3)
Ruby wrapper for the Fogbugz API
ruby-font-awesome-rails (4.7.0.7-1)
library providing font-awesome icon font via rails asset pipeline
ruby-foreman (0.85.0-2)
Process manager for applications with multiple components
ruby-formatador (0.2.5-2.1)
Ruby STDOUT text formatting library
ruby-forwardable (= 1.3.1)
本虛擬套件由這些套件填實: libruby2.7
ruby-forwardable-extended (2.6.0-1.1)
Forwardable with hash and instance variable extensions
ruby-friendly-id (5.3.0-1)
Comprehensive slugging and pretty-URL plugin
ruby-fssm (0.2.10-3+b1 [mips64el], 0.2.10-3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Ruby library firing events when the state of monitored paths changes
ruby-ftw (0.0.44-1.1)
Ruby For The Web - APIs for client and server web stuff
ruby-fugit (1.3.8-1)
Time tools for Ruby and flor
ruby-full (1:2.7+2)
Ruby full installation (default version)
ruby-fusefs (0.7.0-4+b12 [amd64, armel, armhf, i386, mipsel, s390x], 0.7.0-4+b10 [arm64, ppc64el], 0.7.0-4+b8 [mips64el])
library to easily define a filesystem in Ruby using fuse
ruby-fuubar (2.5.0-1)
instafailing RSpec progress bar formatter
ruby-fuzzyurl (0.8.0-1.1)
non-strict parsing, construction, and wildcard-matching of URLs
ruby-gd (0.8.0-7+b13 [amd64, armel, armhf, i386, mipsel, s390x], 0.8.0-7+b12 [arm64, ppc64el], 0.8.0-7+b9 [mips64el])
Extension library to use GD graphics library from Ruby
ruby-gdbm (= 2.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-gdk-pixbuf2 (3.4.3-1)
Gdk-Pixbuf 2 bindings for the Ruby language
ruby-gdk3 (3.4.3-1)
GDK 3 bindings for the Ruby language
ruby-gelf (1.4.0-4)
Ruby GELF library - Graylog2 Extended Log Format library for Ruby
ruby-gemojione (3.3.0-1)
gem to provide EmojiOne
ruby-generator-spec (0.9.3-1.1)
Test Rails generators with RSpec
ruby-geocoder (1.5.1-3)
Complete Ruby geocoding solution
ruby-get-process-mem (0.2.5-1)
get memory usage of a process in Ruby
ruby-getoptlong (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-getspg (1.16.1-1)
C library for crystal symmetry determination - Ruby bindings
ruby-gettext (3.3.3-2)
gettext for Ruby
ruby-gettext-i18n-rails (1.8.0-1)
Simple FastGettext Rails integration
ruby-gettext-i18n-rails-js (1.3.0+dfsg-2.1)
make your .po files available to client side javascript as JSON
ruby-gettext-setup (0.34-2)
fast_gettext helper for Ruby
ruby-gh (0.18.0-3)
multi-layer client for the GitHub API v3
ruby-gherkin (4.0.0-2)
lexer and parser for the Gherkin language in Ruby
ruby-gio2 (3.4.3-1+b1)
GIO bindings for the Ruby language
ruby-gir-ffi (0.15.7-1)
FFI-based GObject binding using the GObject Introspection Repository
ruby-git (1.7.0-1)
Ruby interface to the Git revision control system
ruby-github-api (0.19.0-1)
Ruby client for the official GitHub API
ruby-github-linguist (7.12.2-1)
detection and highlight of the programming language of source code
ruby-github-markdown (0.6.9-5+b3)
Markdown parser for GitHub.com
ruby-github-markup (1.7.0+dfsg-3)
GitHub Markup rendering
ruby-github-pages-health-check (1.16.1-2)
check GitHub Pages for common DNS configuration issues
ruby-gitlab (4.17.0-2)
Ruby wrapper and CLI for the GitLab API
ruby-gitlab-chronic (= 0.10.5-2)
本虛擬套件由這些套件填實: ruby-chronic
ruby-gitlab-chronic-duration (= 0.10.6.2-2)
本虛擬套件由這些套件填實: ruby-chronic-duration
ruby-gitlab-flowdock-git-hook (1.0.1-4)
Git Post-Receive hook for Flowdock
ruby-gitlab-fog-azure-rm (1.0.0-4)
Azure Blob Storage with CarrierWave and Fog
ruby-gitlab-labkit (0.12.2-1)
Instrumentation for GitLab
ruby-gitlab-mail-room (= 0.10.0+really0.0.7-1)
本虛擬套件由這些套件填實: ruby-mail-room
ruby-gitlab-net-dns (= 0.9.1-2)
本虛擬套件由這些套件填實: ruby-net-dns
ruby-gitlab-sidekiq-fetcher (0.6.1-1)
Reliable fetch extension for Sidekiq
ruby-gli (2.14.0-1.1)
Build command-suite CLI apps without a lot of syntax
ruby-glib2 (3.4.3-1+b1)
GLib 2 bindings for the Ruby language
ruby-globalid (0.4.2+REALLY.0.3.6-1)
reference models by URI for Ruby on Rails
ruby-gnome (3.4.3-1)
GNOME-related bindings for the Ruby language
ruby-gnome-dev (3.4.3-1+b1)
GNOME-related bindings for the Ruby language (development files)
ruby-gnome2 (3.4.3-1)
transitional package for ruby-gnome
ruby-gnome2-dev (3.4.3-1)
transitional package for ruby-gnome-dev
ruby-gnuplot (2.6.2-2.1)
Ruby library to interact with gnuplot
ruby-gobject-introspection (3.4.3-1+b1)
GObject Introspection bindings for the Ruby language
ruby-god (0.13.7-3+b4 [amd64], 0.13.7-3+b3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fully configurable process monitoring
ruby-gollum-lib (4.2.7.10~gitlab.1+dfsg-1)
simple, Git-powered wiki
ruby-gollum-rugged-adapter (0.4.4.3~gitlab.1-1)
Adapter for Gollum to use Rugged (libgit2) at the backend
ruby-gon (6.4.0-1)
Ruby library to send data to JavaScript from a Ruby application
ruby-google-api-client (0.33.0-2)
Client for accessing Google APIs
ruby-google-cloud-core (1.2.0-2)
Internal shared library for google-cloud-ruby
ruby-google-cloud-env (1.3.2-1)
Google Cloud Platform hosting environment information
ruby-google-protobuf (3.12.4-1+deb11u1)
Protocol Buffers
ruby-googleapis-common-protos-types (1.0.1-1.1)
Common protobuf types used in Google APIs
ruby-googleauth (0.13.0-3)
Google Auth Library for Ruby
ruby-googlecharts (1.6.12-1) [contrib]
Ruby library to generate charts using Google Chart API
ruby-gpgme (2.0.19-3+b3)
Ruby GPGME binding
ruby-grack (2.0.2-2)
Git Smart HTTP Server Rack implementation in Ruby/Rack
ruby-graffiti (2.3.2-1)
Relational RDF store for Ruby
ruby-grape (1.4.0-1)
Simple Ruby framework for building REST-like APIs
ruby-grape-entity (0.8.0-1)
Entity support to API frameworks, such as Grape
ruby-grape-logging (1.8.3-1)
request logging support for Grape
ruby-grape-path-helpers (1.5.0-1)
Route path helpers for Grape
ruby-graphiql-rails (1.4.10-1) [contrib]
mountable GraphiQL endpoint for Rails
ruby-graphlient (0.5.0-3)
friendlier Ruby client for consuming GraphQL-based APIs
ruby-graphql (1.11.4-1)
GraphQL language and runtime for Ruby
ruby-graphql-client (0.16.0-2)
GraphQL Client
ruby-graphql-errors (0.4.0-2)
Simple error handler for graphql-ruby
ruby-graphviz (1.2.5-2)
Ruby interface to the GraphViz graphing tool
ruby-gravtastic (3.2.6-2)
Ruby wrapper for Gravatar URLs
ruby-grib (0.4.0-4+b3)
Ruby interface to the ECMWF GRIB API
ruby-grit (2.8.2-3)
Ruby Git bindings
ruby-grit-ext (0.8.1-2.1)
utf-8 support extension for grit
ruby-growl (4.1+dfsg-2)
Pure-Ruby Growl Notifier
ruby-grpc (1.30.2-3)
GRPC system in Ruby
ruby-grpc-tools (1.30.2-3)
Development tools for Ruby gRPC
ruby-gruff (0.6.0-1)
Ruby library to create beautiful graphs for one or multiple datasets
ruby-gsl (2.1.0.3+dfsg1-3)
Ruby bindings for the GNU Scientific Library (GSL)
ruby-gssapi (1.2.0-1.1)
FFI wrapper around the system GSSAPI library
ruby-gstreamer (3.4.3-1+b1)
GStreamer bindings for the Ruby language
ruby-gtk2 (3.4.3-1+b1)
GTK+ 2 bindings for the Ruby language
ruby-gtk3 (3.4.3-1+b1)
GTK+ 3 bindings for the Ruby language
ruby-gtksourceview4 (3.4.3-1)
GtkSourceView4 bindings for the Ruby language
ruby-guard (2.16.2-1)
Commandline to easily handle events on file system modifications
ruby-guard-compat (1.2.1-2.1)
Test helper for testing custom Guard plugins
ruby-guard-shell (0.7.1-2.1)
Guard plugin for running shell commands
ruby-guestfs (1:1.44.0-2)
guest disk image management system - Ruby bindings
ruby-gyoku (1.3.1-1.1)
translates Ruby hashes to XML
ruby-haml (5.1.2-2)
Elegant, structured XHTML/XML templating engine
ruby-haml-contrib (1.0.0.1-2.1)
Elegant, structured XHTML/XML templating engine - addons
ruby-haml-rails (2.0.1-1)
Haml Generator for Rails
ruby-hamlit (2.11.0-2+b3)
high performance Haml implementation
ruby-hamster (3.0.0-2)
Efficient, immutable, thread-safe collection classes for Ruby
ruby-hana (1.3.6-2)
Implementation of JSON Patch and JSON Pointer RFC
ruby-handlebars-assets (2:0.23.8+dfsg-3)
compile Handlebars templates in the Rails asset pipeline
ruby-hangouts-chat (0.0.5-2)
Library for sending messages to Hangouts Chat rooms
ruby-has-scope (0.7.2-3)
Maps controller filters to your resource scopes
ruby-has-secure-token (1.0.0-2)
Unique creation for random tokens for any model in ruby on rails
ruby-hashdiff (1.0.1-1)
library for computing the smallest difference between two hashes
ruby-hashery (2.1.2-1)
facets-bread collection of Hash-like classes
ruby-hashie (3.5.5-2)
small collection of tools that make hashes more powerful
ruby-hashie-forbidden-attributes (0.1.1-1.1)
strong parameter detection with Hashie and Forbidden Attributes
ruby-hdfeos5 (1.2-10)
Ruby interface to the HDF-EOS5 library
ruby-health-check (3.0.0-1)
Simple health check of Rails app for uptime monitoring
ruby-heapy (0.1.4-2)
Inspects Ruby heap dumps
ruby-heroku-deflater (0.6.3-2)
Enable gzip compression on heroku, but don't compress images
ruby-highline (2.0.3-2)
high-level interactive IO Ruby library
ruby-hike (1.2.1-3.1)
Ruby library to find files in a set of paths
ruby-hikidoc (0.1.0-2.1)
text-to-HTML conversion tool for web writers
ruby-hipchat (1.5.2-3)
Ruby library to interact with HipChat
ruby-hiredis (0.6.3-2+b2)
Redis driver for Ruby using Hiredis
ruby-hitimes (1.2.1-4)
fast, high resolution timer for recording performance metrics
ruby-hivex (1.3.20-1)
Ruby bindings for hivex
ruby-hmac (0.4.0-5)
Ruby interface for HMAC algorithm
ruby-hocon (1.2.5-1.1)
Ruby port of the Typesafe Config library
ruby-hoe (3.22.1+dfsg1-2)
rake/rubygems helper for project Rakefiles
ruby-hrx (1.0.0-2)
Ruby parser and serializer for the HRX format
ruby-html-pipeline (2.12.3-1)
GitHub HTML processing filters and utilities
ruby-html-proofer (3.14.1-2)
Test your rendered HTML files to make sure they're accurate
ruby-html2haml (2.2.0-2)
Converts HTML into Haml
ruby-html2text (0.2.0-1.1)
convert HTML into plain text
ruby-htmlentities (4.3.3-1)
Ruby library for handling HTML entities
ruby-htree (0.8+dfsg-5)
HTML/XML tree library for Ruby
ruby-http (4.4.1-4)
easy-to-use client library for making http requests from Ruby
ruby-http-2 (0.10.2-1)
Pure-ruby HTTP 2.0 protocol implementation
ruby-http-accept (1.7.0-2)
Ruby parser for Accept and Accept-Language HTTP headers
ruby-http-accept-language (2.1.1-3)
Ruby library that finds out which locale the user prefers
ruby-http-connection (1.4.4-1.1)
HTTP helper library for Ruby
ruby-http-cookie (1.0.3-1)
Ruby library to handle HTTP Cookies based on RFC 6265
ruby-http-form-data (2.2.0-1)
utility-belt to build form data request bodies
ruby-http-parser (1.2.1-4+b1)
Ruby FFI bindings to http-parser
ruby-http-parser.rb (0.6.0-6)
Simple callback-based HTTP request/response parser
ruby-http-parser.rb-doc (0.6.0-6)
Simple callback-based HTTP request/response parser (documentation)
ruby-httparty (0.18.1-2)
quick web service consumption from any Ruby class
ruby-httpauth (0.2.1+gh-1.1)
Ruby library for the HTTP authentication protocol (RFC 2617)
ruby-httpclient (2.8.3-3+deb11u1)
HTTP client library for ruby
ruby-i18n (1.8.8-1)
I18n and localization solution for Ruby
ruby-i18n-data (0.10.0-2)
country/language names and 2-letter-code pairs, in 85 languages
ruby-i18n-inflector (2.6.7-1)
enhance i18n backend with inflections
ruby-i18n-inflector-rails (1.0.7-4.1)
I18n Inflector bindings for Rails
ruby-i18n-spec (0.6.0-2)
Provides RSpec matchers for testing your locale files
ruby-icalendar (2.4.1-2)
ruby implementation of the iCalendar specification (RFC-5545)
ruby-ice-cube (0.16.3-1)
Ruby Date Recurrence Library
ruby-ice-nine (0.11.2-1)
Ruby module to deep freeze objects
ruby-image-processing (1.10.3-1+deb11u1)
higher-level helper methods for image processing
ruby-image-science (1.3.1-1)
Clean Ruby library to provide simple transformations on images
ruby-in-parallel (0.1.17-1.3)
lightweight Ruby library with very simple syntax for parallelization
ruby-indentation (0.1.1-2)
Ruby extensions for Array and String classes
ruby-inflecto (0.0.2-1.1)
Ruby library to transform words from singular to plural
ruby-influxdb (0.2.3-2)
library for InfluxDB
ruby-inherited-resources (1.11.0-4)
Speeds up development by making controllers inherit all restful actions
ruby-iniparse (1.4.2-1.1)
library for parsing INI documents
ruby-inline (3.12.4-2)
Ruby library for embedding C/C++ external module code
ruby-innertube (1.1.0-5)
thread-safe re-entrant resource pool
ruby-insist (1.0.0-1.1)
assertion library for both testing and for production code
ruby-instance-storage (1.0.0-4)
Ruby Library for managing class instances with dictionary
ruby-instantiator (0.0.7-1)
Ruby library to instantiate an arbitrary class
ruby-integration (0.1.0-1.1)
Numerical integration for Ruby, with a simple interface
ruby-introspection (0.0.4-1)
Ruby library for the inspection of method definitions on objects
ruby-invisible-captcha (0.12.2-4)
Simple honeypot protection for RoR apps
ruby-io-console (= 0.5.6)
本虛擬套件由這些套件填實: libruby2.7
ruby-io-like (0.3.0-1.1)
Provides the functionality of an IO object to any class
ruby-ipaddr (= 1.2.2)
本虛擬套件由這些套件填實: libruby2.7
ruby-ipaddress (0.8.3-3)
Ruby library to manipulate IPv4/IPv6 addresses
ruby-irb (= 1.2.6)
本虛擬套件由這些套件填實: libruby2.7
ruby-iso (0.2.2-2)
Ruby implementation of ISO 639-1 alpha2 and ISO 3166-1
ruby-iso8601 (0.13.0-1)
Ruby parser to work with ISO 8601 dateTimes and durations
ruby-jaeger-client (0.10.0-2)
OpenTracing Tracer implementation for Jaeger
ruby-jar-dependencies (0.3.10-2)
manage jar dependencies for Ruby gems
ruby-jaro-winkler (1.5.4-2+b1)
Implementation of Jaro-Winkler distance algorithm
ruby-jbuilder (2.10.0-1)
creation of JSON structures via a Builder-style DSL
ruby-jekyll-archives (2.2.1-2)
Jekyll plugin to generate post archive pages
ruby-jekyll-asciidoc (3.0.0-2)
Jekyll plugin to convert AsciiDoc source files to HTML pages
ruby-jekyll-avatar (0.7.0-1)
Jekyll plugin for rendering GitHub avatars
ruby-jekyll-coffeescript (1.2.2-2)
CoffeeScript converter for Jekyll
ruby-jekyll-commonmark (1.3.1-4)
commonmark markdown converter for jekyll
ruby-jekyll-compose (0.12.0-4)
Jekyll plugin to create content from the command line
ruby-jekyll-data (1.1.0-1)
read and add data files within Jekyll theme-gems to site hash
ruby-jekyll-default-layout (0.1.5-1)
plugin to set default layouts for Jekyll pages and posts
ruby-jekyll-feed (0.15.1-1)
Atom feed generator for Jekyll
ruby-jekyll-gist (1.5.0-2)
Liquid tag for displaying GitHub Gists in Jekyll sites
ruby-jekyll-github-metadata (2.13.0-1)
Jekyll plugin to propagate the site.github namespace
ruby-jekyll-include-cache (0.2.1-1)
Jekyll plugin to cache the rendering of Liquid includes
ruby-jekyll-last-modified-at (1.3.0-1)
indicate the last time a file was modified
ruby-jekyll-mentions (1.6.0-1)
Jekyll plugin to add mentionable link support
ruby-jekyll-multiple-languages (1.7.0+dfsg-1)
Jekyll plugin to internationalize sites
ruby-jekyll-optional-front-matter (0.3.2-1)
jekyll plugin to make front matter optional for markdown files
ruby-jekyll-paginate (1.1.0-3)
Default pagination generator for Jekyll
ruby-jekyll-paginate-v2 (3.0.0-1)
enhanced pagination generator plugin for Jekyll
ruby-jekyll-polyglot (1.3.3-1)
localization plugin for Jekyll websites
ruby-jekyll-readme-index (0.3.0-2)
plugin to render a README as site index
ruby-jekyll-redirect-from (0.16.0-2)
Jekyll plugin to give posts and pages multiple URLs
ruby-jekyll-relative-links (0.6.1-1)
plugin for Jekyll to convert relative links to Markdown files
ruby-jekyll-remote-theme (0.4.2-1)
plugin for building Jekyll sites with any GitHub-hosted theme
ruby-jekyll-sass-converter (1.5.2-2)
Basic Sass converter for Jekyll
ruby-jekyll-seo-tag (2.7.1-2)
Jekyll plugin to add metadata tags
ruby-jekyll-sitemap (1.4.0-2)
Jekyll plugin to silently generate a sitemap
ruby-jekyll-test-plugin (0.1.0-1.1)
facility to test Jekyll plugins
ruby-jekyll-test-plugin-malicious (0.2.0-1.1)
Malicious test plugin for Jekyll
ruby-jekyll-titles-from-headings (0.5.3-1)
jekyll plugin to create a page title from the markdown heading
ruby-jekyll-toc (0.16.0-1)
Jekyll plugin to generate a table of contents
ruby-jekyll-watch (2.2.1-1)
Rebuild your Jekyll site when a file changes
ruby-jeweler (2.0.1-3.1)
Opinionated tool for creating and managing RubyGem projects
ruby-jira (2.0.0-2)
Atlassian JIRA REST API client
ruby-jmespath (1.4.0-2)
Ruby implementation of JMESPath
ruby-jnunemaker-matchy (0.4.0-1.1)
RSpec-like matcher system for use in Test::Unit
ruby-joiner (0.5.0-2)
builds activerecord joins from association paths
ruby-journey (1.0.4-2.1)
Journey is a router for Ruby on Rails
ruby-jquery-datatables-rails (3.4.0+dfsg-1.1)
jquery datatables for rails
ruby-jquery-rails (4.3.5-2)
jQuery for Rails 3+
ruby-jquery-scrollto-rails (1.4.3+dfsg-1.1)
library to use jQuery scrollTo with Rails
ruby-jquery-ui-rails (6.0.1+dfsg-6)
jQuery UI packaged for the Rails asset pipeline
ruby-js-regex (3.4.0-1)
Converts Ruby regexes to JavaScript regexes
ruby-js-routes (1.4.9-1)
Brings Rails named routes to javascript
ruby-json (2.3.0+dfsg-1+b3)
JSON library for Ruby
ruby-json (= 2.3.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-json-jwt (1.11.0-1)
JSON Web Token and its family in Ruby
ruby-json-schema (2.8.1-2)
Ruby JSON Schema Validator
ruby-json-schemer (0.2.13-2)
JSON Schema validator
ruby-json-spec (1.1.5-2)
Ruby library that provides JSON helpers for RSpec and Cucumber
ruby-jsonapi-renderer (0.1.3-1.1)
Render JSONAPI documents
ruby-jsonify (0.4.1-3.1)
builder-style engine to turn Ruby objects into JSON
ruby-jsonpath (1.0.5-2)
Ruby implementation of JsonPath
ruby-jwt (2.2.2-1)
JSON Web Token implementation in Ruby
ruby-kakasi
本虛擬套件由這些套件填實: ruby-kakasi-ffi
ruby-kakasi-ffi (1.0.2-3)
KAKASI interface for Ruby with Fiddle/DL/FFI
ruby-kaminari (1.2.1-1)
pagination engine plugin for Rails 3+ and other modern frameworks
ruby-kaminari-actionview (1.2.1-1)
Kaminari Action View adapter
ruby-kaminari-activerecord (1.2.1-1)
Kaminari Active Record adapter
ruby-kaminari-core (1.2.1-1)
Kaminari's core pagination library
ruby-kgio (2.11.2-2+b1)
Kinder, gentler I/O for Ruby
ruby-knapsack (1.18.0-2)
Parallel tests across CI server nodes based on time execution
ruby-kpeg (1.0.0-1.1)
KPeg is a simple PEG library for Ruby
ruby-kramdown (2.3.0-5)
Fast, pure-Ruby Markdown-superset converter - ruby library
ruby-kramdown-parser-gfm (1.1.0-2)
kramdown parser for the GFM dialect of Markdown
ruby-kramdown-rfc2629 (1.3.35-1)
generate XML2RFC XML from Markdown
ruby-kubeclient (4.9.1-2)
client for Kubernetes REST API
ruby-kyotocabinet (1.33-1+b3)
Straightforward implementation of DBM - Ruby bindings
ruby-lapack (1.8.1-1+b4)
Ruby wrapper of LAPACK
ruby-launchy (2.5.0-3)
helper class for launching cross-platform applications
ruby-launchy-shim (2.3.0.1)
helper class for launching a web browser
ruby-launchy-shim (= 2.5.0-3)
本虛擬套件由這些套件填實: ruby-launchy
ruby-ldap (0.9.20-2)
OpenLDAP library binding for Ruby
ruby-leaflet-rails (1.6.0+dfsg-1)
Use leaflet.js with Rails 4
ruby-letter-opener (1.7.0-1.1)
Preview mail in the browser instead of sending
ruby-levenshtein (0.2.2-2+b12 [amd64, armel, armhf, i386, mipsel, s390x], 0.2.2-2+b10 [arm64, ppc64el], 0.2.2-2+b8 [mips64el])
calculates the Levenshtein distance between two sequences
ruby-libnotify (0.9.4-3)
Ruby bindings for libnotify using FFI
ruby-libprelude (5.2.0-3+deb11u1)
Security Information and Events Management system [ Ruby bindings ]
ruby-librarian (0.6.4-3)
framework for writing bundlers
ruby-librdf (1.0.17.1+dfsg-1.4+b1)
Ruby language bindings for the Redland RDF library
ruby-libvirt (0.7.1-1+b3)
Ruby bindings for libvirt
ruby-libxml (3.2.0-1)
Ruby Bindings for LibXML2
ruby-licensee (8.9.2-2)
program to detect open source project licenses
ruby-linked-list (0.0.14-2)
Ruby implementation of Doubly Linked List
ruby-liquid (4.0.3-2)
Ruby library for rendering safe HTML and email templates
ruby-liquid-c (4.0.0-1+b1 [amd64], 4.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
liquid performance extension in C
ruby-listen (3.2.1-1)
Ruby library listening to file modifications
ruby-little-plugger (1.1.4-1)
module that provides Gem based plugin management
ruby-locale (2.1.2-1.1)
Locale library for Ruby
ruby-lockbox (0.3.5-2)
Modern encryption for Rails
ruby-lockfile (2.1.3-1.1)
create NFS-safe lockfiles
ruby-log4r (1.1.10-4.1)
Logging library for Ruby
ruby-logger (= 1.4.2)
本虛擬套件由這些套件填實: libruby2.7
ruby-logger-application (0.0.2-1.1)
add logging support to Ruby application
ruby-logging (2.2.2-1)
flexible and extendable logging library for Ruby
ruby-logging-rails (0.6.0-3.1)
Logging for rail applications
ruby-logify (0.2.0-1.1)
Lightweight logging library for Ruby
ruby-lograge (0.10.0-1.1)
Tame Rails' multi-line logging into a single line per request
ruby-loofah (2.7.0+dfsg-1)
manipulation and transformation of HTML/XML documents and fragments
ruby-lru-redux (1.1.0-2)
efficient implementation of an lru cache
ruby-lumberjack (1.0.13-1.1)
Lumberjack is a logging implementation in Ruby
ruby-mab (0.0.3-1.1)
templating engine for writing HTML in pure Ruby
ruby-macaddr (1.7.1-3)
library to read system mac address
ruby-magic (0.2.9-2)
Ruby FFI bindings to libmagic
ruby-mail (2.7.1+dfsg1-1.1)
Ruby library to handle email generation, parsing and sending
ruby-mail-gpg (0.4.4-1)
GPG/MIME encryption plugin for the Ruby Mail Library
ruby-mail-room (0.10.0+really0.0.7-1)
Forward mail from gmail IMAP to a callback URL or job worker, simply
ruby-marcel (0.3.2-4)
Simple mime type detection
ruby-marginalia (1.9.0-1)
Attach comments to your ActiveRecord queries
ruby-marisa (0.2.6-2)
Ruby bindings for MARISA
ruby-markdown-it-html5-embed (1.0.0+dfsg-5)
Markdown-it plugin for embedding audio/video in the HTML5 way
ruby-maruku (0.7.3-1)
Markdown-superset interpreter written in Ruby
ruby-mathml (0.14-5)
TeX formula to MathML conversion library for Ruby
ruby-matrix (= 0.2.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-maven-libs (3.3.9+ds-3)
Ruby library that provides access to a Maven installation
ruby-maxitest (3.6.0-1)
Minitest + all the features you always wanted
ruby-maxminddb (0.1.22-2)
MaxMind DB binary file reader
ruby-mecab (0.996-14+b4)
Mecab binding for Ruby language
ruby-mechanize (2.7.7-1)
library used for automating interaction with websites
ruby-memoist (0.16.2-2)
Ruby library providing method memoization
ruby-memoizable (0.4.2-2)
memoize method return values
ruby-memory-profiler (0.9.14-3)
Memory profiler for Ruby
ruby-mercenary (0.3.6-2)
Lightweight and flexible library for writing command-line apps in Ruby
ruby-messagebus-api (3.0.7+git.20130130.97b34ece.REALLY.1.0.3-2.1)
Send email through the Message Bus service
ruby-metaclass (0.0.4-1.1)
Ruby library adding a metaclass method to all Ruby objects
ruby-metaid (1.0-8.1)
Some additional methods to make metaprogramming easier
ruby-method-source (1.0.0-2)
Retrieve the sourcecode for a method as a Ruby string
ruby-metriks (0.9.9.8-1)
experimental threadsafe, low-impact measurement library for Ruby
ruby-middleware (0.1.0-1.1)
middleware abstraction for Ruby.
ruby-mime (0.4.4-2)
MIME library for Ruby
ruby-mime-types (3.3.1-1)
guess MIME type of files
ruby-mime-types-data (3.2015.1120-1.1)
registry for information about MIME media type definitions
ruby-mimemagic (0.3.5+dfsg-1)
fast MIME detection by extension or content
ruby-mini-exiftool (2.9.0-2)
wrapper for exiftool command-line
ruby-mini-histogram (0.1.3-2)
build histograms out of Ruby arrays
ruby-mini-magick (4.10.1-1)
wrapper for ImageMagick with a small memory footprint
ruby-mini-mime (1.0.2-1)
Lightweight mime type implementation
ruby-minimization (0.2.1-2)
Ruby library providing minimization algorithms
ruby-minispec-metadata (3.3.0-2)
define and access metadata in MiniTest::Spec
ruby-minitar (0.9-1)
Provides POSIX tarchive management for Ruby
ruby-minitest (5.13.0-1)
Ruby test tools supporting TDD, BDD, mocking, and benchmarking
ruby-minitest-around (0.4.1-1)
Around block for minitest
ruby-minitest-excludes (2.0.1-1)
Ruby unit tests helper library for MiniTest framework
ruby-minitest-focus (1.1.2-1.1)
provides ability to focus on a few tests easily
ruby-minitest-global-expectations (1.0.1-2)
Support minitest expectation methods for all objects
ruby-minitest-hooks (1.5.0-2)
Around and before_all/after_all/around_all hooks for Minitest
ruby-minitest-power-assert (0.3.1-2)
power assert implementation for minitest
ruby-minitest-reporters (1.0.19-2)
creates customizable Minitest output formats
ruby-minitest-shared-description (1.0.0-2.1)
Support for shared specs and shared spec subclasses for Minitest
ruby-minitest-stub-const (0.4-1.1)
Stub constants for the duration of a block in MiniTest
ruby-minitest-utils (0.2.4+debian-1.1)
utilities for minitest
ruby-mixlib-archive (0.4.1-1)
simple interface to various archive formats
ruby-mixlib-authentication (3.0.6-1)
Simple Ruby mixin for creating a logger object
ruby-mixlib-cli (2.1.6-1)
Ruby mixin for creating command line applications
ruby-mixlib-config (3.0.6-1)
Simple class based config mechanism for Ruby
ruby-mixlib-install (3.11.7-1.1)
Mixin to help with omnitruck installs
ruby-mixlib-log (3.0.8-1)
Simple Ruby mixin for creating a logger object
ruby-mixlib-shellout (3.0.9-2)
mixin library for subprocess management, output collection
ruby-mixlib-versioning (1.1.0-1.1)
Ruby library to parse, compare and manipulate version strings
ruby-mizuho (0.9.20+dfsg-1.1)
documentation formatting tool
ruby-mmap2 (2.2.7-1+b4)
Mmap class implement memory-mapped file objects for Ruby 2.x
ruby-mobile-fu (1.4.0+github-4)
detect mobile requests from mobile devices in your Rails app
ruby-mocha (1.7.0-1)
Mocking and stubbing library for Ruby
ruby-mocha-doc (1.7.0-1)
Mocking and stubbing library for Ruby - documentation
ruby-model-tokenizer (1.0.1-2)
Random token generator for Rails models
ruby-mojo-magick (0.5.6-2)
simple Ruby stateless module interface to ImageMagick
ruby-molinillo (0.6.4-1)
generic dependency resolution algorithm
ruby-momentjs-rails (2.20.1-2)
wraps the Moment.js library in a rails engine for asset pipeline
ruby-moneta (1.0.0-9)
Ruby interface to multiple key/value stores
ruby-money (6.13.6-2)
Ruby Library for dealing with money and currency conversion
ruby-mongo (2.5.1-1.1)
Ruby driver for MongoDB
ruby-mono-logger (1.1.0-3.1)
lock-free logger for Ruby
ruby-morpher (0.2.6-2)
Data transformation algebra with optional tracked evaluation.
ruby-mousetrap-rails (1.4.6-7)
integrate Mousetrap javascript library with Rails Asset Pipeline
ruby-mp3tag (1.0-12.1)
Ruby library for manipulating ID3V1.1 tags in MP3
ruby-mpi (0.3.2-3+b2)
Ruby binding of MPI
ruby-ms-rest (0.7.6-2)
Azure Client Library for Ruby
ruby-ms-rest-azure (0.12.0-2)
library which supports Azure clients generated with autorest tool
ruby-msfrpc-client (1.1.2-2)
Rapid7 Metasploit API client library written in Ruby
ruby-msgpack (1.1.0-2+b1)
Ruby library for MessagePack
ruby-mtrc (0.0.4-1.1)
library to accumulate metrics and extract basic statistics
ruby-multi-json (1.14.1-1)
Ruby library to provide easy switching between different JSON backends
ruby-multi-test (0.1.2-1)
library to control autorun feature of test frameworks
ruby-multi-xml (0.6.0-1)
generic swappable back-end for XML parsing in Ruby
ruby-multibitnums (0.1.4-2+b11 [amd64, armel, armhf, i386, mipsel, s390x], 0.1.4-2+b9 [arm64, ppc64el], 0.1.4-2+b7 [mips64el])
extension library for integers with arbitrary bit lengths
ruby-multibitnums-dbg (0.1.4-2+b11 [amd64, armel, armhf, i386, mipsel, s390x], 0.1.4-2+b9 [arm64, ppc64el], 0.1.4-2+b7 [mips64el])
extension library for integers with arbitrary bit lengths (debugging symbols)
ruby-multipart-parser (0.1.1-4)
simple parser for multipart MIME messages
ruby-multipart-post (2.0.0-1)
multipart form post accessory for Net::HTTP
ruby-murmurhash3 (0.1.6-2+b2)
implements mumur3 hashing function
ruby-mustache (1.1.1-2)
Mustache is a framework-agnostic way to render logic-free views
ruby-mustermann (1.1.1-1)
use patterns like regular expressions
ruby-mustermann-grape (1.0.1-1)
Grape syntax for Mustermann
ruby-mutex-m (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-mysql2 (0.5.3-3)
simple, fast MySQL library for Ruby
ruby-nakayoshi-fork (0.0.4-1.1)
solves CoW friendly problem on MRI 2.2 and later
ruby-nanoc-checking (1.0.1-1)
static site generator written in Ruby - checker
ruby-nanoc-cli (4.11.23-1)
static site generator written in Ruby - command line interface
ruby-nanoc-core (4.11.23-1)
static site generator written in Ruby - core package
ruby-nanoc-deploying (1.0.1-1)
static site generator written in Ruby - deployer
ruby-nanoc-external (1.0.5-1)
static site generator written in Ruby - external filter
ruby-nanoc-live (4.11.14.really1.0.0b8-1)
static site generator written in Ruby - live support
ruby-nanotest (0.9.4.1-1.1)
Exteremely minimal test framework
ruby-narray (0.6.1.2-3+b3)
Numerical N-dimensional Array library for Ruby
ruby-narray-miss (1.4.0-3)
Additional class with processing of missing value to NArray
ruby-naught (1.1.0-1)
Naught is a toolkit for building Null Objects
ruby-ncurses (1.4.9-1+b6)
ruby extension for the ncurses C library
ruby-necromancer (0.5.1-2)
Library offering conversion from one object type to another
ruby-nenv (0.3.0-2.1)
convenient wrapper for Ruby's ENV
ruby-neovim (0.8.1-1)
Ruby client for Neovim
ruby-nested-form (0.3.2-2.1)
conveniently handle multiple models in a single form
ruby-net-dns (0.9.1-2)
Pure Ruby DNS library
ruby-net-http-digest-auth (1.4-2)
RFC 2617 Digest Access Authentication implementation
ruby-net-http-persistent (3.1.0-2)
Manages persistent connections using Net::HTTP
ruby-net-http-pipeline (1.0.1-3)
HTTP/1.1 pipelining implementation atop Net::HTTP
ruby-net-irc (0.0.9-3.1)
Ruby IRC library (Client, Server and many IRC gateways to webservice)
ruby-net-ldap (0.16.1-1)
LDAP client library for Ruby
ruby-net-ntp (2.1.3-3)
NTP client for ruby
ruby-net-pop (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-net-scp (3.0.0-1)
pure ruby implementation of the SCP protocol
ruby-net-sftp (1:3.0.0-1)
Ruby implementation of the SFTP protocol
ruby-net-smtp (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-net-ssh (1:6.1.0-2+deb11u1)
Ruby implementation of the SSH protocol
ruby-net-ssh-gateway (2.0.0-2)
Ruby library for tunneling connections to servers with ssh
ruby-net-ssh-krb (0.4.0-1.1)
Kerberos support for ruby-net-ssh
ruby-net-ssh-multi (1.2.1-3)
Ruby library for making multiple SSH connections to remote systems
ruby-net-telnet (0.1.1-2)
telnet client library
ruby-netaddr (1.5.1-3)
manipulating network addresses
ruby-netcdf (0.7.2-5+b1)
Ruby interface of netCDF library
ruby-netrc (0.11.0-3)
Ruby library to read and write netrc files
ruby-nfc (3.1.2-2)
ruby wrapper for the libnfc
ruby-nfnetlink (1.0.2-2)
Wrapper on top of libnfnetlink using FFI
ruby-nfqueue (1.0.4-2)
Wrapper around libnetfilter_queue using FFI
ruby-ngraph (6.09.01-1)
Library for using ngraph-gtk Ruby programs
ruby-nio4r (2.3.1-2+b1)
Ruby library providing a selector API for monitoring IO objects
ruby-nokogiri (1.11.1+dfsg-2)
HTML, XML, SAX, and Reader parser for Ruby
ruby-nokogiri-diff (0.2.0-1.1)
calculate the differences between two XML/HTML documents
ruby-nokogumbo (2.0.2-2)
Nokogiri interface to the Gumbo HTML5 parser
ruby-nori (2.6.0-1.1)
XML to Hash translator
ruby-notiffany (0.1.3-1)
Wrapper libray for most popular notification libraries
ruby-notify (0.5.2-2.1)
Desktop notification command on cross platform
ruby-notmuch (0.31.4-2)
Ruby interface to the notmuch mail search and index library
ruby-ntlm (0.6.1-2)
NTLM authentication client for Ruby
ruby-numerizer (0.2.0-2)
parse numbers in natural language from strings (ex forty two)
ruby-numru-misc (0.1.2-2.1)
Miscellaneous functions and classes to help Ruby programming
ruby-numru-units (1.9.0-1.1)
Ruby class library to handle units of physical quantities
ruby-oauth (0.5.4-1.1)
Ruby library for OAuth core
ruby-oauth2 (1.4.4-1)
ruby wrapper for the OAuth 2.0 protocol
ruby-obexftp (0.24-6+b4)
ruby binding to the object exchange file transfer library
ruby-observer (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-octokit (4.17.0-2)
Ruby toolkit for working with the GitHub API
ruby-odbc (0.99998-2)
ODBC Binding for Ruby
ruby-oembed (0.12.0-3)
oEmbed for Ruby
ruby-ogginfo (0.7.2-2)
Ruby library for accessing low-level information on ogg files
ruby-oily-png (1.2.1~dfsg-1+b5)
native mixin to speed up ChunkyPNG
ruby-oj (3.11.0-1)
fast JSON parser and serializer for Ruby
ruby-ole (1.2.12.2-1)
Ruby library for read/write access for OLE compound documents
ruby-omniauth (1.9.1-1)
flexible authentication system utilizing Rack middleware
ruby-omniauth-atlassian-oauth2 (0.2.0-2)
Atlassian OAuth2 strategy for OmniAuth 1.x
ruby-omniauth-auth0 (2.0.0-1)
Omniauth OAuth2 strategy for the Auth0 platform
ruby-omniauth-authentiq (0.3.3-1)
Authentiq strategy for OmniAuth
ruby-omniauth-azure-oauth2 (0.0.10-1)
Windows Azure Active Directory OAuth2 strategy for OmniAuth
ruby-omniauth-bitbucket (0.0.2-1.1)
Oauth2 bitbucket strategy for OmniAuth
ruby-omniauth-cas3 (1.1.4-2)
CAS 3.0 Strategy for OmniAuth
ruby-omniauth-crowd (2.4.0-1.1)
OmniAuth provider for Atlassian Crowd REST API
ruby-omniauth-facebook (4.0.0-2)
Oauth2 facebook strategy for OmniAuth
ruby-omniauth-github (1.4.0-1)
GitHub strategy for the Ruby OmniAuth library
ruby-omniauth-gitlab (1.0.2-1)
OAuth2 strategy for authenticating to GitLab service
ruby-omniauth-google-oauth2 (0.6.0-2)
Google OAuth2 strategy for OmniAuth 1.x
ruby-omniauth-jwt (0.0.2-1.1)
JSON Web Token (JWT) is a simple way to send verified information
ruby-omniauth-kerberos (0.3.0-3.1)
OmniAuth strategy for Kerberos
ruby-omniauth-ldap (2.1.1-1)
LDAP strategy for Ruby OmniAuth library
ruby-omniauth-multipassword (0.4.2-1.1)
OmniAuth strategy to use different password strategies
ruby-omniauth-oauth (1.1.0-2.1)
generic OAuth (1.0/1.0a) strategy for OmniAuth
ruby-omniauth-oauth2 (1.6.0-1)
abstract OAuth2 strategy for OmniAuth
ruby-omniauth-oauth2-generic (0.2.2-1.1)
generic OmniAuth strategy for OAuth2 providers
ruby-omniauth-openid (1.0.1-4)
openid strategy for OmniAuth
ruby-omniauth-openid-connect (0.3.5-1)
OpenID Connect Strategy for OmniAuth
ruby-omniauth-salesforce (1.0.5-2)
OmniAuth strategy for salesforce.com
ruby-omniauth-saml (1.10.0-1)
generic SAML strategy for OmniAuth
ruby-omniauth-shibboleth (1.3.0-1)
OmniAuth Shibboleth strategies for OmniAuth
ruby-omniauth-tumblr (1.2-1)
OmniAuth strategy for Tumblr
ruby-omniauth-twitter (1.4.0-1)
OmniAuth strategy for Twitter
ruby-omniauth-ultraauth (0.0.2-1.1)
Omniauth strategy for UltraAuth
ruby-omniauth-wordpress (0.2.2-2)
Wordpress strategy for OmniAuth
ruby-open-graph-reader (0.7.0+dfsg-1)
OpenGraph protocol parser
ruby-open-uri-redirections (0.2.1-1.1)
openuri patch to allow redirections between HTTP and HTTPS
ruby-open3 (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-open4 (1.3.4-1.1)
library for managing child processes in Ruby
ruby-openid (2.9.2debian-1)
Ruby library for verifying and serving OpenID identities
ruby-openid-connect (1.1.8-1)
OpenID Connect Server & Client Library
ruby-openssl (= 2.1.2)
本虛擬套件由這些套件填實: libruby2.7
ruby-openssl-signature-algorithm (1.0.0-1)
helpers for signing and verifying signatures with openssl
ruby-openstack (2.0.2-1.1)
OpenStack Ruby API
ruby-opentracing (0.5.0-2)
OpenTracing API for Ruby
ruby-optimist (3.0.0-2)
Commandline parser for Ruby that just gets out of your way
ruby-org (0.9.12-2)
Emacs org-mode parser for Ruby
ruby-origin (2.2.0-1)
Simple DSL for MongoDB query generation
ruby-orm-adapter (0.5.0-2.1)
single point of entry for using basic features of ruby ORMs
ruby-os (0.9.6-1.1)
Simple and easy way to know if you're on windows or not (reliably)
ruby-ostruct (= 0.2.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-otr-activerecord (1.4.1-3)
Off The Rails: Use ActiveRecord with Grape, Sinatra, Rack, or anything else!
ruby-ox (2.11.0-2)
fast XML parser and object serializer
ruby-packable (1.3.14-1)
extensive packing and unpacking capabilities for Ruby
ruby-packetfu (1.1.11-2)
mid-level packet manipulation library for Ruby
ruby-paint (2.2.0-1)
terminal paint library with 256 color and effect support
ruby-pango (3.4.3-1+b1)
Pango bindings for the Ruby language
ruby-paper-trail (11.1.0-1)
Track changes to your models, helps to retrieve versions
ruby-parallel (1.20.1-1)
library to run any kind of code in parallel processes
ruby-parallel-tests (3.4.0-1)
Run Test::Unit / RSpec / Cucumber / Spinach in parallel
ruby-paranoia (2.4.2-1)
re-implementation of acts_as_paranoid for Rails 3
ruby-parse-cron (0.1.4-1.1)
parse cron expressions and calculate next job occurrence
ruby-parseconfig (1.0.8-1)
Simple standard configuration file parser for Ruby
ruby-parslet (1.8.2-3)
Parser construction library with great error reporting in Ruby
ruby-passenger (5.0.30-1.2+deb11u1)
transitional dummy package for passenger
ruby-passenger-doc (5.0.30-1.2+deb11u1)
transitional dummy package for passenger-doc
ruby-password (0.5.3-5)
Ruby library for handling passwords
ruby-pastel (0.7.3-2)
terminal strings styling with intuitive and clean API
ruby-path-expander (1.1.0-2)
PathExpander helps pre-process command-line arguments
ruby-pathname2 (1.8.2-2)
alternate implementation of the Pathname class
ruby-pathspec (0.2.1-2)
Library to match path patterns such as gitignore
ruby-pathutil (0.16.1-1.1)
Faster pure Ruby implementation of Pathname with extra bits
ruby-pcaprub (0.12.4-1+b5)
Ruby bindings for LBL Packet Capture library (libpcap)
ruby-pdf-core (0.8.1-2)
Ruby library to render PDF documents
ruby-pdf-inspector (1.3.0-2)
Ruby library for analyzing PDF output
ruby-pdf-reader (2.4.1-1)
Ruby library for accessing the content of PDF files
ruby-peach (0.5.1-4)
parallel execution of each and map in Ruby
ruby-peek (1.1.0-1)
Take a peek into your Rails application
ruby-peek-gc (0.0.2-1.1)
Take a peek into the GC info of your Rails application
ruby-peek-host (1.0.0-1.1)
Take a peek into the host which served your Rails request
ruby-peek-performance-bar (1.3.0-1.1)
peek into MySQL queries made during your application's requests
ruby-peek-pg (1.3.0-1.1)
Take a peek into the Postgres queries made during your application's requests
ruby-peek-rblineprof (0.2.0-1.1)
rails integration for rblineprof
ruby-peek-redis (1.2.0-1.1)
Take a peek into the Redis calls made within your Rails application
ruby-pg (1.2.3-1+b1)
PostgreSQL interface for Ruby
ruby-pg-ldap-sync (0.2.0-2)
Synchronize users and groups from LDAP/AD into PostgreSQL
ruby-pkg-config (1.4.4-1)
pkg-config implementation for Ruby
ruby-plist (3.2.0-1.1)
all-purpose property list manipulation library
ruby-pluggaloid (1.5.0-1)
Extensible plugin system for mikutter
ruby-png-quantizator (0.2.1-2)
Small wrapper around pngquant
ruby-po-to-json (1.0.1-1.1)
convert gettext PO files to JSON
ruby-poltergeist (1.18.1-1)
PhantomJS driver for Capybara
ruby-polyglot (0.3.4-1.1)
Custom language loaders for specified file extensions
ruby-ponder (0.2.0-3)
Domain Specific Language for writing IRC Bots
ruby-poppler (3.4.3-1)
Ruby bindings for the libpoppler-glib library
ruby-posix-spawn (0.3.13-3+b1)
Ruby Implementation of posix_spawn(2) for faster process spawning
ruby-power-assert (1.1.7-2)
library showing values of variables and method calls in an expression
ruby-powerbar (1.0.18-1)
progressbar library for Ruby
ruby-powerpack (0.1.1-4)
Useful extensions to core Ruby classes
ruby-prawn (2.3.0+dfsg-1)
fast, nimble PDF generation library for Ruby
ruby-prawn-doc (2.3.0+dfsg-1)
fast, nimble PDF generation library for Ruby (documentation)
ruby-prawn-icon (2.5.0-1)
Provides icon fonts for PrawnPDF
ruby-prawn-manual-builder (0.2.0-1.1)
tool to write manuals for Prawn and Prawn accessories
ruby-prawn-svg (0.31.0-1)
SVG renderer for Prawn PDF library
ruby-prawn-table (0.2.2-1)
table support for the Prawn PDF generation library
ruby-premailer (1.14.2-1)
Preflight for HTML e-mail
ruby-premailer-rails (1.10.3-3)
tool to easily create styled HTML emails in Rails
ruby-prime (= 0.1.1)
本虛擬套件由這些套件填實: libruby2.7
ruby-proc-to-ast (0.1.0-2)
Convert Proc object to AST::Node
ruby-process-daemon (1.0.1-2)
Defines the daemon functionality using a Ruby class
ruby-procto (0.0.3-3)
Defines Foo.call(*args) which invokes Foo.new(*args).bar
ruby-prof (1.3.1-2+b1)
Fast Ruby profiler
ruby-progressbar (1.10.1-1)
Text progress bar library for Ruby
ruby-prometheus-client-mmap (0.11.0-1)
suite of instrumentation metric primitives
ruby-protocol-hpack (1.4.2-2)
compresssor and decompressor for HTTP 2.0 HPACK
ruby-protocol-http (0.20.0-2)
providing abstractions to handle HTTP protocols
ruby-protocol-http1 (0.13.1-1)
low level implementation of the HTTP/1 protocol
ruby-protocol-http2 (0.14.0-2)
low level implementation of the HTTP/2 protocol
ruby-proxifier (1.0.3-1.1)
add support for HTTP or SOCKS proxies
ruby-pry-byebug (3.9.0-1)
step-by-step debugging and stack navigation capabilities in pry using byebug
ruby-pry-rails (0.3.9-2)
Use Pry as your rails console
ruby-pstore (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-psych (= 3.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-public-suffix (3.0.3+ds-1)
Domain name parser based on the Public Suffix List
ruby-puma-worker-killer (0.1.1-2)
kill memory leaking puma workers
ruby-pundit (2.1.0-1)
object oriented authorization for rails
ruby-puppet-forge (3.0.0-1)
Access the Puppet Forge API from Ruby
ruby-puppet-syntax (3.1.0-1)
Syntax checks for Puppet manifests, templates, and Hiera YAML
ruby-puppetlabs-spec-helper (2.16.0-1)
rake tasks and spec helper for spec tests on puppet modules
ruby-puy-ruby-sasl
本虛擬套件由這些套件填實: ruby-sasl
ruby-pygments.rb (1.2.1-2)
pygments wrapper for Ruby
ruby-qdbm (1.8.78-10)
QDBM Database Libraries for Ruby
ruby-qr4r (0.4.1-2)
QR code generator for Ruby
ruby-raabro (1.3.1-1)
Very dumb PEG parser library
ruby-rabl (0.13.1-1.1)
Ruby templating library with JSON, BSON, XML and MessagePack support
ruby-rabl-rails (0.4.1-1.1)
fast Rails 3+ templating system with JSON and XML support
ruby-racc (= 1.4.16)
本虛擬套件由這些套件填實: libruby2.7
ruby-rack (2.1.4-3+deb11u1)
modular Ruby webserver interface
ruby-rack-accept (0.4.5-3.1)
suite of tools for interpreting HTTP Accept family for Ruby/Rack
ruby-rack-attack (6.3.1-1)
Block & throttle abusive requests
ruby-rack-cache (1.2-4.1)
HTTP Caching for Rack
ruby-rack-cors (1.1.1-1)
enable Cross-Origin Resource Sharing in Rack apps
ruby-rack-flash3 (1.0.5-2)
Flash hash for Ruby Rack applications
ruby-rack-google-analytics (1.2.0-2.1)
Simple Rack middleware to inject the Google Analytics tracking code
ruby-rack-livereload (0.3.17+dfsg-2)
LiveReload support for Rack applications
ruby-rack-mobile-detect (0.4.0-4)
rack middleware for ruby webapps to detect mobile devices
ruby-rack-mount (0.8.3-3)
Stackable dynamic tree based Rack router
ruby-rack-oauth2 (1.16.0-1)
Rack interface for an OAuth 2.0
ruby-rack-openid (1.4.2-2)
Ruby Rack library to provide access to OpenID
ruby-rack-parser (0.7.0-2)
Rack Middleware for parsing post body data
ruby-rack-piwik (0.3.0-2.1)
piwik Analytics racking in your Ruby-Rack based project
ruby-rack-protection (2.0.8.1-2)
Protects against typical web attacks for Rack apps
ruby-rack-proxy (0.6.1-2)
request/response rewriting HTTP proxy rack app
ruby-rack-rewrite (1.5.1-1.1)
rack middleware for enforcing rewrite rules
ruby-rack-ssl (1.4.1-2.1)
SSL/TLS extension for Ruby Rack
ruby-rack-test (0.7.0-1.1)
Simple testing API built on Rack
ruby-rack-timeout (0.5.1-2)
Abort requests that are taking too long
ruby-raemon (0.3.0+git.2012.05.18.b78eaae57c-2)
framework for building UNIX daemons
ruby-rails (2:6.0.3.7+dfsg-2+deb11u2)
MVC ruby based framework geared for web application development
ruby-rails-assets-autosize (4.0.2-6)
autosize javascript library for rails applications
ruby-rails-assets-backbone (= 1.4.0+dfsg-1)
本虛擬套件由這些套件填實: ruby-backbone-on-rails
ruby-rails-assets-blueimp-gallery (2.33.0-2)
blueimp Gallery for rails
ruby-rails-assets-bootstrap (3.3.7-1.1)
bootstrap for rails projects
ruby-rails-assets-bootstrap-markdown (2.10.0-1.1)
bootstrap-markdown for rails applications
ruby-rails-assets-colorbox
本虛擬套件由這些套件填實: ruby-rails-assets-jquery-colorbox
ruby-rails-assets-corejs-typeahead (1.2.1-2)
Fast and fully-featured autocomplete search library
ruby-rails-assets-emojione (2.2.6-5)
provide emojione javascript for rails applications
ruby-rails-assets-favico.js (0.3.10+dfsg-2)
provides favico.js to rails apps via asset pipeline
ruby-rails-assets-fine-uploader (5.13.0-2)
Official bower build for FineUploader/fine-uploader
ruby-rails-assets-highlightjs (9.12.0-3)
highlightjs for rails applications
ruby-rails-assets-jakobmattsson-jquery-elastic (1.6.11~dfsg-1.1)
jquery-elastic plugin for rails applications
ruby-rails-assets-jeresig-jquery.hotkeys (0.2.0-4.1)
jQuery Hotkeys for rails applications
ruby-rails-assets-jquery (3.5.1+dfsg-1)
jQuery for Rails via asset pipeline
ruby-rails-assets-jquery-colorbox (1.6.3~dfsg-7)
jQuery lightbox and modal window plugin
ruby-rails-assets-jquery-fullscreen (1.1.4~dfsg-2.1)
provide jquery-fullscreen via rails assets pipeline
ruby-rails-assets-jquery-fullscreen-plugin (0.5.0+dfsg-4)
jQuery fullscreen plugin for rails applications
ruby-rails-assets-jquery-idletimer (1.0.1-3.1)
provide jquery-idletimer via rails asset pipeline
ruby-rails-assets-jquery-nicescroll (3.6.6+dfsg-1)
provide jquery.nicescroll via rails asset pipeline
ruby-rails-assets-jquery-placeholder (2.3.1-2.1)
provide jquery-placeholder via asset pipeline
ruby-rails-assets-jquery-textchange (0.2.3-1.1)
provide jQuery TextChange Plugin via rails asset pipeline
ruby-rails-assets-jquery-ui (1.11.4-1.1)
provide jquery.ui via rails assets pipeline
ruby-rails-assets-jquery.are-you-sure (1.9.0-2)
Light "dirty forms" JQuery Plugin
ruby-rails-assets-jquery.slimscroll (1.3.6+dfsg-3)
provide slimScroll jQuery plugin via rails asset pipeleine
ruby-rails-assets-markdown-it (8.4.2-5)
markdown parser as a rails asset
ruby-rails-assets-markdown-it--markdown-it-for-inline (0.1.1-1.1)
Inline tokens iterator for markdown-it markdown parser
ruby-rails-assets-markdown-it-diaspora-mention (1.2.0-2)
diaspora* mentions for markdown-it markdown parser
ruby-rails-assets-markdown-it-hashtag (0.4.0-1.1)
hashtag for markdown-it markdown parser via rails pipeline
ruby-rails-assets-markdown-it-sanitizer (0.4.3-1)
sanitizer for markdown-it markdown parser via rails pipeline
ruby-rails-assets-markdown-it-sub (1.0.0-2.1)
markdown parser subscript plugin as a rails asset
ruby-rails-assets-markdown-it-sup (1.0.0-2.1)
markdown parser superscript plugin as a rails asset
ruby-rails-assets-perfect-scrollbar (1.4.0-4)
Minimalistic but perfect custom scrollbar plugin
ruby-rails-assets-punycode (1.3.2-2.1)
provide punycode converter via rails asset pipeline
ruby-rails-assets-underscore (1.8.3+dfsg-4)
underscore.js for rails applications
ruby-rails-controller-testing (1.0.5-1)
Extracting `assigns` and `assert_template` from ActionDispatch
ruby-rails-deprecated-sanitizer (1.0.3-3.1)
HTML sanitizer API extracted from Action View
ruby-rails-dom-testing (2.0.3-3)
SOM and Selector assertions for Rails applications
ruby-rails-html-sanitizer (1.3.0-1)
HTML sanitization for Rails applications
ruby-rails-i18n (6.0.0-3)
common locale data and translations for Rails i18n
ruby-rails-observers (0.1.5-1.1)
toolkit to build Rails observers (part of Rails)
ruby-rails-timeago (2.19.0-1)
Rails Helper to create time tags usable for jQuery Timeago plugin
ruby-rails-tokeninput (1.7.0-1.1)
jquery tokeninput automated install
ruby-railties (2:6.0.3.7+dfsg-2+deb11u2)
tools for creating, working with, and running Rails applications
ruby-rainbow (3.0.0-3)
extension of Ruby String class enabling colored text on ANSI terminals
ruby-raindrops (0.19.0-2+b1)
Real-time stats for preforking Rack servers
ruby-rake-ant (1.0.4-2)
Ant tasks and integration for Rake
ruby-rash-alt (0.4.3-1.1)
simple extension to Hashie::Mash for rubyified keys
ruby-rb-inotify (0.9.10-2)
Ruby wrapper for Linux's inotify, using FFI
ruby-rblineprof (0.3.7-2+b3)
line-profiler for ruby
ruby-rbnacl (7.1.1-1)
Ruby binding to the Networking and Cryptography (NaCl) library
ruby-rbpdf (1.20.1-1)
Ruby library for PDF generation
ruby-rbpdf-font (1.20.1-1)
Ruby library for PDF generation (font definitions)
ruby-rbtrace (0.4.11-3+b3)
rbtrace: like strace but for ruby code
ruby-rbvmomi (1.8.2-1.1)
Ruby interface to the VMware vSphere API
ruby-rc4 (0.1.5-3.1)
Ruby library implementing the RC4 algorithm
ruby-rchardet (1.8.0-1)
Character encoding auto-detection for Ruby
ruby-rd (0.6.38-4)
RDTool library for Ruby - library
ruby-rdiscount (2.1.8-1+b8)
Discount Markdown Processor for Ruby
ruby-rdoc (= 6.2.1.1)
本虛擬套件由這些套件填實: libruby2.7
ruby-re2 (1.2.0-1+b4)
Ruby bindings to re2
ruby-readline (= 0.0.2)
本虛擬套件由這些套件填實: libruby2.7
ruby-readline-ext (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-recaptcha (4.11.1-2)
Ruby helpers for the reCAPTCHA API
ruby-recursive-open-struct (1.1.1-1)
OpenStruct subclass that returns nested hash attributes as RecursiveOpenStructs
ruby-redcarpet (3.5.1-1)
Fast, safe and extensible Markdown to (X)HTML parser for Ruby
ruby-redcloth (4.3.2-3+b4)
Textile module for Ruby
ruby-redis (4.2.5-1)
Ruby client library for Redis
ruby-redis-actionpack (5.2.0-2)
Redis session store for ActionPack
ruby-redis-activesupport (5.2.0-1)
Redis store for ActiveSupport::Cache
ruby-redis-namespace (1.7.0-1)
Namespaces commands when multiple apps access same redis server
ruby-redis-rack (2.1.2-4)
Redis Store for Rack
ruby-redis-rails (5.0.2-3)
Redis stores for Ruby on Rails
ruby-redis-store (1.9.0-1)
redis stores for Ruby frameworks
ruby-ref (2.0.0-1)
library implements weak, soft, and strong references in Ruby
ruby-referer-parser (0.3.0-2)
Library for extracting marketing attribution data from referer URLs
ruby-regexp-parser (1.7.1-1)
Scanner, lexer, parser for ruby's regular expressions
ruby-regexp-property-values (0.3.4-2+b1)
Inspect property values supported by Ruby's regex engine
ruby-reline (= 0.1.5)
本虛擬套件由這些套件填實: libruby2.7
ruby-remcached (0.4.1-3)
Ruby EventMachine memcached client
ruby-remctl (3.17-1)
Ruby extension for Kerberos-authenticated command execution
ruby-remotipart (1.2.1-2.1)
enables remote multipart forms (AJAX style file uploads) with jquery-rails
ruby-representable (3.0.4-1.1)
Renders and parses JSON/XML/YAML documents from and to Ruby objects
ruby-request-store (1.5.0-2)
per-request global variable storage for Rack-based web servers
ruby-responders (3.0.1-1)
set of Rails responders to dry up your application
ruby-rest-client (2.1.0-1)
simple REST client for Ruby
ruby-rethtool (0.0.5-2)
partial wrapper around the SIOCETHTOOL ioctl
ruby-retriable (3.1.2-1)
Retriable is an simple DSL to retry failed code blocks
ruby-retryable (2.0.4-1)
module that allows one to retry a code block
ruby-reverse-markdown (1.4.0-1)
converts HTML code into markdown
ruby-rexml (= 3.2.3.1)
本虛擬套件由這些套件填實: libruby2.7
ruby-rgen (0.8.4-1)
Ruby Modelling and Generator Framework
ruby-rgfa (1.3.1+dfsg-2)
parse, edit and write GFA format graphs in Ruby
ruby-riemann-client (0.2.3-1.1)
client for the distributed event system Riemann
ruby-rinku (1.7.3-2+b5)
autolinker for Ruby
ruby-rinku-doc (1.7.3-2)
autolinker for Ruby (documentation)
ruby-riot (0.12.7-2)
fast, expressive, and context-driven unit-testing framework for Ruby
ruby-rjb (1.5.5-3+b4 [amd64], 1.5.5-3+b3 [i386])
Ruby-Java bridge using Java Native Interface
ruby-rmagick (2.16.0-7)
ImageMagick API for Ruby
ruby-rmagick-doc (2.16.0-7)
ImageMagick API for Ruby (documentation)
ruby-roadie (4.0.0-1)
library for composing HTML email
ruby-roadie-rails (2.1.1-2)
library for composing HTML email in Rails applications
ruby-rollout (2.5.0-1)
provides feature flags based on Redis
ruby-romkan (0.4.0-2)
Romaji <-> Kana conversion library for Ruby
ruby-ronn (0.9.1-2)
library to build manuals from Markdown
ruby-roo (2.8.3-1)
can access the contents of various spreadsheet files
ruby-rotp (2.1.1+dfsg-1.1)
Ruby library for generating and verifying one time passwords
ruby-rouge (3.21.0-1)
pure-Ruby syntax highlighter compatible with pygments
ruby-roxml (4.0.0-2)
Ruby Object to XML mapping library
ruby-rpam-ruby19 (1.2.1-1+b7)
PAM integration with Ruby
ruby-rpatricia (1.0.1-1+b12 [amd64, armel, armhf, i386, mipsel, s390x], 1.0.1-1+b10 [arm64, ppc64el], 1.0.1-1+b8 [mips64el])
efficient IPv4/IPv6 prefix storage and lookup for Ruby
ruby-rqrcode (1.1.2-3)
Ruby library for encoding QRCode (2D barcodes)
ruby-rqrcode-core (0.1.2-1)
Ruby Gem Library to encode QR Codes
ruby-rqrcode-rails3 (0.1.7-1.1+deb11u1)
render QR codes with Rails 3
ruby-rr (1.2.1-2.1)
test double framework for Ruby
ruby-rrd (1.7.2-3+b7)
time-series data storage and display system (Ruby interfaces)
ruby-rsec (0.4.2-1.1)
Parser / Regexp Combinator For Ruby
ruby-rspec (3.9.0c2e2m1s3-2)
Behaviour Driven Development framework for Ruby - metapackage
ruby-rspec-collection-matchers (1.2.0-2)
Ruby RSpec CollectionMatchers
ruby-rspec-core (3.9.0c2e2m1s3-2)
Behaviour Driven Development framework for Ruby - core
ruby-rspec-expectations (3.9.0c2e2m1s3-2)
Behaviour Driven Development framework for Ruby - expectations
ruby-rspec-files (1.0.2-2)
RSpec helpers for buffering and detecting file descriptor leaks
ruby-rspec-instafail (1.0.0-6)
Show failing specs instantly
ruby-rspec-its (1.3.0-1)
attribute matching extension for the RSpec BDD framework
ruby-rspec-junit-formatter (0.4.1-2)
RSpec JUnit XML formatter
ruby-rspec-logsplit (0.1.3-1.1)
library to provide a logger for each example
ruby-rspec-memory (1.0.2-2)
RSpec helpers for checking memory allocations
ruby-rspec-mocks (3.9.0c2e2m1s3-2)
Behaviour Driven Development framework for Ruby - mocks
ruby-rspec-parameterized (0.4.2-2)
Supports simple parameterized test syntax in rspec
ruby-rspec-pending-for (0.1.3-2)
RSpec plugin to skip specs for given Ruby versions
ruby-rspec-profiling (0.0.5-2)
Profile RSpec test suites
ruby-rspec-puppet (2.8.0-1)
RSpec tests for your Puppet manifests
ruby-rspec-rails (4.0.1-2)
RSpec for Rails 3+
ruby-rspec-retry (0.6.2-1)
add support for retrying failing examples in RSpec
ruby-rspec-set (0.1.3-2)
#set(), speed-up your specs
ruby-rspec-stubbed-env (1.0.0-2)
Unobtrusively stub ENV keys and values during testing
ruby-rspec-support (3.9.0c2e2m1s3-2)
Behaviour Driven Development framework for Ruby - support
ruby-rspec-temp-dir (1.1.0-2)
creates temporary directory for each example automatically
ruby-rss (= 0.2.8)
本虛擬套件由這些套件填實: libruby2.7
ruby-rsvg2 (3.4.3-1)
RSVG renderer bindings for the Ruby language
ruby-rsync (1.0.9-3)
ruby wrapper and bindings for the rsync binary
ruby-rt (1.0.3.0-6.1)
RTTool library for Ruby
ruby-rubame (0.0.3~git20131224.f3c78ba-2)
simple Ruby websocket game server
ruby-rubocop-ast (0.3.0+dfsg-1)
RuboCop tools to deal with Ruby code AST
ruby-rubocop-packaging (0.5.1-1)
Automatic downstream compatibility checking tool for Ruby code
ruby-rubocop-performance (1.7.1-1)
Automatic performance checking tool for Ruby code
ruby-rubocop-rspec (1.42.0-1)
Code style checking for RSpec files
ruby-ruby-engine (1.0.1-2)
Provides the RubyEngine constant
ruby-ruby-parser (3.15.1-1)
Ruby parser written in pure Ruby
ruby-ruby-version (1.0.1-2)
Provide the RubyVersion pseudo-constant
ruby-ruby2-keywords (0.0.2-2)
source-level compatibility library between ruby2.7 and ruby3
ruby-ruby2ruby (2.4.4-2)
Generate pure ruby code easily from ParseTree's Sexps
ruby-rubydns (1.0.3-3)
Easy to use DNS server and resolver for Ruby
ruby-rubygems (3.2.5-2)
Package management framework for Ruby
ruby-rubymail (1.1.4-1)
MIME mail parsing and generation library
ruby-rubymail-doc (1.1.4-1)
MIME mail parsing and generation library (documentation)
ruby-rubypants (0.6.0-1.1)
Ruby port of SmartyPants smart-quotes library
ruby-rubytorrent (0.3-5.1)
BitTorrent library in Ruby
ruby-rubyvis (0.6.1+dfsg1-2.1)
Ruby visualization toolkit
ruby-rufus-scheduler (3.4.2-1)
job scheduler for Ruby
ruby-rugged (1.1.0+ds-4)
Ruby binding to the libgit2 library
ruby-rugments (1.0.0~beta8-1.1)
pure-ruby syntax highlighter replacement for pygments
ruby-rushover (0.3.0-2)
Simple ruby Pushover client
ruby-safe-yaml (1.0.5-1)
safer YAML loader for Ruby
ruby-safely-block (0.2.1-2)
Rescue and report exceptions in non-critical code
ruby-safety-net-attestation (0.4.0-2)
SafetyNet attestation response verification
ruby-saml (1.11.0-1)
SAML toolkit for Ruby on Rails
ruby-samuel (0.3.3-1.1)
automatic logger for HTTP requests in Ruby
ruby-sanitize (5.2.1-2+deb11u1) [security]
whitelist-based HTML sanitizer
ruby-sasl (0.0.3.3-2.1)
SASL client library for Ruby
ruby-sass (3.7.4-3)
deprecated CSS compiler - use sassc or ruby-sassc instead
ruby-sass-rails (6.0.0-1)
Sass adapter for the Rails asset pipeline
ruby-sassc (2.2.1-1)
Ruby module to use libsass
ruby-sassc-rails (2.1.2-5)
Integrate SassC-Ruby into Rails
ruby-sawyer (0.8.2-1)
HTTP/REST API client Ruby library
ruby-scanf (1.0.0-2)
Implementation of the C function scanf
ruby-schash (0.1.2-1.1)
Ruby Hash validator
ruby-scientist (1.5.0-2)
Carefully test, measure, and track refactored code
ruby-sdbm (= 1.0.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-sdl (2.2.0-1+b5)
Ruby/SDL interface for Ruby
ruby-sdoc (1.1.0-1)
RDoc generator to build searchable HTML documentation for Ruby code
ruby-seamless-database-pool (1.0.20-1.1)
support for master/slave database clusters in ActiveRecord
ruby-secure-headers (6.3.2-1)
Security related headers all in one gem
ruby-securecompare (1.0.0-1.1)
string comparison method safe for use in cryptographic functions
ruby-seed-fu (2.3.7-3)
easily manage seed data in an Active Record application
ruby-select2-rails (3.5.9.3-3)
integrate Select2 javascript library with Rails asset pipeline
ruby-selenium-webdriver (3.142.7+dfsg-2)
Browser automation framework and ecosystem
ruby-selinux (3.1-3)
Ruby bindings to SELinux shared libraries
ruby-semanage (3.1-1+b2)
Ruby bindings to for SELinux policy management
ruby-semantic-puppet (1.0.3-1)
Useful tools for working with semantic versions with Puppet
ruby-semverse (2.0.0-1)
Ruby library for representing SemVer versions and constraints
ruby-sentry-raven (3.0.0-2)
client interface for the Sentry error logger
ruby-sequel (5.41.0-1)
Simple, flexible, and powerful SQL database access toolkit for Ruby
ruby-sequel-pg (1.14.0-1)
Faster SELECTs when using Sequel with pg
ruby-sequenced (3.1.1-1.1)
generate scoped sequential IDs for ActiveRecord models
ruby-serialport (1.3.1-1+b8)
Library for using RS-232 serial ports from Ruby programs
ruby-serverengine (2.2.2-1)
multiprocess server framework
ruby-serverspec (2.41.5-1)
RSpec tests for your servers configured by Puppet, Chef or anything else
ruby-settingslogic (2.0.9-3.1)
simple settings solution for Ruby
ruby-settingslogic-doc (2.0.9-3.1)
simple settings solution for Ruby (documentation)
ruby-sexp-processor (4.15.2-1)
brings all the generic sexp processing tools to ruby
ruby-shadow (2.5.0-1+b4)
interface of shadow password for Ruby
ruby-sham-rack (1.4.1-2)
Net::HTTP-to-Rack plumbing for HTTP testing
ruby-shellany (0.0.1-2.1)
MRI+JRuby compatible command output capturing
ruby-shindo (0.3.8-3)
simple depth first Ruby testing
ruby-shoulda (4.0.0-2)
additional features for the Test::Unit testing framework
ruby-shoulda-context (2.0.0-2)
context framework for Test::Unit
ruby-shoulda-matchers (4.3.0-2)
Test helpers for Rails applications, compatible with Test::Unit and RSpec
ruby-sidekiq (6.0.4+dfsg-2)
Simple, efficient background processing for Ruby
ruby-sidekiq-cron (1.2.0-1)
scheduling add-on for Sidekiq
ruby-sigar (0.7.3-2+b2)
System Information Gatherer And Reporter
ruby-sigdump (0.2.4-6)
SIGQUIT of Java VM for Ruby
ruby-signet (0.14.0-4)
Signet is an OAuth 1.0 / OAuth 2.0 implementation
ruby-simple-captcha2 (0.5.0-1)
simplest and a robust captcha plugin for rails
ruby-simple-oauth (0.3.1-2.1)
Simply builds and verifies OAuth headers
ruby-simple-po-parser (1.1.5-2)
Simple PO file to ruby hash parser
ruby-simplecov (0.19.1-1)
code coverage for Ruby 1.9+
ruby-simplecov-html (0.12.3-1)
default HTML formatter for SimpleCov code coverage tool
ruby-simpleidn (0.1.1-2)
Punycode ACE to unicode UTF-8 (and vice-versa) string conversion.
ruby-sinatra (2.0.8.1-2)
Ruby web-development dressed in a DSL
ruby-sinatra-contrib (2.0.8.1-2)
collection of useful extensions to the Sinatra web framework
ruby-singleton (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-six (0.2.0-4)
very simple authorization library for Ruby
ruby-sixarm-ruby-unaccent (1.2.0-2)
replaces a string's accented characters with unaccented characters
ruby-slack-messenger (2.3.4-1)
Slim ruby wrapper for posting to slack webhooks
ruby-slack-notifier (1.5.1-2)
Ruby wrapper for posting to slack webhooks
ruby-slim (4.0.1-3)
powerful (X)HTML templating engine with an elegant syntax
ruby-slop (4.6.2-1.1)
Simple DSL for gathering options and parsing the command lineOption
ruby-slow-enumerator-tools (1.1.0-1.1)
transformation of Ruby enumerators to produce data slowly and unpredictably
ruby-snmp (1.3.2-1)
simple network management protocol bindings for ruby
ruby-snorlax (0.1.8-1.1)
Snorlax is a flexible controller for Rails APIs
ruby-snowplow-tracker (0.6.1-2)
Ruby Analytics for Snowplow
ruby-soap4r (2.0.5-5)
SOAP library for the Ruby programming language
ruby-socksify (1.7.1+gh-1)
Redirect all TCPSockets through a SOCKS5 proxy
ruby-solve (4.0.0-1)
Ruby version constraint solver implementing semantic versioning
ruby-source-map (3.0.1+git.20120229.bda06a3f-2)
ruby support for source maps in javascripts
ruby-spdx-licenses (1.2.0-2)
SPDX license and identifier lookup
ruby-specinfra (2.82.22-2)
Common layer for serverspec and configspec
ruby-spider (0.5.0-4)
web spidering library for Ruby
ruby-spoon (0.0.6-5)
FFI binding of the posix_spawn function for Ruby
ruby-spreadsheet (1.0.0-1.1)
Ruby library for manipulating MS Excel (.xls) spreadsheets
ruby-spring (2.1.0-2)
Rails application preloader
ruby-spring-commands-rspec (1.0.4-2)
rspec command for spring
ruby-spring-watcher-listen (2.0.1-1.1)
Makes spring watch files using the listen library
ruby-sprite-factory (1.7.1-3)
automatic css sprite generator
ruby-sprockets (3.7.2-1)
Rack-based asset packaging system
ruby-sprockets-export (1.0.0-1.1)
Sprockets Export
ruby-sprockets-rails (3.2.1-1)
Sprockets Rails integration (part of Rails)
ruby-spy (0.4.3-1)
simple modern mocking library using the spy pattern
ruby-sqlite3 (1.4.2-3)
SQLite3 interface for Ruby
ruby-sshkey (2.0.0-3)
SSH private/public key generator in Ruby
ruby-sshkit (1.20.0-2)
toolkit for running commands in a structured way on one or more servers
ruby-stackprof (0.2.15-2)
sampling callstack-profiler for ruby 2.2+
ruby-stamp (0.6.0-1.1)
date and time formatting for humans
ruby-standalone (2.7~5)
Ruby interpreter that won't integrate with Debian packages
ruby-state-machines (0.5.0-3)
Adds support for creating state machines for attributes on any Ruby class
ruby-state-machines-activemodel (0.7.1-2)
ActiveModel integration for State Machines
ruby-state-machines-activerecord (0.6.0-2)
State machines Active Record Integration
ruby-statistics (2.1.1-2)
ruby gem for statistics inspired by the jStat js library
ruby-statsd (1.4.0-1.1)
StatsD client for Ruby
ruby-stomp (1.4.10-1)
Ruby client for the stomp messaging protocol
ruby-string-direction (1.2.2-1)
Automatic detection of text direction (ltr, rtl or bidi) for strings
ruby-stringex (2.8.5-1)
Some useful extensions to Ruby's String class
ruby-stringify-hash (0.0.1-1.1)
ruby hash object that treats symbols and strings interchangeably
ruby-stringio (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-strptime (0.2.5-1+b1)
fast strptime/strftime engine
ruby-strscan (= 1.0.3)
本虛擬套件由這些套件填實: libruby2.7
ruby-stud (0.0.22-1.1)
reusable common code to enhance Ruby's stdlib library
ruby-subexec (0.2.3+gh-2.1)
mechanism for spawning a subprocess
ruby-svg-graph (2.2.1-2)
Pure Ruby library for generating charts in SVG format
ruby-svn (1.14.1-3+deb11u1)
Ruby bindings for Apache Subversion
ruby-swd (1.0.1-2)
SWD (Simple Web Discovery) Client Library
ruby-symboltable (1.0.2-2)
symbols-only hash for Ruby
ruby-sync (0.5.0-2)
two-phase lock with a counter
ruby-sys-filesystem (1.1.7-2)
ruby interface for getting file system information.
ruby-sys-proctable (1.2.6-1)
Ruby interface for gathering process information
ruby-syslog-logger (1.6.8-1.1)
improved Logger replacement that logs to syslog
ruby-systemu (2.6.5-1.1)
universal child process handling Ruby library
ruby-table-print (1.5.6-1)
Ruby library to turn objects into formatted columns
ruby-task-list (2.3.1-1)
GitHub-flavored-Markdown TaskList components
ruby-tdiff (0.3.3-1.1)
Calculates the differences between two tree-like structures
ruby-temple (0.8.2-1)
template compilation framework in Ruby
ruby-term-ansicolor (1.3.0-1.1)
Ruby library that colors strings using ANSI escape sequences
ruby-terminal-table (2.0.0-1)
simple, feature rich ASCII table generation library
ruby-termios (1.1.0-1)
termios simple wrapper for Ruby
ruby-terrapin (0.6.0-2)
Run shell commands safely, even with user-supplied values
ruby-terser (1.0.2+dfsg-4)
Ruby wrapper for Terser JavaScript compressor
ruby-test-construct (2.0.2-1)
Ruby library that creates temporary files and directories for testing
ruby-test-declarative (0.0.6-2)
adds a declarative test method syntax to test/unit
ruby-test-prof (0.12.2+dfsg-1)
Ruby applications tests profiling tools
ruby-test-spec (0.10.0-3.1)
Ruby library providing behaviour driven development interface for Test::Unit
ruby-test-unit (3.3.9-1)
unit testing framework for Ruby
ruby-test-unit-context (0.5.0-2.1)
context for the Test::Unit Ruby testing framework
ruby-test-unit-notify (1.0.4-2.1)
test result notify extension for Ruby Test::Unit
ruby-test-unit-rr (1.0.5-3.1)
RR adapter for Ruby Test::Unit
ruby-test-xml (0.1.8-1.1)
Test your XML with Test::Unit, MiniTest, RSpec, or Cucumber
ruby-text (1.3.0-1.1)
Collection of text algorithms for Ruby
ruby-text-format (1.0.0-5)
Ruby library for text formatting
ruby-text-table (1.2.4-3)
feature-rich, easy-to-use plain text table formatter in Ruby
ruby-thor (1.0.1-1)
Ruby scripting framework
ruby-threach (0.2.0-2)
Threaded each
ruby-thread-order (1.1.0-1.1)
test helper for ordering threaded code
ruby-thread-safe (0.3.6-1)
thread-safe collections and utilities for Ruby
ruby-thrift (0.11.0.0-3+b1)
Ruby bindings for Apache Thrift
ruby-thwait (0.1.0-2)
watches for termination of multiple threads
ruby-tilt (2.0.10-1)
Generic interface to multiple Ruby template engines
ruby-timecop (0.9.1-1)
Ruby library to easily test time-dependent code
ruby-timeliness (0.3.10-2)
Date/time parsing with better control
ruby-timeout (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-timers (4.1.1-2.1)
pure Ruby timer collections
ruby-timfel-krb5-auth (0.8.3-3+b1)
Kerberos binding for Ruby
ruby-tins (1.1.0-2)
useful tools library in Ruby
ruby-tioga (1.19.1-3+b1)
Ruby library for scientific graphs
ruby-tioga-doc (1.19.1-3)
Ruby library for scientific graphs
ruby-to-regexp (0.2.1-2)
safe way to convert strings to regexps (with options)
ruby-tokyocabinet (1.31-5+b5)
Ruby Binding of Tokyo Cabinet Database
ruby-toml (0.2.0-3.1)
TOML Parser library for Ruby
ruby-toml-rb (1.0.0-2)
Toml parser in ruby, for ruby
ruby-tomlrb (1.3.0-1)
Racc based TOML parser library for Ruby
ruby-tool (0.2.3-1.1)
general purpose Ruby library used by Sinatra 2.0 and Mustermann
ruby-torquebox-no-op (3.1.2-1.1)
emulate TorqueBox APIs outside of TorqueBox
ruby-tpm-key-attestation (0.10.0-2)
TPM Key Attestation verifier
ruby-tracer (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-train-core (3.2.28-2)
transport interface to talk to a selected set of backends (core)
ruby-treetop (1.6.8-1)
Ruby-based text parsing and interpretation DSL
ruby-trollop (2.0-2.1)
command-line argument processing library
ruby-truncato (0.7.11-1)
tool for truncating HTML strings efficiently
ruby-ttfunk (1.6.2.1-1)
Ruby library to parse TrueType font metrics
ruby-tty-color (0.6.0-1)
terminal color capabilities detection
ruby-tty-command (0.9.0-2)
execution from Ruby of shell commands with pretty output logging
ruby-tty-cursor (0.7.1-2)
Library to help move the terminal cursor around and manipulate text
ruby-tty-platform (0.3.0-2)
query methods to detect operating systems and their properties
ruby-tty-prompt (0.21.0-1)
Library that provides an interactive command line prompt
ruby-tty-reader (0.7.0-2)
Library for processing keyboard input in character, line and multiline modes
ruby-tty-screen (0.7.1-2)
Library providing cross-platform terminal screen size detection
ruby-tty-spinner (0.9.3-2)
Library for showing a spinner icon for terminal tasks
ruby-tty-which (0.4.2-2)
platform-independent implementation of Unix which command
ruby-turbolinks (5.1.1-2)
following links in your Rails web application faster
ruby-turbolinks-source (5.1.0+dfsg-2)
Provides Turbolinks as a ruby gem
ruby-twitter (7.0.0-1)
Ruby interface to the Twitter API
ruby-twitter-oauth (0.4.94-4.1)
Twitter OAuth REST API client library for Ruby
ruby-twitter-stream (0.1.16-3)
Twitter realtime API client for Ruby
ruby-twitter-text (1.14.7+conformance-2)
library that does auto linking and extraction items in tweets
ruby-typed-array (0.1.2-7)
Ruby library providing enforced-type functionality to Arrays
ruby-typhoeus (1.4.0-1)
parallel HTTP library on top of ethon
ruby-tzinfo (1.2.6-1)
Daylight-savings aware timezone library
ruby-u2f (0.2.1-2)
U2F server side library
ruby-uber (0.1.0-1.1)
gem-authoring framework
ruby-uconv (0.6.1-3+b4)
Unicode/EUC-JP translation module for Ruby
ruby-uglifier (2.7.2+dfsg-2)
Ruby wrapper for UglifyJS JavaScript compressor
ruby-unf (0.1.4-2)
Wrapper library to bring Unicode Normalization Form support to Ruby
ruby-unf-ext (0.0.7.6-1+b3)
Unicode Normalization Form support library for CRuby
ruby-unicode (0.4.4.4-1+b1)
Unicode string manipulation library for Ruby
ruby-unicode-display-width (1.6.1-1)
Determines the monospace display width of a string in Ruby
ruby-unicode-plot (0.0.4-2)
Plot your data by Unicode characters
ruby-unicode-utils (1.4.0-2)
additional Unicode aware functions for Ruby
ruby-unicorn-worker-killer (0.4.4-2)
library to kill unicorn workers by memory and request counts
ruby-unidecode (1.0.0-2)
Transliteratively convert UTF-8 text to US-ASCII
ruby-uniform-notifier (1.13.0-2)
Uniform notifier for a number of platforms
ruby-unindent (1.0-3)
Ruby method to unindent strings
ruby-unleash (0.1.6-2)
Unleash feature toggle client
ruby-unparser (0.4.7-2)
Generate equivalent source for parser gem AST nodes
ruby-upr (0.3.0-3)
Upload Progress for Rack
ruby-uri (= 0.10.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-uri-template (0.7.0-2)
templating system for URIs
ruby-url-safe-base64 (0.2.2-2)
converter for strings that contains only url-safe characters
ruby-user-agent-parser (2.5.1-2)
Simple and comprehensive Ruby gem for parsing user agent strings
ruby-useragent (0.16.8-1.1)
HTTP User Agent parser
ruby-uuid (2.3.9-1.1)
UUID generator for Ruby
ruby-uuidtools (2.2.0-1)
UUIDs generation library for Ruby
ruby-uuidtools-doc (2.2.0-1)
UUIDs generation library for Ruby - documentation
ruby-vagrant-cloud (3.0.2-1)
Vagrant Cloud API Library
ruby-valid (1.0.0-2.1)
standalone, generic object validator for ruby
ruby-valid-email (0.1.3-3)
ActiveModel Validation for email
ruby-validatable (1.6.7-10.1)
Ruby library for adding validations
ruby-validate-email (0.1.6+git-1)
Library for validating email addresses in Rails 3 models
ruby-validate-url (1.0.2+git-2)
Library for validating urls in Rails
ruby-validates-hostname (1.0.11-1)
checks for valid hostnames
ruby-varia-model (0.6.0-1)
Ruby library that provide objects with magic attribute features
ruby-vcr (6.0.0+really5.0.0-1)
Record and replay HTTP interactions (Ruby library)
ruby-version-sorter (2.2.4-2+b1)
Fast sorting of version strings
ruby-versionist (2.0.1-2)
version for Rails based RESTful APIs
ruby-versionomy (0.5.0-2)
Generalized version number class for Ruby
ruby-vips (2.0.17-1)
Ruby extension for the vips image processing library
ruby-virtus (1.0.5-3)
attributes on steroids for plain old Ruby objects
ruby-vmstat (2.3.0-3+b1)
library to gather system information
ruby-voight-kampff (1.1.3-4)
detects bots, spiders, crawlers and replicants
ruby-wait-for-it (0.2.1-2)
Stop sleeping in your tests, instead wait for it
ruby-warden (1.2.8-1)
Rack middleware that provides authentication for rack applications
ruby-wavefile (0.6.0-2)
Pure Ruby library for reading and writing Wave sound files (*.wav)
ruby-web-console (4.0.1-2)
Ruby on Rails debugger
ruby-webauthn (2.4.0-2)
WebAuthn ruby server library
ruby-webfinger (1.0.2-2)
Ruby WebFinger client library
ruby-webkit2-gtk (3.4.3-1)
WebKitGTK+ bindings for the Ruby language
ruby-webmock (3.8.3-1)
library for stubbing HTTP requests in Ruby
ruby-webpack-rails (0.9.11+git-1)
Webpack & Rails integration made easier
ruby-webpacker (4.2.2-7)
Use webpack to manage app-like JavaScript modules in Rails
ruby-webrick (= 1.6.1)
本虛擬套件由這些套件填實: libruby2.7
ruby-webrobots (0.1.2-1)
Library for creating robots.txt-aware web robots
ruby-websocket (1.2.8-2)
Universal Ruby library to handle WebSocket protocol
ruby-websocket-driver (0.6.3-3+b1)
WebSocket protocol handler with pluggable I/O
ruby-websocket-extensions (0.1.5-1)
Generic extension manager for WebSocket connections
ruby-whenever (1.0.0-1)
Ruby library to abstract writing and deploying cron jobs
ruby-whitequark-parser (2.7.1.4-2)
Ruby parser written in pure Ruby
ruby-whitewash (2.1-1.1)
Whitelist-based HTML filter for Ruby
ruby-wikicloth (0.8.1+dfsg-4)
MediaWiki markup language parser for Ruby
ruby-will-paginate (3.3.0-1)
Pagination for Rails
ruby-wisper (2.0.1-2)
micro library providing objects with Publish-Subscribe capabilities
ruby-xapian (1.4.18-1)
Xapian search engine interface for Ruby
ruby-xdg (2.2.3-1.1)
Ruby interface for using XDG directory standard
ruby-xml-simple (1.1.5-1.1)
Simple Ruby API for reading and writing XML
ruby-xmlhash (1.3.6-2+b7)
parse a XML string into a ruby hash
ruby-xmlhash-dbg (1.3.6-2+b7)
parse a XML string into a ruby hash (debug symbols)
ruby-xmlparser (0.7.3-4)
Ruby interface for the expat XML parser toolkit
ruby-xmlrpc (0.3.0-2)
XMLRPC library for Ruby
ruby-xmmsclient (0.8+dfsg-21)
XMMS2 - Ruby bindings
ruby-xmpp4r (0.5.6-2)
XMPP/Jabber library for ruby
ruby-xpath (3.2.0-1)
Ruby library to generate XPath expressions
ruby-ya2yaml (0.31-1.1)
UTF8 safe YAML dumper
ruby-yajl (1.4.1-1+b1)
Ruby interface to Yajl, a JSON stream-based parser library
ruby-yaml (= 0.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-yaml-db (0.7.0-1)
library to export/import of database into/from yaml files
ruby-yell (2.2.2-1)
Your Extensible Logging Library for Ruby
ruby-zeitwerk (2.4.2-1)
Efficient and thread-safe constant autoloader
ruby-zentest (4.11.0-2)
ZenTest provides 4 different tools: zentest, unit_diff, autotest, and multiruby
ruby-zip (2.0.0-2)
Ruby module for reading and writing zip files
ruby-zip-zip (0.3+gh-1.1)
ease the migration to RubyZip v1.0.0
ruby-zlib (= 1.1.0)
本虛擬套件由這些套件填實: libruby2.7
ruby-zoom (0.5.0-2+b1)
Ruby/ZOOM provides a Ruby binding to the Z40.50 Object-Orientation Model
ruby2.7 (2.7.4-1+deb11u1)
Interpreter of object-oriented scripting language Ruby
ruby2.7-dev (2.7.4-1+deb11u1)
Header files for compiling extension modules for the Ruby 2.7
ruby2.7-doc (2.7.4-1+deb11u1)
Documentation for Ruby 2.7
rubygems
本虛擬套件由這些套件填實: ruby
rubygems-integration (1.18)
integration of Debian Ruby packages with Rubygems
rumur (2020.12.20-1)
model checker for the Murphi language
run-mailcap
本虛擬套件由這些套件填實: mailcap
runawk (1.6.0-2+b1)
wrapper for AWK interpreter implementing modules
runc (1.0.0~rc93+ds1-5+deb11u3)
Open Container Project - runtime
runcircos-gui (0.0+git20200528.82dda8c-1)
GUI tool to run circos
runescape (0.8-2) [non-free]
Multiplayer online game set in a fantasy world
rungetty (1.2-16+b1)
minimal console getty that can run any process
runit (2.1.2-41)
system-wide service supervision
runit-helper (2.10.3)
dh-runit implementation detail
runit-init (2.1.2-41)
system-wide service supervision (as init system)
runit-run (2.1.2-41)
service supervision (systemd and sysv integration)
runit-systemd (2.1.2-41)
transitional package for runit-systemd users
runlim (1.10-6)
tool for sampling time and memory usage
runoverssh (3.0.3-1)
POSIX-compliant shell script for running shell commands and scripts over SSH
rusers (0.17-10+b1 [amd64], 0.17-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Displays who is logged in to machines on local network
rusersd (0.17-10+b1 [amd64], 0.17-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Logged in users server
rush (1.8+dfsg-1.1)
restricted user shell
rust-doc (1.48.0+dfsg1-2)
Rust systems programming language - Documentation
rust-gdb (1.48.0+dfsg1-2)
Rust debugger (gdb)
rust-lldb (1.48.0+dfsg1-2)
Rust debugger (lldb)
rust-mozilla-doc (1.63.0+dfsg1-2~deb11u1)
Rust systems programming language - Documentation
rust-mozilla-gdb (1.63.0+dfsg1-2~deb11u1)
Rust debugger (gdb)
rust-mozilla-lldb (1.63.0+dfsg1-2~deb11u1)
Rust debugger (lldb)
rust-mozilla-src (1.63.0+dfsg1-2~deb11u1)
Rust systems programming language - source code
rust-src (1.48.0+dfsg1-2)
Rust systems programming language - source code
rustc (1.48.0+dfsg1-2)
Rust systems programming language
rustc (= 1.51.0+dfsg1-1~deb11u1)
本虛擬套件由這些套件填實: rustc-mozilla
rustc (= 1.63.0+dfsg1-2~deb11u1)
本虛擬套件由這些套件填實: rustc-mozilla
rustc-mozilla (1.63.0+dfsg1-2~deb11u1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.51.0+dfsg1-1~deb11u1 [armel])
Rust systems programming language
rustdoc-stripper (0.1.12-1+b1)
Strip rustdoc comments from source code
rusty-tags (3.5.1-3+b1)
generate tags for source code navigation for a cargo project
rviz (1.14.4+dfsg-3+b1)
3D visualization tool for Robot OS
rwall (0.17-8+b1 [amd64], 0.17-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Send a message to users logged on a host
rwalld (0.17-8+b1 [amd64], 0.17-8 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Write messages to users currently logged in server
rwho (0.17-14+b1 [amd64], 0.17-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Clients to query the rwho server
rwhod (0.17-14+b1 [amd64], 0.17-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
System status server
rxp (1.5.0-3)
Validating XML parser
rxvt (1:2.7.10-7.1+urxvt9.22-11)
dummy transitional package for rxvt-unicode
rxvt
本虛擬套件由這些套件填實: rxvt-unicode
rxvt-ml (1:2.7.10-7.1+urxvt9.22-11)
dummy transitional package for rxvt-unicode
rxvt-unicode (9.22-11)
RXVT-like terminal emulator with Unicode and 256-color support
rxvt-unicode-256color (9.22-11)
dummy transitional package for rxvt-unicode
rxvt-unicode-lite (9.22-11)
dummy transitional package for rxvt-unicode
rygel (0.40.0-1)
GNOME UPnP/DLNA services
rygel-2.6-dev (0.40.0-1)
GNOME UPnP/DLNA services - plugin development files
rygel-gst-launch (0.40.0-1)
GNOME UPnP/DLNA services - gst-launch plugin
rygel-playbin (0.40.0-1)
GNOME UPnP/DLNA services - GStreamer Media Renderer plugin
rygel-preferences (0.40.0-1)
GNOME UPnP/DLNA services - preferences tool
rygel-ruih (0.40.0-1)
GNOME UPnP/DLNA services - Ruih plugin
rygel-tracker (0.40.0-1)
GNOME UPnP/DLNA services - Tracker plugin
rzip (2.1-4.1)
compression program for large files
s-el (1.12.0-4)
transitional dummy package, s-el to elpa-s
s-nail (14.9.22-1)
feature-rich BSD mail(1)
s-tui (1.0.2-2)
terminal UI for monitoring your computer
s3270 (4.0ga12-3)
Program for scripted telnet sessions to IBM mainframes
s390-dasd (0.0.74~deb11u1)
Configure DASD
s390-netdevice (0.0.76)
Configure network hardware
s390-sysconfig-writer (0.7)
Sysconfig writer
s390-tools (2.15.1-2)
Set of fundamental utilities for Linux on S/390
s390-tools-udeb (2.15.1-2)
Set of utilities for Linux on S/390
s390-zfcp (1.0.6)
Activate and configure FCP devices for installation
s3backer (1.5.4-2)
Amazon AWS S3-backed virtual hard disk device
s3cmd (2.1.0-2)
command-line Amazon S3 client
s3curl (20171008-1.1)
Easily interact with AWS S3 HTTP services
s3d (0.2.2.1-3+b1)
3d network display server
s3d-data (0.2.2.1-3)
3d network display server data files
s3d-doc (0.2.2.1-3)
Documentation for s3d
s3dfm (0.2.2.1-3+b1)
s3d file manager
s3dosm (0.2.2.1-3+b1)
gps card application for s3d
s3dvt (0.2.2.1-3+b1)
3d terminal emulator for s3d
s3dx11gate (0.2.2.1-3+b1)
3d gateway for x11 desktops
s3fs (1.89-1)
FUSE-based file system backed by Amazon S3
s3switch (0.1-1+b1)
Manage the output device on S3 Savage chips
s4cmd (2.1.0+ds-2)
Super Amazon S3 command line tool
s5 (1.1.dfsg.2-9)
simple HTML-based presentation system
s51dude (0.3.1-1.1+b1)
In-System Programmer for 8051 MCUs using usbtiny
s6 (2.10.0.2-1)
small and secure supervision software suite
s6-doc (2.10.0.2-1)
small and secure supervision software suite (documentation)
sa-compile (3.4.6-1)
Tools for compiling SpamAssassin rules into C
sa-exim (4.2.1-20)
SpamAssassin filter for Exim
sablecc (3.7-2)
Object-oriented fully featured parser generator
sabnzbdplus (3.1.1+dfsg-2+deb11u1) [contrib]
web-based binary newsreader with nzb support
sac (1.9b5-3+b2)
Login accounting
sac2mseed (1.12+ds1-3)
Convert SAC waveform data to MiniSEED
sacc (1.00-1+b1 [amd64], 1.00-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
simple terminal gopher client
sachesi (2.0.4+ds-4)
BlackBerry 10 device utility
safe-rm (1.1.0-2)
wrapper around the rm command to prevent accidental deletions
safecat (1.13-3+b1)
Safely copy stdin to a file
safecopy (1.7-6)
data recovery tool for problematic or damaged media
safeeyes (2.0.6-1)
Protect your eyes from eye strain using this continuous breaks
safelease (1.0.1-1)
Legacy locking utility for Vdsm
saga (7.3.0+dfsg-5)
System for Automated Geoscientific Analyses
saga-common (7.3.0+dfsg-5)
SAGA GIS architecture independent files
sagan (1.2.0-1.2)
Real-time System & Event Log Monitoring System
sagan-rules (1:20170725-1.1)
Real-time System & Event Log Monitoring System [rules]
sagemath (9.2-2)
Open Source Mathematical Software
sagemath-common (9.2-2)
Open Source Mathematical Software - architecture-independent files
sagemath-database-conway-polynomials (0.5-8)
Database of Conway polynomials
sagemath-database-cremona-elliptic-curves (0~20191029-3)
Databases of elliptic curves over the rationals
sagemath-database-elliptic-curves (0.8.1-5)
Databases for elliptic curves
sagemath-database-graphs (20161026+dfsg-5)
Databases of graphs
sagemath-database-mutually-combinatorial-designs (20140630-6)
Databases of combinatorial designs
sagemath-database-polytopes (20170220-5)
Databases of polytopes
sagemath-doc (9.2-2)
Open Source Mathematical Software - documentation
sagemath-doc-en (9.2-2)
transitional package
sagemath-jupyter (9.2-2)
Open Source Mathematical Software - Jupyter kernel
sagenb-export (= 3.2-4)
本虛擬套件由這些套件填實: python3-sagenb-export
sagetex (3.5+ds-2)
Embed Sage code and plots into LaTeX
sagetex-doc (3.5+ds-2)
Embed Sage code and plots into LaTeX -- doc
sahara (1:13.0.0-1)
OpenStack data processing cluster as a service - API & Engine
sahara-api (1:13.0.0-1)
OpenStack data processing cluster as a service - API server
sahara-common (1:13.0.0-1)
OpenStack data processing cluster as a service - common files
sahara-doc (1:13.0.0-1)
OpenStack data processing cluster as a service - doc
sahara-engine (1:13.0.0-1)
OpenStack data processing cluster as a service - Engine server
saidar (0.92-2)
curses-based program which displays live system statistics
sailcut (1.4.1-2.1)
Sail design and plotting software
sailcut-doc (1.4.1-2.1)
Sailcut CAD documentation
saint (2.5.0+dfsg-4)
Significance Analysis of INTeractome
sakura (3.7.1-2)
simple but powerful libvte-based terminal emulator
salliere (0.10-3.1)
Bridge duplicate scorer
salmid (0.1.23-2)
rapid Kmer based Salmonella identifier from sequence data
salmon (1.4.0+ds1-1+b4)
wicked-fast transcript quantification from RNA-seq data
salt-api (3002.6+dfsg1-4+deb11u1)
Generic, modular network access system
salt-cloud (3002.6+dfsg1-4+deb11u1)
public cloud VM management system
salt-common (3002.6+dfsg1-4+deb11u1)
shared libraries that salt requires for all packages
salt-doc (3002.6+dfsg1-4+deb11u1)
additional documentation for salt, the distributed remote execution system
salt-master (3002.6+dfsg1-4+deb11u1)
remote manager to administer servers via salt
salt-minion (3002.6+dfsg1-4+deb11u1)
client package for salt, the distributed remote execution system
salt-pepper (0.5.5-1)
Library and stand-alone CLI tools to access a salt-api instance
salt-proxy (3002.6+dfsg1-4+deb11u1)
Proxy client package for salt stack
salt-ssh (3002.6+dfsg1-4+deb11u1)
remote manager to administer servers via Salt SSH
salt-syndic (3002.6+dfsg1-4+deb11u1)
master-of-masters for salt, the distributed remote execution system
samba (2:4.13.13+dfsg-1~deb11u6) [security]
SMB/CIFS file, print, and login server for Unix
samba-client
本虛擬套件由這些套件填實: smbclient
samba-common (2:4.13.13+dfsg-1~deb11u6) [security]
common files used by both the Samba server and client
samba-common-bin (2:4.13.13+dfsg-1~deb11u6) [security]
Samba common files used by both the server and the client
samba-dev (2:4.13.13+dfsg-1~deb11u6) [security]
tools for extending Samba
samba-dsdb-modules (2:4.13.13+dfsg-1~deb11u6) [security]
Samba Directory Services Database
samba-libs (2:4.13.13+dfsg-1~deb11u6) [security]
Samba core libraries
samba-testsuite (2:4.13.13+dfsg-1~deb11u6) [security]
test suite from Samba
samba-vfs-modules (2:4.13.13+dfsg-1~deb11u6) [security]
Samba Virtual FileSystem plugins
sambamba (0.8.0-1)
tools for working with SAM/BAM data
samblaster (0.1.26-1)
marks duplicates, extracts discordant/split reads
samclip (0.4.0-2)
filter SAM file for soft and hard clipped alignments
samdump2 (3.0.0-7)
Dump Windows 2k/NT/XP password hashes
samhain (4.1.4-2+b2)
Data integrity and host intrusion alert system
samizdat (0.7.1-1)
Web collaboration and open publishing engine
saml-identity-provider
本虛擬套件由這些套件填實: lemonldap-ng
saml-service-provider
本虛擬套件由這些套件填實: lemonldap-ng
samplerate-programs (0.2.1+ds0-1)
Sample programs that use libsamplerate - Transitional package
samplerate-programs
本虛擬套件由這些套件填實: sndfile-tools
samplv1 (0.9.20-1)
polyphonic sampler synthesizer - standalone
samplv1-common (0.9.20-1)
polyphonic sampler synthesizer - common files
samplv1-lv2 (0.9.20-1)
polyphonic sampler synthesizer - LV2 plugin
samtools (1.11-1)
processing sequence alignments in SAM, BAM and CRAM formats
samtools-test (1.11-1)
test files for the samtools package
sane (1.0.14-16)
scanner graphical frontends
sane-airscan (0.99.24-1)
SANE backend for AirScan (eSCL) and WSD document scanner
sane-utils (1.0.31-4.1)
API library for scanners -- utilities
sanitizer (1.76-5.1)
The Anomy Mail Sanitizer - an email virus scanner
sanlock (3.8.2-2)
Shared storage lock manager
sanoid (2.0.3-4)
Policy-driven ZFS snapshot management and replication tool
saods9 (8.2+repack-2)
Image display tool for astronomy
saods9-doc (8.2+repack-2)
Image display tool for astronomy (help files/documentation)
sapphire (0.15.8-9.1)
A minimal but configurable X11R6 window manager
sash (3.8-5+b13)
Stand-alone shell
sasl2-bin (2.1.27+dfsg-2.1+deb11u1)
Cyrus SASL - administration programs for SASL users database
sasm (3.11.1-1)
simple IDE for NASM, GAS and FASM assembly languages
sasmodels-private-libs (1.0.4-3)
Theoretical models for small angle scattering (compiled models)
sass
本虛擬套件由這些套件填實: ruby-sass
sass-elisp (3.0.15-4.4)
Emacs Lisp mode for the Sass markup language
sass-spec (3.6.3-1.1)
testsuite for Sass - compliance testing tool
sass-spec-data (3.6.3-1.1)
testsuite for Sass - data files
sass-stylesheets-blend-modes
本虛擬套件由這些套件填實: compass-blend-modes-plugin
sass-stylesheets-blueprint
本虛擬套件由這些套件填實: compass-blueprint-plugin
sass-stylesheets-bootstrap
本虛擬套件由這些套件填實: libjs-bootstrap4
sass-stylesheets-bourbon (7.0.0-2)
lightweight Sass tool set
sass-stylesheets-breakpoint
本虛擬套件由這些套件填實: compass-breakpoint-plugin
sass-stylesheets-color-schemer
本虛擬套件由這些套件填實: compass-color-schemer-plugin
sass-stylesheets-compass (0.12.10-2)
mixins for Sass derived from Compass
sass-stylesheets-elusive-icons
本虛擬套件由這些套件填實: fonts-elusive-icons
sass-stylesheets-fancy-buttons
本虛擬套件由這些套件填實: compass-fancy-buttons-plugin
sass-stylesheets-fonts-awesome
本虛擬套件由這些套件填實: fonts-font-awesome
sass-stylesheets-gutenberg (0.6.1-1)
mixins for Sass for print-optimized styling
sass-stylesheets-h5bp
本虛擬套件由這些套件填實: compass-h5bp-plugin
sass-stylesheets-layout-gala
本虛擬套件由這些套件填實: compass-layoutgala-plugin
sass-stylesheets-neat (4.0.0-2)
lightweight and flexible Sass grid
sass-stylesheets-sass-extras (1.0.0~ds-2)
useful utilities for working with Sass
sass-stylesheets-sassy-maps
本虛擬套件由這些套件填實: compass-sassy-maps-plugin
sass-stylesheets-toolkit
本虛擬套件由這些套件填實: compass-toolkit-plugin
sass-stylesheets-typey (1.1.2-2)
framework for working with typography in sass
sassc (3.6.1+20201027-1)
C/C++ port of the Sass CSS precompiler - command-line tool
sasview (5.0.3-3)
Small Angle Scattering Analysis suite
sasview-doc (5.0.3-3)
Small Angle Scattering Analysis (documentation)
sat-pubsub (0.4.0~hg437.454f61a32427-1)
XMPP Publish-Subscribe service component
sat-templates (0.8.0~hg297.0657982e81af+dfsg-3)
collection of templates for Salut à Toi
sat-xmpp-core (0.8.0~hg3453.864485605d12-3)
Salut à Toi XMPP-based communication and sharing tool (core)
sat-xmpp-jp (0.8.0~hg3453.864485605d12-3)
Salut à Toi XMPP-based communication and sharing tool (command-line interface)
sat-xmpp-primitivus (0.8.0~hg3453.864485605d12-3)
Salut à Toi XMPP-based communication and sharing tool (console interface)
sat4j (2.3.5-0.3)
Efficient library of SAT solvers in Java
sata-modules
本虛擬套件由這些套件填實: sata-modules-5.10.0-27-686-pae-di, sata-modules-5.10.0-28-amd64-di, sata-modules-5.10.0-26-octeon-di, sata-modules-5.10.0-27-arm64-di, sata-modules-5.10.0-26-arm64-di, sata-modules-5.10.0-25-powerpc64le-di, sata-modules-5.10.0-26-powerpc64le-di, sata-modules-5.10.0-27-octeon-di, sata-modules-5.10.0-25-marvell-di, sata-modules-5.10.0-26-armmp-di, sata-modules-5.10.0-28-marvell-di, sata-modules-5.10.0-25-5kc-malta-di, sata-modules-5.10.0-26-5kc-malta-di, sata-modules-5.10.0-25-amd64-di, sata-modules-5.10.0-28-686-pae-di, sata-modules-5.10.0-26-loongson-3-di, sata-modules-5.10.0-27-armmp-di, sata-modules-5.10.0-25-armmp-di, sata-modules-5.10.0-27-amd64-di, sata-modules-5.10.0-26-686-pae-di, sata-modules-5.10.0-27-686-di, sata-modules-5.10.0-28-5kc-malta-di, sata-modules-5.10.0-26-686-di, sata-modules-5.10.0-26-4kc-malta-di, sata-modules-5.10.0-25-octeon-di, sata-modules-5.10.0-27-4kc-malta-di, sata-modules-5.10.0-28-686-di, sata-modules-5.10.0-27-5kc-malta-di, sata-modules-5.10.0-27-powerpc64le-di, sata-modules-5.10.0-28-powerpc64le-di, sata-modules-5.10.0-26-amd64-di, sata-modules-5.10.0-27-loongson-3-di, sata-modules-5.10.0-26-marvell-di, sata-modules-5.10.0-28-armmp-di, sata-modules-5.10.0-27-marvell-di, sata-modules-5.10.0-25-loongson-3-di, sata-modules-5.10.0-25-4kc-malta-di, sata-modules-5.10.0-28-loongson-3-di, sata-modules-5.10.0-25-686-pae-di, sata-modules-5.10.0-28-arm64-di, sata-modules-5.10.0-25-arm64-di, sata-modules-5.10.0-25-686-di, sata-modules-5.10.0-28-octeon-di, sata-modules-5.10.0-28-4kc-malta-di
sata-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-686-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
SATA drivers
sata-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-686-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-686-pae-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-amd64-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-arm64-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-armmp-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-loongson-3-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-marvell-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-octeon-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
SATA drivers
sata-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-686-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
SATA drivers
sata-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-686-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-686-pae-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-amd64-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-arm64-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-armmp-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-loongson-3-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-marvell-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-octeon-di (5.10.209-2)
SATA drivers
sata-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
SATA drivers
sauce (0.9.1)
SMTP defence software against spam
sauerbraten (0.0.20201227-1) [non-free]
3D first-person shooter game
sauerbraten-server (0.0.20201227-1) [non-free]
standalone server for the Sauerbraten game
save-logs (2.78)
save logs and configuration for debug purposes
savi (1.5.1-4)
satellite constellation visualisation
sawfish (1:1.11.90-1.2)
window manager for X11
sawfish-data (1:1.11.90-1.2)
sawfish architecture independent data
sawfish-lisp-source (1:1.11.90-1.2)
sawfish lisp files
sawfish-themes (0.13+nmu1)
Themes for the Sawfish window manager
sayonara (1.6.0~beta6+ds-1.1)
Small, clear and fast audio player
saytime (1.0-34)
speaks the current time through your sound card
sb16ctrl-bochs (2.6.11+dfsg-4)
control utility for Bochs emulated SB16 card
sbc-tools (1.5-3)
Sub Band CODEC library - tools
sbcl (2:2.1.1-2)
Common Lisp compiler and development system
sbcl-doc (2:2.1.1-2)
Documentation for Steel Bank Common Lisp
sbcl-fasl-loader-78
本虛擬套件由這些套件填實: sbcl
sbcl-source (2:2.1.1-2)
Source code files for SBCL
sbd (1.4.2-1+b1)
STONITH Block Device daemon
sblim-wbemcli (1.6.3-2)
WBEM Command Line Interface for CIMOM access
sbmltoolbox (4.1.0-5)
libsbml toolbox for octave and matlab
sbox-dtc (1.11.7-1+b2)
CGI chroot wrapper script for safer hosting environment
sbrsh (7.6.1+b2)
Scratchbox Remote Shell client
sbrshd (7.6.1+b2)
Scratchbox Remote Shell daemon
sbsigntool (0.9.2-2)
Tools to manipulate signatures on UEFI binaries and drivers
sbt-ivy (2.4.0~rc1+dfsg-2)
agile dependency manager
sbt-ivy-doc (2.4.0~rc1+dfsg-2)
agile dependency manager (documentation)
sbuild (0.81.2+deb11u1)
Tool for building Debian binary packages from Debian sources
sbuild-debian-developer-setup (0.81.2+deb11u1)
Convenience script to set up an sbuild environment for Debian Developers
sbuild-qemu (0.81.2+deb11u1)
Utilities for using sbuild with QEMU images
sbws (1.2.0-1)
Simple Bandwidth Scanner for the Tor network
sbws-doc (1.2.0-1)
Simple Bandwidth Scanner
sc (7.16-4+b3)
Text-based spreadsheet with VI-like keybindings
sc3-plugins (3.9.1~repack-4)
Community collection of UGen plugins for SuperCollider
sc3-plugins-language (3.9.1~repack-4)
sclang class files of UGen plugins for SuperCollider
sc3-plugins-server (3.9.1~repack-4)
Compiled scsynth UGen plugins for SuperCollider
scala (2.11.12-4)
Scala programming language
scala-asm (5.2.0-scala-2-1)
Fork of ASM for the Scala Compiler
scala-doc (2.11.12-4)
Scala API documentation
scala-library (2.11.12-4)
Scala standard library
scala-mode-el (1:1.1.0-2)
transitional dummy package, scala-mode-el to elpa-scala-mode
scala-mode-el
本虛擬套件由這些套件填實: elpa-scala-mode
scala-parser-combinators (1.0.3-3.1)
Scala Standard Parser Combinator Library
scala-xml (1.0.3-3.1)
Standard Scala XML library
scalable-cyrfonts-tex (4.17+nmu1)
Scalable Cyrillic fonts for TeX
scalapack-doc (1.5-11)
Scalable Linear Algebra Package Documentation
scalapack-mpi-test (2.1.0-4)
Scalable Linear Algebra Package - Test files for MPI
scalapack-mpich-test
本虛擬套件由這些套件填實: scalapack-mpi-test
scalapack-test-common (2.1.0-4)
Test data for ScaLAPACK testers
scalpel (1.60-9)
fast filesystem-independent file recovery
scamp (2.10.0-2)
Compute astrometric and photometric solutions
scamper (20191102-1)
parallel Internet measurement utility
scanbd (1.5.1-6)
Scanner button daemon
scanmem (0.17-3)
locate and modify a variable in a running process
scanssh (2.0-4.1+b3 [mips64el], 2.0-4.1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
get SSH server versions for an entire network
scantool (2.1-2.1)
OBD-II vehicle diagnostic scanner
scantv (3.107-1)
television channel-scanner
scapy
本虛擬套件由這些套件填實: python3-scapy
scdaemon (2.2.27-2+deb11u2)
GNU privacy guard - smart card support
scdoc (1.11.1-1)
Simple man page generator written for POSIX systems written in C99
schedtool (1.3.0-4)
Queries/alters process' scheduling policy and CPU affinity
schema2ldif (1.3-3)
Tool for converting OpenLDAP-style schemas to the LDIF format
scheme-chez-srfi (0.0+git20201107.bac6f29+dfsg-2)
SRFI libraries for Chez Scheme and others
scheme-r5rs
本虛擬套件由這些套件填實: scheme48
scheme-srfi-7
本虛擬套件由這些套件填實: scheme48
scheme48 (1.9.2-2)
simple, modular, and lightweight Scheme implementation
scheme48-doc (1.9.2-2)
Documentation for the Scheme48 implementation of Scheme
scheme9 (2018.12.05-2)
Scheme 9 from Empty Space R4RS Scheme interpreter
schism (2:20200412-1)
ImpulseTracker clone aiming at providing the same look&feel
schleuder (3.6.0-3+deb11u2)
encrypting mailing list manager with remailing-capabilities
schleuder-cli (0.1.0-4)
command line tool to configure schleuder mailing lists
schleuder-gitlab-ticketing (1.0.0-2)
filter plugin to hook Schleuder into a GitLab issue tracker
schroot (1.6.10-12+deb11u1)
Execute commands in a chroot environment
schroot-common (1.6.10-12+deb11u1)
common files for schroot
scid (1:4.7.0+dfsg1-2)
chess database with play and training functionality
scid-data (1:4.7.0+dfsg1-2)
data files for scid, the chess database application
scid-rating-data (200901-3)
contains spelling corrections and ELO ratings for scid chess databases
scid-spell-data (200901-3)
contains spelling corrections for scid chess databases
science-all (1.14.2)
Default selection of tasks for Debian Science
science-biology (1.14.2)
Debian Science Biology packages
science-chemistry (1.14.2)
Debian Science Chemistry packages
science-config (1.14.2)
Debian Science Project config package
science-dataacquisition (1.14.2)
Debian Science data acquisition packages
science-dataacquisition-dev (1.14.2)
Debian Science data acquisition development packages
science-datamanagement (1.14.2)
Debian Science Data Management packages
science-distributedcomputing (1.14.2)
Debian Science Distributed Computing packages
science-economics (1.14.2)
Debian Science Economics packages
science-electronics (1.14.2)
Debian Science Electronics transitional package
science-electrophysiology (1.14.2)
Debian Science packages for Electrophysiology
science-engineering (1.14.2)
Debian Science Engineering packages
science-engineering-dev (1.14.2)
Debian Science Engineering-dev packages
science-financial (1.14.2)
Debian Science financial engineering and computational finance
science-geography (1.14.2)
Debian Science Geography packages
science-geometry (1.14.2)
Debian Science geometry packages
science-highenergy-physics (1.14.2)
Debian Science High Energy Physics packages
science-highenergy-physics-dev (1.14.2)
Debian Science High Energy Physics development packages
science-imageanalysis (1.14.2)
Debian Science image analysis packages
science-imageanalysis-dev (1.14.2)
Debian Science development of image analysis applications
science-linguistics (1.14.2)
Debian Science Linguistics packages
science-logic (1.14.2)
Debian Science Logic packages
science-machine-learning (1.14.2)
Debian Science Machine Learning packages
science-mathematics (1.14.2)
Debian Science Mathematics packages
science-mathematics-dev (1.14.2)
Debian Science Mathematics-dev packages
science-meteorology (1.14.2)
Debian Science Meteorology packages
science-meteorology-dev (1.14.2)
Debian Science Meteorology-dev packages
science-nanoscale-physics (1.14.2)
Debian Science Nanoscale Physics packages
science-nanoscale-physics-dev (1.14.2)
Debian Science Nanoscale Physics development packages
science-neuroscience-cognitive (1.14.2)
Debian Science packages for Cognitive Neuroscience
science-neuroscience-modeling (1.14.2)
Debian Science packages for modeling of neural systems
science-numericalcomputation (1.14.2)
Debian Science Numerical Computation packages
science-physics (1.14.2)
Debian Science Physics packages
science-physics-dev (1.14.2)
Debian Science Physics-dev packages
science-presentation (1.14.2)
Debian Science generic tools for presentations
science-psychophysics (1.14.2)
Debian Science packages for Psychophysics
science-robotics (1.14.2)
Debian Robotics packages
science-robotics-dev (1.14.2)
Debian Robotics development packages
science-simulations (1.14.2)
Debian Science Simulation packages
science-statistics (1.14.2)
Debian Science Statistics packages
science-tasks (1.14.2)
Debian Science tasks for tasksel
science-typesetting (1.14.2)
Debian Science typesetting packages
science-viewing (1.14.2)
Debian Science data visualisation packages
science-viewing-dev (1.14.2)
Debian Science development of visualisation applications
science-workflow (1.14.2)
workflow management systems useful for scientific research
scilab (6.1.0+dfsg1-7)
Scientific software package for numerical computations
scilab-cli (6.1.0+dfsg1-7)
Scientific software package - Command Line Interpreter
scilab-data (6.1.0+dfsg1-7)
Scientific software package for numerical computations (data files)
scilab-doc (6.1.0+dfsg1-7)
Scientific software package (English documentations)
scilab-doc-fr (6.1.0+dfsg1-7)
Scientific software package (French documentation)
scilab-doc-ja (6.1.0+dfsg1-7)
Scientific software package (Japanese documentation)
scilab-doc-pt-br (6.1.0+dfsg1-7)
Scientific software package (Brazilian Portuguese documentation)
scilab-full-bin (6.1.0+dfsg1-7)
Scientific software package for numerical computations (all binary files)
scilab-include (6.1.0+dfsg1-7)
Scientific software package for numerical computations (include files)
scilab-minimal-bin (6.1.0+dfsg1-7)
Scientific software package for numerical computations (minimal binary files)
scilab-test (6.1.0+dfsg1-7)
Scientific software package for numerical computations (test files)
scim (1.4.18-2.2)
smart common input method platform
scim-anthy (1.2.7-7+b1 [i386], 1.2.7-7 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
SCIM IMEngine module for Anthy
scim-bridge-agent
本虛擬套件由這些套件填實: scim-im-agent
scim-canna (1.0.0-4.3)
SCIM IMEngine module for Canna
scim-chewing (0.5.1-3)
Chewing IM engine module for SCIM
scim-clutter-immodule (1.4.18-2.2)
Clutter input method module with SCIM as backend
scim-dev (1.4.18-2.2)
development files for SCIM platform
scim-dev-doc (1.4.18-2.2)
development documentation for SCIM platform
scim-gtk-immodule (1.4.18-2.2)
GTK+ input method module, with SCIM as the input backend
scim-gtk-immodule
本虛擬套件由這些套件填實: scim-gtk-immodule
scim-gtk2-immodule
本虛擬套件由這些套件填實: scim-gtk-immodule
scim-im-agent (1.4.18-2.2)
IM agent for SCIM platform
scim-kmfl-imengine (0.9.9-1)
KMFL (Keyboard Mapping for Linux) IM engine for the SCIM platform
scim-m17n (0.2.3-4)
M17N Input Method Engine for SCIM
scim-modules-socket (1.4.18-2.2)
socket modules for SCIM platform
scim-modules-table (0.5.14-2.1)
generic tables IM engine module for SCIM platform
scim-pinyin (0.5.92-4)
smart pinyin IM engine for SCIM platform
scim-skk (0.5.2-7.2+b3)
SCIM IMEngine module like SKK input method
scim-tables-additional (0.5.14-2.1)
miscellaneous input method data tables for SCIM platform
scim-tables-ja (0.5.14-2.1)
Japanese input method data tables for SCIM platform
scim-tables-ko (0.5.14-2.1)
Korean input method data tables for SCIM platform
scim-tables-zh (0.5.14-2.1)
Chinese input method data tables for SCIM platform
scim-thai (0.1.4-4)
Thai Input Method Engine for SCIM
scim-unikey (0.3.1+debian-3.2)
Vietnamese Input Method Engine for SCIM using Unikey Engine
sciplot-bin (1.36-18+b1)
Example programs for SciPlot
scite (4.4.5-2)
Lightweight GTK-based programming editor
sciteproj (1.17-1)
project manager for the SciTE editor
scm (5f2-2+b2)
Scheme language interpreter
scmail (1.3-4.1)
a mail filter written in Scheme
scmxx (0.9.0-2.4)
Exchange data with Siemens mobile phones
scoary (1.6.16-2)
pangenome-wide association studies
scolasync (5.4-2)
graphic tool to copy data to or from a set of USB storage media
scons (4.0.1+dfsg-2)
replacement for make
scons-doc (4.0.1+dfsg-2)
Documentation for SCons, a replacement for Make
scoop-doc (0.7.1.1-7)
Python library for concurrent parallel programming (docs)
scorched3d (44+dfsg-7)
3D artillery game similar to Scorched Earth
scorched3d-data (44+dfsg-7)
data files for Scorched3D game
scotch (6.1.0-2)
programs and libraries for graph, mesh and hypergraph partitioning
scottfree (1.14-10.1)
Interpreter for Adventure International games
scour (0.38.2-1)
SVG scrubber and optimizer (CLI and debhelper)
scowl (2019.10.06-1)
Spell-Checker Oriented Word Lists
scram (0.16.2-3)
Probabilistic Risk Analysis Tool
scram-gui (0.16.2-3)
SCRAM GUI front-end
scrappie (1.4.2-7)
basecaller for Nanopore sequencer
scrappy
本虛擬套件由這些套件填實: libscrappy-perl
scratch (1.4.0.6~dfsg1-6.1)
easy to use programming environment for ages 8 and up
scrcpy (1.17-1)
Display and control your Android device
scrcpy-server (1.17-1)
Display and control your Android device - server binary
screen (4.8.0-6)
terminal multiplexer with VT100/ANSI terminal emulation
screen-profiles
本虛擬套件由這些套件填實: byobu
screen-profiles-extras
本虛擬套件由這些套件填實: byobu
screen-udeb (4.8.0-6)
terminal multiplexer with VT100/ANSI terminal emulation - udeb
screenfetch (3.9.1-2)
Bash Screenshot Information Tool
screengrab (2.1.0-1)
Crossplatform tool for getting screenshots
screenie (20120406-1.1)
Lightweight GNU screen(1) wrapper
screenkey (1:1.4-2)
screencast tool to display your keystrokes
screenruler (0.960+bzr41+deb10-4)
measure objects on screen with a variety of metrics
scribus (1.5.6.1+dfsg-2)
Open Source Desktop Page Layout
scribus-data (1.5.6.1+dfsg-2)
Open Source Desktop Page Layout - data files
scribus-doc (1.5.6.1+dfsg-1) [non-free]
Open Source Desktop Page Layout - documentation - 1.5.x branch
scribus-template (1.2.4.1-3)
additional scribus templates
scrm (1.7.4-1)
simulator of evolution of genetic sequences
scrobbler (0.11+git-5+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.11+git-5 [armel, armhf])
audioscrobbler submitter for portable media players - console client
scrollz (2.2.3-2)
advanced ircII-based IRC client
scrot (1.5-1)
command line screen capture utility
scrounge-ntfs (0.9-10)
Data recovery program for NTFS filesystems
scrub (2.6.1-1+b1)
writes patterns on magnetic media to thwart data recovery
scrypt (1.3.1-1)
File encryption utility using scrypt for key derivation
scsi-core-modules
本虛擬套件由這些套件填實: scsi-core-modules-5.10.0-26-arm64-di, scsi-core-modules-5.10.0-25-4kc-malta-di, scsi-core-modules-5.10.0-27-5kc-malta-di, scsi-core-modules-5.10.0-27-686-pae-di, scsi-core-modules-5.10.0-26-powerpc64le-di, scsi-core-modules-5.10.0-26-marvell-di, scsi-core-modules-5.10.0-25-octeon-di, scsi-core-modules-5.10.0-28-686-di, scsi-core-modules-5.10.0-27-4kc-malta-di, scsi-core-modules-5.10.0-26-octeon-di, scsi-core-modules-5.10.0-27-s390x-di, scsi-core-modules-5.10.0-28-amd64-di, scsi-core-modules-5.10.0-25-arm64-di, scsi-core-modules-5.10.0-26-amd64-di, scsi-core-modules-5.10.0-25-loongson-3-di, scsi-core-modules-5.10.0-27-octeon-di, scsi-core-modules-5.10.0-28-arm64-di, scsi-core-modules-5.10.0-25-amd64-di, scsi-core-modules-5.10.0-27-marvell-di, scsi-core-modules-5.10.0-26-686-di, scsi-core-modules-5.10.0-25-marvell-di, scsi-core-modules-5.10.0-27-armmp-di, scsi-core-modules-5.10.0-26-686-pae-di, scsi-core-modules-5.10.0-26-4kc-malta-di, scsi-core-modules-5.10.0-27-arm64-di, scsi-core-modules-5.10.0-25-s390x-di, scsi-core-modules-5.10.0-28-powerpc64le-di, scsi-core-modules-5.10.0-25-686-pae-di, scsi-core-modules-5.10.0-28-octeon-di, scsi-core-modules-5.10.0-27-amd64-di, scsi-core-modules-5.10.0-26-loongson-3-di, scsi-core-modules-5.10.0-28-4kc-malta-di, scsi-core-modules-5.10.0-28-marvell-di, scsi-core-modules-5.10.0-26-armmp-di, scsi-core-modules-5.10.0-25-powerpc64le-di, scsi-core-modules-5.10.0-25-armmp-di, scsi-core-modules-5.10.0-27-powerpc64le-di, scsi-core-modules-5.10.0-27-loongson-3-di, scsi-core-modules-5.10.0-28-s390x-di, scsi-core-modules-5.10.0-26-s390x-di, scsi-core-modules-5.10.0-25-5kc-malta-di, scsi-core-modules-5.10.0-25-686-di, scsi-core-modules-5.10.0-28-armmp-di, scsi-core-modules-5.10.0-28-loongson-3-di, scsi-core-modules-5.10.0-28-5kc-malta-di, scsi-core-modules-5.10.0-27-686-di, scsi-core-modules-5.10.0-28-686-pae-di, scsi-core-modules-5.10.0-26-5kc-malta-di
scsi-core-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-686-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-686-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-686-pae-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-amd64-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-arm64-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-armmp-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-loongson-3-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-marvell-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-octeon-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-26-s390x-di (5.10.197-1)
Core SCSI subsystem
scsi-core-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-686-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
Core SCSI subsystem
scsi-core-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-686-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-686-pae-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-amd64-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-arm64-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-armmp-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-loongson-3-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-marvell-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-octeon-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Core SCSI subsystem
scsi-core-modules-5.10.0-28-s390x-di (5.10.209-2)
Core SCSI subsystem
scsi-modules
本虛擬套件由這些套件填實: scsi-modules-5.10.0-28-amd64-di, scsi-modules-5.10.0-25-amd64-di, scsi-modules-5.10.0-28-loongson-3-di, scsi-modules-5.10.0-28-5kc-malta-di, scsi-modules-5.10.0-28-686-pae-di, scsi-modules-5.10.0-26-loongson-3-di, scsi-modules-5.10.0-28-powerpc64le-di, scsi-modules-5.10.0-27-octeon-di, scsi-modules-5.10.0-27-loongson-3-di, scsi-modules-5.10.0-27-s390x-di, scsi-modules-5.10.0-25-s390x-di, scsi-modules-5.10.0-25-armmp-di, scsi-modules-5.10.0-27-armmp-di, scsi-modules-5.10.0-26-armmp-di, scsi-modules-5.10.0-26-5kc-malta-di, scsi-modules-5.10.0-25-arm64-di, scsi-modules-5.10.0-26-arm64-di, scsi-modules-5.10.0-25-686-pae-di, scsi-modules-5.10.0-27-amd64-di, scsi-modules-5.10.0-27-arm64-di, scsi-modules-5.10.0-27-686-pae-di, scsi-modules-5.10.0-25-loongson-3-di, scsi-modules-5.10.0-28-686-di, scsi-modules-5.10.0-28-armmp-di, scsi-modules-5.10.0-25-4kc-malta-di, scsi-modules-5.10.0-28-s390x-di, scsi-modules-5.10.0-26-s390x-di, scsi-modules-5.10.0-27-powerpc64le-di, scsi-modules-5.10.0-26-octeon-di, scsi-modules-5.10.0-26-powerpc64le-di, scsi-modules-5.10.0-26-686-pae-di, scsi-modules-5.10.0-26-amd64-di, scsi-modules-5.10.0-27-4kc-malta-di, scsi-modules-5.10.0-27-5kc-malta-di, scsi-modules-5.10.0-25-octeon-di, scsi-modules-5.10.0-27-686-di, scsi-modules-5.10.0-26-4kc-malta-di, scsi-modules-5.10.0-26-686-di, scsi-modules-5.10.0-28-arm64-di, scsi-modules-5.10.0-25-686-di, scsi-modules-5.10.0-25-5kc-malta-di, scsi-modules-5.10.0-25-powerpc64le-di, scsi-modules-5.10.0-28-octeon-di, scsi-modules-5.10.0-28-4kc-malta-di
scsi-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-686-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
SCSI drivers
scsi-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-686-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-686-pae-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-amd64-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-arm64-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-armmp-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-loongson-3-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-octeon-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-26-s390x-di (5.10.197-1)
SCSI drivers
scsi-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-686-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
SCSI drivers
scsi-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-686-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-686-pae-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-amd64-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-arm64-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-armmp-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-loongson-3-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-octeon-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
SCSI drivers
scsi-modules-5.10.0-28-s390x-di (5.10.209-2)
SCSI drivers
scsi-nic-modules
本虛擬套件由這些套件填實: scsi-nic-modules-5.10.0-25-5kc-malta-di, scsi-nic-modules-5.10.0-27-powerpc64le-di, scsi-nic-modules-5.10.0-27-armmp-di, scsi-nic-modules-5.10.0-28-5kc-malta-di, scsi-nic-modules-5.10.0-27-amd64-di, scsi-nic-modules-5.10.0-26-4kc-malta-di, scsi-nic-modules-5.10.0-28-arm64-di, scsi-nic-modules-5.10.0-26-powerpc64le-di, scsi-nic-modules-5.10.0-26-5kc-malta-di, scsi-nic-modules-5.10.0-28-octeon-di, scsi-nic-modules-5.10.0-27-arm64-di, scsi-nic-modules-5.10.0-28-686-pae-di, scsi-nic-modules-5.10.0-25-arm64-di, scsi-nic-modules-5.10.0-28-powerpc64le-di, scsi-nic-modules-5.10.0-27-4kc-malta-di, scsi-nic-modules-5.10.0-25-armmp-di, scsi-nic-modules-5.10.0-25-loongson-3-di, scsi-nic-modules-5.10.0-25-amd64-di, scsi-nic-modules-5.10.0-25-686-pae-di, scsi-nic-modules-5.10.0-26-686-pae-di, scsi-nic-modules-5.10.0-26-686-di, scsi-nic-modules-5.10.0-27-5kc-malta-di, scsi-nic-modules-5.10.0-25-4kc-malta-di, scsi-nic-modules-5.10.0-26-octeon-di, scsi-nic-modules-5.10.0-26-loongson-3-di, scsi-nic-modules-5.10.0-27-686-di, scsi-nic-modules-5.10.0-27-686-pae-di, scsi-nic-modules-5.10.0-28-loongson-3-di, scsi-nic-modules-5.10.0-27-octeon-di, scsi-nic-modules-5.10.0-26-amd64-di, scsi-nic-modules-5.10.0-25-powerpc64le-di, scsi-nic-modules-5.10.0-26-arm64-di, scsi-nic-modules-5.10.0-25-686-di, scsi-nic-modules-5.10.0-28-686-di, scsi-nic-modules-5.10.0-28-4kc-malta-di, scsi-nic-modules-5.10.0-28-amd64-di, scsi-nic-modules-5.10.0-28-armmp-di, scsi-nic-modules-5.10.0-27-loongson-3-di, scsi-nic-modules-5.10.0-26-armmp-di, scsi-nic-modules-5.10.0-25-octeon-di
scsi-nic-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-686-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-686-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-686-pae-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-amd64-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-arm64-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-armmp-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-loongson-3-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-octeon-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-686-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-686-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-686-pae-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-amd64-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-arm64-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-armmp-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-loongson-3-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-octeon-di (5.10.209-2)
SCSI drivers for converged NICs
scsi-nic-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
SCSI drivers for converged NICs
scsitools (0.12-4)
Collection of tools for SCSI hardware management
sct (1.3-1+b1)
Set screen color temperature
sctk (2.4.10-20151007-1312Z+dfsg2-3.1)
speech recognition scoring toolkit
sctk-doc (2.4.10-20151007-1312Z+dfsg2-3.1)
speech recognition scoring toolkit (documentation)
scummvm (2.2.0+dfsg1-4)
engine for several graphical adventure games
scummvm-data (2.2.0+dfsg1-4)
engine for several graphical adventure games (data files)
scummvm-tools (2.2.0-1+b1)
collection of tools for ScummVM
scute (1:1.5.0-1+b1)
OpenPGP smartcard plugin for Mozilla Network Security Services
scythe (0.994+git20141017.20d3cff-3)
Bayesian adaptor trimmer for sequencing reads
sd2epub (0.9.6-2)
StarOffice to OpenDocument converter
sd2odf (0.9.6-2)
StarOffice to OpenDocument converter
sdaps (1.9.8-0.1+b1)
scripts for data acquisition with paper-based surveys
sdate (0.7)
never ending September date
sdb (1.2-2.1)
a command line client for Mono's soft debugger
sdcc (4.0.0+dfsg-2)
Small Device C Compiler
sdcc-doc (4.0.0+dfsg-2)
Small Device C Compiler (documentation)
sdcc-libraries (4.0.0+dfsg-2)
Small Device C Compiler (libraries)
sdcc-ucsim (4.0.0+dfsg-2)
Micro-controller simulator for SDCC
sdcv (0.5.2-2+b1)
StarDict Console Version
sddm (0.19.0-3)
modern display manager for X11
sddm-theme
本虛擬套件由這些套件填實: sddm-theme-debian-elarun, sddm-theme-debian-breeze, sddm-theme-debian-maui, sddm-theme-breeze, sddm-theme-maui, sddm-theme-maldives, sddm-theme-maya, sddm-theme-elarun
sddm-theme-breeze (4:5.20.5-6)
Breeze SDDM theme
sddm-theme-debian-breeze (4:5.20.5-6)
Debian Breeze SDDM theme
sddm-theme-debian-elarun (0.19.0-3)
'Debian Elarun' Theme for SDDM X11 display manager
sddm-theme-debian-maui (0.19.0-3)
'Debian Maui' theme for SDDM X11 display manager
sddm-theme-elarun (0.19.0-3)
'Elarun' Theme for SDDM X11 display manager
sddm-theme-maldives (0.19.0-3)
'Maldives' theme for SDDM X11 display manager
sddm-theme-maui (0.19.0-3)
'Maui' theme for SDDM X11 display manager
sddm-theme-maya (0.19.0-3)
'Maya' theme for SDDM X11 display manager
sdf (2.001+1-7)
Simple Document Parser
sdf-doc (2.001+1-7)
Documentation and examples for the Simple Document Parser
sdformat-doc (9.3.0+ds-3)
Simulation Description Format (SDF) parser - Documentation
sdformat-sdf (9.3.0+ds-3)
Simulation Description Format (SDF) parser - SDF files
sdl-ball (1.02-2)
brick-breaking game like DX-Ball/Arkanoid
sdl-ball-data (1.02-2)
brick-breaking game like DX-Ball/Arkanoid - data files
sdlbasic (0.0.20070714-7)
BASIC interpreter for game development
sdlbrt (0.0.20070714-7)
BASIC interpreter for game development - runtime interpreter
sdlfrotz (2.53+dfsg-1)
interpreter of Z-code story-files (SDL version)
sdop (0.90-1)
Simplified DocBook Processor
sdpa (7.3.15+dfsg-1)
High-performance package for SemiDefinite Programs
sdpam (7.3.15+dfsg-1)
Matlab/Octave interface of SDPA
sdparm (1.10-1+b1 [amd64], 1.10-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Output and modify SCSI device parameters
sdpb (1.0-4)
Semidefinite program solver
sdpb-doc (1.0-4)
Semidefinite program solver (documentation)
sdrangelove (0.0.1.20150707-5)
Osmocom Software Defined Radio
sea-icon-theme
本虛擬套件由這些套件填實: deepin-icon-theme
seabios (1.14.0-2)
Legacy BIOS implementation
seafile-cli (7.0.10-1)
Client CLI for the Seafile Client
seafile-daemon (7.0.10-1)
Client daemon for the Seafile Client
seafile-gui (7.0.10-3)
Seafile Desktop Client
seahorse (3.38.0.1-2)
GNOME front end for GnuPG
seahorse-adventures (1.1+dfsg-5)
help Barbie the seahorse float on bubbles to the moon
seahorse-daemon (3.12.2-6)
Seahorse pass phrase caching agent
seahorse-nautilus (3.11.92-4)
Nautilus extension for Seahorse integration
search-ccsb (0.5-5)
BibTeX search tool
search-ccsb-el
本虛擬套件由這些套件填實: search-ccsb
search-citeseer (0.3-3)
BibTeX search tool
searchandrescue (1.5.0-2.1)
fly aircraft to search (for) and rescue people in distress
searchandrescue-common (1.5.0-2.1)
common files and documentation for searchandrescue
searchandrescue-data (1.3.0-1.1)
common data files for searchandrescue
searchmonkey (0.8.3-1+b1 [amd64], 0.8.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
search files using regular expressions aiming to replace find/grep tools
searx (0.18.0+dfsg1-1)
Privacy-respecting metasearch engine
seascope (0.9+8a669e0e-3)
source code navigation tool
seaview (1:5.0.4-1)
Multiplatform interface for sequence alignment and phylogeny
sec (2.8.3-2)
Simple Event Correlator
seccomp (2.5.1-1+deb11u1)
helper tools for high level interface to Linux seccomp filter
seccure (0.5-2)
tools for using algorithms based on elliptic curve cryptography (ECC)
secilc (3.1-1)
SELinux CIL compiler
secilc-doc (3.1-1)
documentation for the SELinux CIL Compiler
secpanel (1:0.6.1-3)
graphical user interface for SSH and SCP
secrecy (0.0.2+dfsg-2)
tool to handle libsecrecy keys and encrypted files
secsipidx (1.1.1~git20210601.d388d64-1+b2)
Secure SIP Identity Extensions - IETF STIR and SHAKEN
secure-delete (3.1-6+b1)
tools to wipe files, free disk space, swap and memory
securefs (0.11.1+ds-3)
Filesystem in userspace with transparent authenticated encryption
sed (4.7-1)
GNU stream editor for filtering/transforming text
seer (1.1.4-5)
genomic sequence element (kmer) enrichment analysis
seetxt (0.72-7)
lightweight text file and man page viewer
segemehl (0.3.4-3)
short read mapping with gaps
segyio-bin (1.8.3-1+b4)
SEG-Y read/write library for seismic processing (shell utilities)
select-xface (0.15-12)
utility for selecting X-Face on emacsen
selektor (3.13.72-2.1)
Tor exit node selector and traffic router
selfhtml (8.1.2-1) [non-free]
German HTML reference and tutorial
selint (1.2.0-1)
Static code analysis of refpolicy style SELinux policies
selinux-basics (0.5.8)
SELinux basic support
selinux-policy-default (2:2.20210203-7)
Strict and Targeted variants of the SELinux policy
selinux-policy-dev (2:2.20210203-7)
Headers from the SELinux reference policy for building modules
selinux-policy-doc (2:2.20210203-7)
Documentation for the SELinux reference policy
selinux-policy-mls (2:2.20210203-7)
MLS (Multi Level Security) variant of the SELinux policy
selinux-policy-src (2:2.20210203-7)
Source of the SELinux reference policy for customization
selinux-utils (3.1-3)
SELinux utility programs
semanage-utils (3.1-1+b2)
SELinux policy management utilities
semi (1.14.7~0.20201115-2)
library to provide MIME feature for emacsen
semodule-utils (3.1-1)
SELinux core policy utilities (modules utilities)
sen (0.6.1-0.1)
Terminal user interface for docker engine
sendemail (1.56-5.1)
lightweight, command line SMTP email client
sendfile (2.1b.20080616-8)
Simple Asynchronous File Transfer
sendip (2.6-1)
Commandline tool to allow sending arbitrary IP packets
sendmail (8.15.2-22)
powerful, efficient, and scalable Mail Transport Agent (metapackage)
sendmail-base (8.15.2-22)
powerful, efficient, and scalable Mail Transport Agent (arch independent files)
sendmail-bin (8.15.2-22)
powerful, efficient, and scalable Mail Transport Agent
sendmail-cf (8.15.2-22)
powerful, efficient, and scalable Mail Transport Agent (config macros)
sendmail-doc (8.15.2-22)
powerful, efficient, and scalable Mail Transport Agent (documentation)
sendpage-client (1.0.3-1.1)
client to send alpha-numeric text pages via SNPP
sendpage-common (1.0.3-1.1)
common libraries used to handle alpha-numeric text paging
sendpage-server (1.0.3-1.1)
server used to queue and send alpha-numeric text pages via TAP
sendxmpp (1.24-3)
command line XMPP (jabber) utility
senlin-api (10.0.0-1)
clustering service for OpenStack clouds - API
senlin-common (10.0.0-1)
clustering service for OpenStack clouds - common files
senlin-conductor (10.0.0-1)
clustering service for OpenStack clouds - Conductor
senlin-doc (10.0.0-1)
clustering service for OpenStack clouds - docs
senlin-engine (10.0.0-1)
clustering service for OpenStack clouds - Engine
senlin-health-manager (10.0.0-1)
clustering service for OpenStack clouds - Health Manager
senlin-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Senlin plugin
sensible-mda (8.15.2-22)
Mail Delivery Agent wrapper
sensible-utils (0.0.14)
Utilities for sensible alternative selection
sensors-applet (3.0.0+git6-0.5)
Display readings from hardware sensors in your Gnome panel
sent (1-3+b1 [amd64], 1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
simple plaintext presentation tool
sentencepiece (0.1.95-1)
Unsupervised text tokenizer and detokenizer
sentineldl (20200319-2)
download and convert Sentinel 2 data
sentinelsat (0.14-1)
search and download Sentinel satellite images
sepia (0.992-7)
Simple Emacs-Perl InterAction
sepol-utils (3.1-1)
Security Enhanced Linux policy utility programs
sepp (4.3.10+dfsg-5)
phylogeny with ensembles of Hidden Markov Models
seq-gen (1.3.4-2) [non-free]
simulate the evolution of nucleotide or amino acid sequences
seq24 (0.9.3-2)
Real time MIDI sequencer
seqan-apps (2.4.0+dfsg-14)
C++ library for the analysis of biological sequences
seqan3-doc (3.0.2+ds-9)
C++ library for the analysis of biological sequences v3 (documentation)
seqcluster (1.2.7+ds-1) [contrib]
analysis of small RNA in NGS data
seqkit (0.15.0+ds-2+b5)
cross-platform and ultrafast toolkit for FASTA/Q file manipulation
seqkit-examples (0.15.0+ds-2)
examples for seqkit: toolkit for FASTA/Q file manipulation
seqmagick (0.8.4-1)
imagemagick-like frontend to Biopython SeqIO
seqprep (1.3.2-5)
stripping adaptors and/or merging paired reads of DNA sequences with overlap
seqprep-data (1.3.2-5)
example data set for seqprep - only used for testing
seqsero (1.0.1+dfsg-4)
Salmonella serotyping from genome sequencing data
seqtk (1.3-2)
Fast and lightweight tool for processing sequences in the FASTA or FASTQ format
ser-player (1.7.3-2)
Video player and processing utility for SER files
ser2net (4.3.3-1)
Serial port to network proxy
serdi (0.30.10-2)
lightweight RDF syntax library - serdi tool
serf (0.9.4~ds1-1+b6)
Service orchestration and management tool
serial-modules
本虛擬套件由這些套件填實: serial-modules-5.10.0-25-686-pae-di, serial-modules-5.10.0-25-686-di, serial-modules-5.10.0-27-amd64-di, serial-modules-5.10.0-25-powerpc64le-di, serial-modules-5.10.0-28-686-pae-di, serial-modules-5.10.0-26-powerpc64le-di, serial-modules-5.10.0-27-686-di, serial-modules-5.10.0-26-686-di, serial-modules-5.10.0-25-amd64-di, serial-modules-5.10.0-28-amd64-di, serial-modules-5.10.0-27-powerpc64le-di, serial-modules-5.10.0-27-686-pae-di, serial-modules-5.10.0-26-686-pae-di, serial-modules-5.10.0-26-amd64-di, serial-modules-5.10.0-28-powerpc64le-di, serial-modules-5.10.0-28-686-di
serial-modules-5.10.0-25-686-di (5.10.191-1) [security]
Serial drivers
serial-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
Serial drivers
serial-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
Serial drivers
serial-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
Serial drivers
serial-modules-5.10.0-26-686-di (5.10.197-1)
Serial drivers
serial-modules-5.10.0-26-686-pae-di (5.10.197-1)
Serial drivers
serial-modules-5.10.0-26-amd64-di (5.10.197-1)
Serial drivers
serial-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
Serial drivers
serial-modules-5.10.0-27-686-di (5.10.205-2) [security]
Serial drivers
serial-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
Serial drivers
serial-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
Serial drivers
serial-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
Serial drivers
serial-modules-5.10.0-28-686-di (5.10.209-2)
Serial drivers
serial-modules-5.10.0-28-686-pae-di (5.10.209-2)
Serial drivers
serial-modules-5.10.0-28-amd64-di (5.10.209-2)
Serial drivers
serial-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
Serial drivers
seriation (0.1+git20201220.04e6202-1)
finds a suitable linear order for a set of objects
seriation-data (0.1+git20201220.04e6202-1)
test data for seriation
serverspec-runner (1.3.8-2)
simple execution framework for serverspec
service-wrapper (3.5.30-3)
Jar daemon wrapper
servicelog (1.1.15-1)
Platform Service/Error Message Database
setbfree (0.8.11-1)
DSP tonewheel organ
setcd (1.5-6+b2)
Control the behaviour of your cdrom device
setools (4.3.0-2)
tools for Security Enhanced Linux policy analysis
setools-gui (4.3.0-2)
GUI tools for Security Enhanced Linux policy analysis
setop (0.1-1+b5)
apply set operations like intersection to text inputs
setserial (2.17-53)
controls configuration of serial ports
setzer (0.4.1-1)
simple yet full-featured LaTeX editor
sextractor (2.25.0+ds-3)
Dummy transitional package for name change
seyon (2.20c-34)
Full-featured native X11 communications program
sf2-soundfont-gm
本虛擬套件由這些套件填實: timgm6mb-soundfont, opl3-soundfont, musescore-general-soundfont-lossless
sf3-soundfont-gm
本虛擬套件由這些套件填實: fluidr3mono-gm-soundfont, musescore-general-soundfont, musescore-general-soundfont-small, timgm6mb-soundfont, musescore-general-soundfont-lossless, opl3-soundfont
sf3convert (20180325-1+b1 [amd64], 20180325-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
MuseScore SoundFont converter
sfarkxtc (0~20181208git4ed577d-1)
Converts soundfonts from legacy sfArk v2 file format to sf2
sfftobmp (3.1.3-7+b2)
SFF (Structured Fax File) Converter
sfftw-dev (2.1.5-4.2+b2 [mips64el], 2.1.5-4.2+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
library for computing Fast Fourier Transforms
sfftw2 (2.1.5-4.2+b2 [mips64el], 2.1.5-4.2+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
library for computing Fast Fourier Transforms
sffview (0.5.0-5)
Structured Fax File (SFF) Viewer
sfnt2woff-zopfli (1.1.0-2+b1 [amd64], 1.1.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Create WOFF files with Zopfli compression
sfront (0.99-2)
MPEG 4 Structured Audio decoder
sfst (1.4.7b-1+b1)
Stuttgart finite-state transducer tools
sg3-utils (1.45-1)
utilities for devices using the SCSI command set
sg3-utils-udev (1.45-1)
utilities for devices using the SCSI command set (udev rules)
sga (0.10.15-5)
de novo genome assembler that uses string graphs
sgabios
本虛擬套件由這些套件填實: qemu-system-data
sgb (1:20210202-1) [non-free]
The Stanford GraphBase: combinatorial data and algorithms
sgb-doc (1:20210202-1) [non-free]
Documentation for the Stanford GraphBase
sgf2dg (4.252-1)
Converts Smart Go Format (SGF) files to Go diagrams
sgml-base (1.30)
SGML infrastructure and SGML catalog file support
sgml-base-doc (1.99.2+nmu1)
Documentation for sgml-base
sgml-data (2.0.11+nmu1)
common SGML and XML data
sgml-spell-checker (0.0.20040919-5)
spell checker for SGML documents
sgml2x (1.0.0-11.5)
generic formatter for SGML/XML documents using DSSSL stylesheets
sgmls-doc (1.03ii-36)
HTML documentation of libsgmls-perl and sgmlspl
sgmlspl (1.03ii-36)
SGMLS-based example Perl script for processing SGML parser output
sgrep (1.94a-4+b2)
tool to search a file for structured pattern
sgt-puzzles (20191231.79a5378-3+deb11u1)
Simon Tatham's Portable Puzzle Collection - 1-player puzzle games
sha1cdsum (0.2.3-1+b1)
SHA-1 hash function with collision detection and mitigation
shade-inventory (1.30.0-3)
Ansible inventory script for OpenStack clouds
shadowsocks-libev (3.3.5+ds-4)
lightweight and secure socks5 proxy
shadowsocks-v2ray-plugin (1.3.1-3+b6)
V2Ray plugin for shadowsocks
shairplay (0.9.0~git20180824.096b61a+dfsg1-2)
AirPort Express Server emulator
shairport-sync (3.3.7-1)
AirPlay audio player
shake (1.0.2-1.1)
Testing engine for the Lua language version 5.1
shanty (3-4.1)
Makes a whopping great postscript file from an image and a text
shapeit4 (4.2.0+dfsg-1)
fast and accurate method for estimation of haplotypes (phasing)
shapeit4-example (4.2.0+dfsg-1)
fast and accurate method for estimation of haplotypes (phasing) - example
shapelib (1.5.0-2)
Library for reading and writing ESRI Shapefiles - tools
shapetools (1.4pl6-14)
Configuration and Release management using AtFS
shapetools-tutorial (1.3-5) [non-free]
Tutorial for ShapeTools
shared-mime-info (2.0-1)
FreeDesktop.org shared MIME database and spec
sharness (1.0.0-1.1)
shell library for automated tests with TAP output
sharutils (1:4.15.2-5)
shar、unshar、uuencode、uudecode
sharutils-doc (1:4.15.2-5)
Documentation for GNU sharutils
shasta (0.7.0-3)
nanopore whole genome assembly (binaries and scripts)
shatag (0.5.0-4)
tool to store file checksums in extended attributes, and work with them
shc (4.0.3-1)
Shell script compiler
shed (1.15-5)
simple hex editor with a pico-style interface
shellcheck (0.7.1-1+deb11u1)
lint tool for shell scripts
shelldap (1.5.1-1)
shell-like interface for browsing LDAP servers and editing their content
shellex (0.3-1)
shell-based launcher
shellia (5.6.5)
shell interactive library
shellinabox (2.21+b1 [amd64], 2.21 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
publish command line shell through AJAX interface
shelltestrunner (1.9-2+b2 [armel], 1.9-2+b1 [amd64, arm64, armhf, i386, mips64el, mipsel, ppc64el, s390x])
test command-line programs or arbitrary shell commands
shelr (0.16.3-2.1)
Utility for plain text screencasting
shelxle (1.0.1179-1)
graphical user interface for SHELXL
shibboleth-sp-common (3.2.2+dfsg1-1)
Federated web single sign-on system (common files)
shibboleth-sp-utils (3.2.2+dfsg1-1)
Federated web single sign-on system (daemon and utilities)
shiboken2 (5.15.2-1)
CPython bindings generator for C++ libraries
shiboken2-doc (5.15.2-1)
CPython bindings generator for C++ libraries (common documentation)
shiki-brave-theme (4.6-2.1)
blue variation of the Shiki-Colors theme
shiki-colors (4.6-2.1)
set of Metacity/GTK-2+ themes
shiki-colors-metacity-theme (4.6-2.1)
set of Metacity themes
shiki-colors-xfwm-theme (4.6-2.1)
Xfwm/Xfce4 theme based on the Shiki-Colors Metacity theme
shiki-dust-theme (4.6-2.1)
chocolate variation of the Shiki-Colors theme
shiki-human-theme (4.6-2.1)
orange variation of the Shiki-Colors theme
shiki-illustrious-theme (4.6-2.1)
pink variation of the Shiki-Colors theme
shiki-noble-theme (4.6-2.1)
purple variation of the Shiki-Colors theme
shiki-wine-theme (4.6-2.1)
red variation of the Shiki-Colors theme
shiki-wise-theme (4.6-2.1)
green variation of the Shiki-Colors theme
shim-helpers-amd64-signed (1+15.7+1~deb11u1)
boot loader to chain-load signed boot loaders (signed by Debian)
shim-helpers-amd64-signed-template (15.7-1~deb11u1)
boot loader to chain-load signed boot loaders (signing template)
shim-helpers-arm64-signed (1+15.7+1~deb11u1)
boot loader to chain-load signed boot loaders (signed by Debian)
shim-helpers-arm64-signed-template (15.7-1~deb11u1)
boot loader to chain-load signed boot loaders (signing template)
shim-helpers-i386-signed (1+15.7+1~deb11u1)
boot loader to chain-load signed boot loaders (signed by Debian)
shim-helpers-i386-signed-template (15.7-1~deb11u1)
boot loader to chain-load signed boot loaders (signing template)
shim-signed (1.39~1+deb11u1+15.7-1~deb11u1)
Secure Boot chain-loading bootloader (Microsoft-signed binary)
shim-signed-common (1.39~1+deb11u1+15.7-1~deb11u1)
Secure Boot chain-loading bootloader (common helper scripts)
shim-unsigned (15.7-1~deb11u1)
boot loader to chain-load signed boot loaders under Secure Boot
shineenc (3.1.1-2)
Fixed-point MP3 encoding library - frontend binary
shisa (1.0.2-10)
Administration utility for the Shishi Kerberos v5 KDC database
shishi (1.0.2-10)
Command line client for the Shishi Kerberos v5 implementation
shishi-common (1.0.2-10)
Platform independent files for Shishi
shishi-doc (1.0.2-10)
Documentation for Shishi
shishi-kdc (1.0.2-10)
Kerberos v5 Key Distribution Center (KDC) Shishi server daemon
shntool (3.0.10-1+b1)
multi-purpose tool for manipulating and analyzing WAV files
shoelaces (1.2.0+ds-1+b5)
automated server bootstrapping
shogivar (1.55b-3)
UI to play many shogi variants, with builtin computer player
shogivar-data (1.55b-3)
architecture-independant files for shogivar
shoogle (0.1.4-10)
Google API from the command line
shorewall (5.2.3.4-1)
Shoreline Firewall, netfilter configurator
shorewall-core (5.2.3.4-1)
Shorewall core components
shorewall-doc (5.2.3-1.1)
documentation for Shoreline Firewall (Shorewall)
shorewall-init (5.2.3.4-1)
Shorewall initialization
shorewall-lite (5.2.3.4-1)
Shorewall (lite version), a high-level tool for configuring Netfilter
shorewall6 (5.2.3.4-1)
Shoreline Firewall (IPv6 version), netfilter configurator
shorewall6-lite (5.2.3.4-1)
Shorewall (lite version with IPv6 support)
shotcut (21.01.29+ds-1)
video editor
shotcut-data (21.01.29+ds-1)
video editor data
shotdetect (1.0.86-5.1)
scene change detector
shotwell (0.30.11-1)
digital photo organizer
shotwell-common (0.30.11-1)
digital photo organizer - common files
shove (0.8.2-1)
test tool for shell scripts with TAP outputs
shovill (1.1.0-4)
Assemble bacterial isolate genomes from Illumina paired-end reads
shovill-examples (1.1.0-4)
Test Data for shovill
showfoto (4:7.1.0-2)
image viewer/editor for KDE
showq (0.4.1+git20200907-1)
MIDI controllable audio player
shrinksafe (1.15.4+dfsg1-1+deb11u1)
JavaScript compression system
shtool (2.0.8-10)
portable shell tool from the GNU project
shunit2 (2.1.6-1.2)
unit test framework for Bourne based shell scripts
shush (1.2.3-5+b2 [amd64], 1.2.3-5+b1 [mips64el], 1.2.3-5 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
runs a command and optionally reports its output by mail
shutdown-at-night (0.24)
System to shut down clients at night, and wake them in the morning
sia (1.3.0-1.1+b7)
Blockchain-based marketplace for file storage
sibelia (3.0.7+dfsg-3)
comparative genomics tool
sibelia-examples (3.0.7+dfsg-3)
comparative genomics tool (example data)
sibsim4 (0.20-5)
align expressed RNA sequences on a DNA template
sic (1.1-5+b1)
simple irc client (sic)
sickle (1.33+git20150314.f3d6ae3-2)
windowed adaptive trimming tool for FASTQ files using quality
siconos (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (simulation runner tool)
siconos-mechanics-tools (4.3.1+dfsg-2)
modeling and simulation of nonsmooth dynamical systems (mechanics tools)
sidedoor (0.2.1-1.1)
SSH connection daemon
sidedoor-sudo (0.2.1-1.1)
SSH connection daemon - sudoers entry
sidplay (2.0.9-6+b2)
Music player for tunes from C64 and C128 (console)
sidplay-base (1.0.9-7+b1)
Music player for tunes from C64 and C128 (console)
sidplayfp (2.0.3-2)
Fork of sidplay2, a C64 and C128 music player
siege (4.0.7-1)
HTTP regression testing and benchmarking utility
sieve-connect (0.90-1.1)
MANAGESIEVE protocol client
sift (4.0.3b-6+b1) [non-free]
predicts if a substitution in a protein has a phenotypic effect
siftool (1.0.9-2.1+b1)
utility to manipulate Singularity Image Format (SIF) files
sig2dot
本虛擬套件由這些套件填實: signing-party
siggen (2.3.10-11)
Waveform generation tools
sigil (1.4.3+dfsg-1)
multi-platform ebook editor
sigil-data (1.4.3+dfsg-1)
multi-platform ebook editor - data files
sigma-align (1.1.3-8)
Simple greedy multiple alignment of non-coding DNA sequences
signapk (1:10.0.0+r36-1)
Command line tool for signing Android ZIP/JAR/APK
signify (1.14-7)
Automatic, semi-random ".signature" rotator/generator
signify-openbsd (30-2)
Lightweight cryptographic signing and verifying tool
signify-openbsd-keys (2021.1)
Public keys for use with signify-openbsd
signing-party (2.11-1)
Various OpenPGP related tools
signon-kwallet-extension (4:20.12.1-1)
KWallet extension for signond
signon-plugin-oauth2 (0.25-2)
OAuth 1.0/2.0 plugin for the Accounts SignOn daemon
signon-plugin-oauth2-dev (0.25-2)
OAuth 1.0/2.0 plugin for the Accounts SignOn daemon - headers
signon-plugin-password (8.60-4)
Single Sign On framework - Plain Password plugin
signon-plugin-ssotest (8.60-4)
Single Sign On framework - Test plugin
signon-plugins-dev (8.60-4)
Single Sign On framework - plugins development files
signon-ui-service (0.17+16.04.20151125-1)
D-Bus service file for signon-ui
signon-ui-x11 (0.17+16.04.20151125-1)
Single Sign-on UI
signond (8.60-4)
Single Sign On framework
signond-dev (8.60-4)
Single Sign On framework - development files
signond-doc (8.60-4)
Single Sign On framework - documentation for daemon
signtos (1:10.0.0+r36-1)
Android signing tool for signing Trusty images
sigrok (0.2-5)
Logic analyzer and protocol decoder software suite (metapackage)
sigrok-cli (0.7.1-1+b1 [amd64], 0.7.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
command-line frontend for the sigrok software
sigrok-firmware-fx2lafw (0.1.7-1)
Firmware for Cypress FX2(LP) based logic analyzers
sigscheme (0.9.1-1)
Scheme Interpreter to be embedded
sigscheme-runtime (0.9.1-1)
Runtime for sigscheme Scheme interpreter
sigviewer (0.6.4-1)
GUI viewer for biosignals such as EEG, EMG, and ECG
silan (0.4.0-2)
commandline tool to detect silence in audio-files
silentjack (0.3-2+b2)
silence detector for the JACK audio system
silkaj (0.8.1-1)
command-line client for Duniter Ğ1 currency
silverjuke (18.2.1-3)
jukebox, karaoke, and kiosk mode mp3 player
silversearcher-ag (2.2.0+git20200805-1+b1 [amd64], 2.2.0+git20200805-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
very fast grep-like program, alternative to ack-grep
silversearcher-ag-el (0.48-1)
transitional dummy package, silversearcher-ag-el to elpa-ag
silversearcher-ag-el
本虛擬套件由這些套件填實: elpa-ag
silx (0.14.0+dfsg-1)
Toolbox for X-Ray data analysis - Executables
sim4 (0.0.20121010-8)
tool for aligning cDNA and genomic DNA
sim4db (0~20150903+r2013-8+b1)
batch spliced alignment of cDNA sequences to a target genome
simavr (1.6+dfsg-3)
lean and mean AVR simulator
simba (0.8.4-6)
next generation mirroring tool
simbody-doc (3.6.1+dfsg-7)
SimTK multibody dynamics API - Documentation
simg2img (1:10.0.0+r36-7)
Transitional package
simgrid
本虛擬套件由這些套件填實: libsimgrid-dev
simh (3.8.1-6.1)
Emulators for 33 different computers
simhash (0.0.20161225-2)
generate similarity hashes to find nearly duplicate files
similarity-tester (3.0.2-1+b1 [amd64], 3.0.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Find lexical similarities between files
simka (1.5.3-4)
comparative metagenomics method dedicated to NGS datasets
simkamin (1.5.3-4)
approximate comparative metagenomics method dedicated to NGS datasets
simple (1.0.0-1+b4 [amd64, arm64, ppc64el, s390x], 1.0.0-1+b3 [armel, armhf, i386, mipsel], 1.0.0-1+b2 [mips64el])
minimalist web framework for the WAI server interface
simple-ccsm (2:0.8.18-1)
Simple Compizconfig settings manager
simple-cdd (0.6.8)
create customized debian-installer CDs
simple-cdd-profiles (0.6.8)
simple-cdd profile selection
simple-image-reducer (1.0.2+git20191008-1)
GTK application to easily reduce and rotate images
simple-obfs (0.0.5-6)
simple obfusacting plugin for shadowsocks-libev
simple-revision-control (1.26-2)
single-file and single-user revision control system
simple-scan (3.38.1-1)
Simple Scanning Utility
simple-tpm-pk11 (0.06-3)
simple library for using the TPM chip to secure SSH keys
simpleproxy (3.5-1+b2)
Simple TCP proxy
simplesamlphp (1.19.0-1)
Authentication and federation application supporting several protocols
simplescreenrecorder (0.4.2-2+b1)
Feature-rich screen recorder for X11 and OpenGL
simplescreenrecorder-lib (0.4.2-2+b1)
feature-rich screen recorder that supports X11 and OpenGL - GLInject library
simplesnap (2.1.0)
Simple and powerful network transmission of ZFS snapshots
simplyhtml (0.17.3+dfsg1-1)
Java word processor based on HTML and CSS
simplyhtml-doc (0.17.3+dfsg1-1)
API documentation for simplyhtml
simrisc (14.02.00-1)
simulation model for breast cancer risk
simstring-bin (1.0-3+b1 [amd64], 1.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
approximate string matching/retrieval utility
simstring-dev (1.0-3+b1 [amd64], 1.0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
fast and simple algorithm for approximate string matching/retrieval
simulide (0.1.7+dfsg-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.1.7+dfsg-2 [armel, armhf])
simple real time electronic circuit simulator
simulpic (1:2005-1-28-10)
simulator for Microchip PIC16F84 microcontroller
simutrans (121.0-1)
transportation simulator
simutrans-data (121.0-1)
transportation simulator (base data)
simutrans-makeobj (121.0-1)
data file compiler for Simutrans
simutrans-pak128.britain (1.18-2)
transportation simulator (data files)
simutrans-pak64 (121.0-1)
transportation simulator (data files)
since (1.1-7)
tail(1) work-alike that saves and uses state information
sinfo (0.0.48-2.1)
tool for monitoring computer clusters using broadcasts
singular (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations
singular-data (1:4.1.1-p2+ds-4)
Computer Algebra System for Polynomial Computations -- shared data
singular-dev-doc (1:4.1.1-p2+ds-4)
Computer Algebra System for Polynomial Computations -- developer documentation
singular-doc (1:4.1.1-p2+ds-4)
Computer Algebra System for Polynomial Computations -- user documentation
singular-modules (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations -- module package
singular-ui (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations -- console user interface
singular-ui-emacs (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations -- emacs user interface
singular-ui-xterm (1:4.1.1-p2+ds-4+b2)
Computer Algebra System for Polynomial Computations -- xterm user interface
singularity (1.0.0-1)
game where one becomes the singularity
singularity-music (007-2)
Music for Endgame: Singularity game
sinntp (1.6-1.2)
tiny non-interactive NNTP client
sip-dev (4.19.25+dfsg-1)
Python/C++ bindings generator code generator application
sip-py3api-12.0
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.1
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.2
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.3
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.4
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.5
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.6
本虛擬套件由這些套件填實: python3-sip
sip-py3api-12.7
本虛擬套件由這些套件填實: python3-sip
sip-router
本虛擬套件由這些套件填實: kamailio
sip-tester (1:3.6.1-1)
Performance testing tool for the SIP protocol
sip-tools (= 5.5.0+dfsg-3)
本虛擬套件由這些套件填實: sip5-tools
sip5-doc (5.5.0+dfsg-3)
Python bindings generator for C/C++ libraries — documentation
sip5-tools (5.5.0+dfsg-3)
Python bindings generator for C/C++ libraries — command line tools
sipcalc (1.1.6-1+b2)
Advanced console-based ip subnet calculator
sipcrack (0.2-4)
SIP login dumper/cracker
sipgrep (2.1.0-2+b1)
command line tool to sniff, capture, display SIP messages
sipsak (0.9.8.1-1)
SIP Swiss army knife
sipvicious (0.3.0-2)
tools to audit SIP based VoIP systems
siridb-server (2.0.43-1)
SiriDB time series database server
sirikali (1.4.8-1)
Manage user encrypted volumes
siril (0.99.8.1-1)
astronomical image processing tool
siril-common (0.99.8.1-1)
architecture-independent files for siril
sisc (1.16.6-1.2)
A Java integrated, fully R5RS compliant Scheme system
siscone-doc-html (2.0.6-2)
Developer's reference manual of SISCone (HTML)
siscone-doc-pdf (2.0.6-2)
Developer's reference manual of SISCone (PDF)
siscone-examples (2.0.6-2)
Seedless Infrared Safe Cone jet finder - example files
sispmctl (3.1-1+b2)
Control Gembird SIS-PM programmable power outlet strips
sisu (7.2.0-1)
documents - structuring, publishing in multiple formats and search
sisu-complete (7.2.0-1)
installs all SiSU related packages
sisu-markup-samples (7.1.3-1) [non-free]
document markup examples for sisu, publish and search framework
sisu-pdf (7.2.0-1)
dependencies to convert SiSU LaTeX output to pdf
sisu-postgresql (7.2.0-1)
SiSU dependencies for use with PostgreSQL database
sisu-sqlite (7.2.0-1)
SiSU dependencies for use with SQLite database
sitecopy (1:0.16.6-9)
program for managing a WWW site via FTP, SFTP, DAV or HTTP
sitesummary (0.1.46)
Generate site summary of submitting hosts (server part)
sitesummary-client (0.1.46)
Generate site summary of submitting hosts (client part)
sixer (1.6-3)
add Python 3 support to Python 2 applications using six - Python 3.x
sjaakii (1.4.1-2)
Sjaak II - computer player for many Chess variants, including Shogi and XiangQi
sjeng (11.2-8.1)
chess program that plays many variants
skalibs-dev (2.10.0.2-1)
development files used for building software at skarnet.org
skalibs-doc (2.10.0.2-1)
documentation of skalibs
skanlite (2.2.0-1)
image scanner based on the KSane backend
skesa (2.4.0-1)
strategic Kmer extension for scrupulous assemblies
sketch (1:0.3.7-12)
3D diagrams for TeX from scene description language
sketch-doc (1:0.3.7-12)
Extra documentation for the sketch 3D line drawing system
skewer (0.2.2-2)
post-processing of high-throughput DNA sequence reads
skk
本虛擬套件由這些套件填實: ddskk
skkdic (20210106-2)
standard dictionary file for SKK
skkdic-cdb (20210106-2)
standard dictionary file for SKK in cdb format for faster access
skkdic-extra (20210106-2)
optional non-standard dictionary files for SKK
skksearch (0.0-25)
SKK dictionary server handling multiple dictionaries
skkserv
本虛擬套件由這些套件填實: dbskkd-cdb, skksearch, yaskkserv
skktools (1.3.4+0.20210126-3)
SKK dictionary maintenance tools
skopeo (1.2.2+dfsg1-1+b6)
Tooling to work with remote images registries
skrooge (2.24.6-1+b1)
personal finance manager for KDE
skrooge-common (2.24.6-1)
Skrooge architecture independent files
sks (1.1.6+git20210302.c3ba6d5a-3)
Synchronizing OpenPGP Key Server
skycat (3.1.2+starlink1~b+dfsg-5+b3)
Image visualization and access to catalogs and data for astronomy
skylighting (0.8.5-1)
syntax highlighting tool
skype4pidgin
本虛擬套件由這些套件填實: pidgin-skype-common
skytools3-ticker (3.3-5)
Transitional package to pull in pgqd
skyview (3.5.5+repack-2)
Image generation from a range of remote databases
skyview-java (3.5.5+repack-2)
Image generation from a range of remote databases (Java package)
skyview-java-doc (3.5.5+repack-2)
Image generation from a range of remote databases (API doc)
sl (5.02-1+b1 [amd64], 5.02-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Correct you if you type `sl' by mistake
slack (1:0.15.2-9.1)
configuration management program for lazy admins
slang-cfitsio (0.3.8+nosvn-5+b5)
read and write FITS files from S-Lang
slang-curl (0.2.1-6)
transfer files using HTTP and FTP from S-Lang
slang-expat (0.5.0-3+b1)
S-Lang bindings for the expat XML parser
slang-gdbm (1.7.1-7+b1)
access to GDBM databases from S-Lang
slang-gsl (0.7.0-6+b3 [mips64el], 0.7.0-6+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
GNU Scientific Library binding for S-Lang
slang-histogram
本虛擬套件由這些套件填實: libslang2-modules
slang-pvm (0.1.5-13+b1)
PVM (Parallel Virtual Machine) interface for S-Lang
slang-sqlite (0.4.0-4+b1)
S-Lang bindings to the sqlite3 database library
slang-tess (0.3.0-7.1)
regression testing system for the S-Lang scripting language
slang-wildcard (0.5.0-3+b1)
adds a wildcard match function to S-Lang
slang-xfig (0.2.0~.117-2)
produce plots and drawings through Xfig's fig2dev in S-Lang
slapd (2.4.57+dfsg-3+deb11u1)
OpenLDAP server (slapd)
slapd-contrib (2.4.57+dfsg-3+deb11u1)
contributed plugins for OpenLDAP slapd
slapd-smbk5pwd (2.4.57+dfsg-3+deb11u1)
transitional package for slapd-contrib
slapd-smbk5pwd
本虛擬套件由這些套件填實: slapd-contrib
slapi-dev (2.4.57+dfsg-3+deb11u1)
development libraries for OpenLDAP SLAPI plugin interface
slapi-nis (0.56.5-2)
NIS Server and Schema Compatibility plugins for 389 Directory Server
slashem (0.0.7E7F3-10)
variant of Nethack
slashem-common (0.0.7E7F3-10)
files common to all slashem-packages
slashem-gtk (0.0.7E7F3-10)
variant of Nethack (Gtk window port)
slashem-sdl (0.0.7E7F3-10)
variant of Nethack (SDL window port)
slashem-x11 (0.0.7E7F3-10)
variant of Nethack (X11 window port)
slashtime (0.5.13-2)
Display the time in various places
slay (3.0.2)
Kills all of the user's processes
slbackup (0.0.12-13)
Skolelinux Backup system
slbackup-php (0.4.5-4)
Web-based administration tool for slbackup
sleepd (2.11)
puts an inactive or low battery laptop to sleep
sleepenh (1.7-1)
Sleep until a given date with subsecond resolution
sleepyhead
本虛擬套件由這些套件填實: oscar
slepc-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
slepc3.14-doc (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations
slepc64-dev (3.14.2+dfsg1-1)
Scalable Library for Eigenvalue Problem Computations (64-bit)
sleuthkit (4.10.1+dfsg-1)
tools for forensics analysis on volume and filesystem data
slib (3b6-3)
Portable Scheme library
slic3r (1.3.0+dfsg1-5)
G-code generator for 3D printers
slic3r-prusa (2.3.0+dfsg-1)
Transitional package for prusa-slicer
slice (2.32.0~ds1-1)
Extract out pre-defined slices of an ASCII file
slick-greeter (1.5.1-1)
Slick-looking LightDM greeter
slim (1.3.6-5.2)
desktop-independent graphical login manager for X11
slime (2:2.26.1+dfsg-2)
Superior Lisp Interaction Mode for Emacs (client)
slimevolley (2.4.2+dfsg-3)
unrealistic 2D volleyball simulation
slimevolley-data (2.4.2+dfsg-3)
unrealistic 2D volleyball simulation - data files
slimit (0.8.1-4)
JavaScript minifier/parser in Python
slinkwatch (1.1-2+b6)
automatic maintenance of Suricata monitoring interfaces
slirp (1:1.0.17-11)
SLIP/PPP emulator using a dial up shell account
slirp4netns (1.0.1-2)
User-mode networking for unprivileged network namespaces
sloccount (2.26-5.2)
programs for counting physical source lines of code (SLOC)
slock
本虛擬套件由這些套件填實: suckless-tools
slony1-2-bin (2.2.10-1)
replication system for PostgreSQL: daemon and administration tools
slony1-2-doc (2.2.10-1)
Slony-I documentation
slony1-bin
本虛擬套件由這些套件填實: slony1-2-bin
slony1-doc
本虛擬套件由這些套件填實: slony1-2-doc
slop (7.5-1+b1)
queries for a selection from the user and prints the region to stdout
slowhttptest (1.8.2-1)
application layer Denial of Service attacks simulation tool
slowmovideo (0.5+git20190116-3+b2)
create slow-motion videos from your footage
slrn (1.0.3+dfsg-5)
threaded text-mode news reader
slrnface (2.1.1-7+b1)
shows X-Faces from a newsposting on an X11 terminal emulator
slrnpull (1.0.3+dfsg-5)
pulls a small newsfeed from an NNTP server
slsh (2.3.2-5)
Interpreter for S-Lang language
sludge-compiler (2.2.2-3)
Compiler for SLUDGE adventure games
sludge-devkit (2.2.2-3)
Development tools for creating SLUDGE adventure games
sludge-doc (2.2.2-3)
Documentation for SLUDGE
sludge-engine (2.2.2-3)
Runtime engine for playing SLUDGE adventure games
slugify (4.0.0-1)
Python slugify application that handles unicode
sluice (0.02.12-1)
rate limiting data piping tool
slurm (0.4.3-2+b3)
Realtime network interface monitor
slurm-client (20.11.7+really20.11.4-2+deb11u1)
SLURM client side commands
slurm-client-emulator (20.11.7+really20.11.4-2+deb11u1)
SLURM client side commands for the emulator
slurm-wlm (20.11.7+really20.11.4-2+deb11u1)
Simple Linux Utility for Resource Management
slurm-wlm-basic-plugins (20.11.7+really20.11.4-2+deb11u1)
SLURM basic plugins
slurm-wlm-basic-plugins-dev (20.11.7+really20.11.4-2+deb11u1)
SLURM basic plugins development files
slurm-wlm-doc (20.11.7+really20.11.4-2+deb11u1)
SLURM documentation
slurm-wlm-emulator (20.11.7+really20.11.4-2+deb11u1)
SLURM emulator
slurm-wlm-torque (20.11.7+really20.11.4-2+deb11u1)
Torque compatibility wrappers for SLURM
slurmctld (20.11.7+really20.11.4-2+deb11u1)
SLURM central management daemon
slurmd (20.11.7+really20.11.4-2+deb11u1)
SLURM compute node daemon
slurmdbd (20.11.7+really20.11.4-2+deb11u1)
Secure enterprise-wide interface to a database for SLURM
slurmrestd (20.11.7+really20.11.4-2+deb11u1)
SLURM REST API daemon
slurp (1.3.1-1)
cli utility to select a region in a Wayland compositor
sm (0.26-1)
Displays a short text fullscreen
sma (1.4-3.1)
Sendmail log analyser
smalt (0.7.6-9)
Sequence Mapping and Alignment Tool
smalt-examples (0.7.6-9)
Sequence Mapping and Alignment Tool (examples)
smart-notifier (0.28-7)
graphical hard disk health status notifier
smartdns (33+dfsg-2.1)
local DNS server to obtain the fastest IP for the best experience
smartleia (1.0.1-2)
PC/SC VPCD backend connector for LEIA board
smartlist (3.15-25+b1)
Versatile and Intelligent List Processor
smartmontools (7.2-1)
control and monitor storage systems using S.M.A.R.T.
smarty-gettext (1.6.1-1)
Gettext plugin enabling internationalization in Smarty
smarty-lexer (3.1.32+dfsg1-3)
Smarty Lexer/Parser generator
smarty-validate (3.0.3-4)
Server-side form validation plugin for Smarty
smarty3 (3.1.39-2+deb11u1)
Smarty - the compiling PHP template engine
smb-nat (1:1.0-6+b1)
Netbios Auditing Tool
smb2www (980804-42)
SMB/CIFS network client with a web interface
smb4k (3.0.7-1)
Samba (SMB) share advanced browser
smbclient (2:4.13.13+dfsg-1~deb11u6) [security]
command-line SMB/CIFS clients for Unix
smbios-utils (2.4.3-1)
Provide access to (SM)BIOS information -- utility binaries
smbldap-tools (0.9.11-2)
Scripts to manage Unix and Samba accounts stored on LDAP
smbmap (1.8.2-2)
handy SMB enumeration tool
smbnetfs (0.6.3-1)
User-space filesystem for SMB/NMB (Windows) network servers and shares
smcroute (2.4.4-3)
static multicast router daemon
smem (1.5-1.1)
memory reporting tool
smemcap (1.5-1.1)
data collection tool for memory reporting
smemstat (0.02.08-1)
memory usage monitoring tool
smenu (0.9.17-1)
curse-based CLI selection box
smf-utils (1.3-4)
Utilities to support the smf library
smistrip (0.4.8+dfsg2-16)
extract MIB from text files like RFC
smithwaterman (0.0+git20160702.2610e25-11)
determine similar regions between two strings or genomic sequences
smitools (0.4.8+dfsg2-16)
various tools operating on MIB module files
sml-mode (6.10-1)
Transition package, sml-mode to elpa-sml-mode
smlnj (110.79-6)
Standard ML of New Jersey interactive compiler
smlnj-doc (110.79-6)
Documentation for Standard ML of New Jersey
smlnj-runtime (110.79-6)
Standard ML of New Jersey runtime system
smlsharp (1.2.0-2.1)
Standard ML compiler with practical extensions
smokeping (2.7.3-3)
latency logging and graphing system
smp-utils (0.99-1)
SAS Expander (SMP) utilities for SAS/SATA disk arrays
smpeg-plaympeg (0.4.5+cvs20030824-9)
SMPEG command line MPEG audio/video player
smplayer (20.6.0~ds0-1)
Complete front-end for MPlayer and mpv
smplayer-l10n (20.6.0~ds0-1)
Complete front-end for MPlayer and mpv - translation files
smplayer-themes (1:20.11.0-1)
complete front-end for MPlayer - icon themes
smpq (1.6-2)
StormLib MPQ archiving utility
smrtanalysis (0~20210111)
software suite for single molecule, real-time sequencing
smrtanalysis-dev (0~20210111)
develepment libraries for smrtanalysis
sms4you (0.0.7-1)
Personal gateway connecting SMS to XMPP or email
sms4you-doc (0.0.7-1)
Personal gateway connecting SMS to XMPP or email - documentation
sms4you-email (0.0.7-1)
Personal gateway connecting SMS to email
sms4you-xmpp (0.0.7-1)
Personal gateway connecting SMS to XMPP
smstools (3.1.21-4+b1 [amd64], 3.1.21-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
SMS server tools for GSM modems
smtpping (1.1.3-2+b1 [amd64], 1.1.3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
SMTP benchmarking and measurement tool
smtube (18.3.0-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 18.3.0-1 [armel, armhf])
YouTube videos browser
smuxi (1.1-1)
graphical IRC client
smuxi-engine (1.1-1)
Engine libraries for Smuxi (IRC, Twitter, XMPP, Campfire, JabbR)
smuxi-frontend-gnome (1.1-1)
GNOME frontend for Smuxi
sn (0.3.8-12)
Small NNTP server for leaf sites
snacc (1.3.1-7)
ASN.1 to C or C++ or IDL compiler
snacc-doc (1.3.1-7)
ASN.1 to C or C++ or IDL compiler, documentation
snake4 (1.0.14-1+b1)
Snake game
snakemake (5.24.1-2)
pythonic workflow management system
snap (2013-11-29-11)
location of genes from DNA sequence with hidden markov model
snap-aligner (1.0.0+dfsg-2+b1)
Scalable Nucleotide Alignment Program
snap-confine (2.49-1+deb11u2)
Transitional package for snapd
snap-templates (1.0.0.2-4)
scaffolding CLI for the Snap Framework
snapclient (0.23.0+dfsg1-1)
Snapcast client
snapd (2.49-1+deb11u2)
Daemon and tooling that enable snap packages
snapd-glib-tests (1.58-4)
GLib snapd library (installed tests)
snaphu (2.0.4-1) [non-free]
Statistical-Cost, Network-Flow Algorithm for 2D Phase Unwrapping
snapper (0.8.15-1)
Linux filesystem snapshot management tool
snapper-gui (0git.960a94834f-3.1)
graphical user interface for snapper
snapraid (11.5-1)
backup program for disk arrays
snapserver (0.23.0+dfsg1-1)
Snapcast server
snarf (7.0-6+b1)
command-line URL grabber
snd (21.1-1)
Sound file editor
snd-common (21.1-1)
Sound file editor (core)
snd-doc (21.1-1)
Sound file editor (documentation)
snd-gtk-jack (21.1-1)
Sound file editor (dummy transitional package)
snd-gtk-pulse (21.1-1)
Sound file editor (dummy transitional package)
snd-gui
本虛擬套件由這些套件填實: snd-gui-jack
snd-gui-jack (21.1-1)
Sound file editor (graphical user interface - JACK)
snd-gui-pulse (21.1-1)
Sound file editor (graphical user interface - PulseAudio)
snd-nox (21.1-1)
Sound file editor (cmdline)
sndfile-programs (1.0.31-2)
Sample programs that use libsndfile
sndfile-tools (1.5-1)
Collection of programs for operating on sound files
sndio-tools (1.5.0-3)
Small audio and MIDI framework from OpenBSD, basic tools
sndiod (1.5.0-3)
Small audio and MIDI framework from OpenBSD, sound server
snek (1.5-1)
Embedded system programming language
snek-bin (1.5-1)
Host binary version of Snek programming language
sng (1.1.0-4)
specialized markup language for representing PNG contents
sngrep (1.4.8-1)
Ncurses SIP Messages flow viewer
sniffglue (0.11.1-6+b1)
Secure multithreaded packet sniffer
sniffit (0.5-1)
packet sniffer and monitoring tool
sniffles (1.0.12b+ds-1)
structural variation caller using third-generation sequencing
snimpy (0.8.13-2)
interactive SNMP tool with Python
sniproxy (0.6.0-2+deb11u1)
Transparent TLS and HTTP layer 4 proxy with SNI support
snmp (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) applications
snmp-mibs-downloader (1.5) [non-free]
install and manage Management Information Base (MIB) files
snmpd (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) agents
snmpsim (0.4.5-1)
SNMP agent simulator
snmptrapd (5.9+dfsg-4+deb11u1)
Net-SNMP notification receiver
snmptrapfmt (1.17)
configurable snmp trap handler daemon for snmpd
snmptt (1.4.2-1)
SNMP trap handler for use with snmptrapd
snoopy (2.4.12-1)
execve() wrapper and logger
snooze (0.5-1)
run a command at a particular time
snort (2.9.20-0+deb11u1) [security]
flexible Network Intrusion Detection System
snort-common (2.9.20-0+deb11u1) [security]
flexible Network Intrusion Detection System - common files
snort-common-libraries (2.9.20-0+deb11u1) [security]
flexible Network Intrusion Detection System - libraries
snort-doc (2.9.20-0+deb11u1) [security]
flexible Network Intrusion Detection System - documentation
snort-rules
本虛擬套件由這些套件填實: oinkmaster, snort-rules-default
snort-rules-default (2.9.20-0+deb11u1) [security]
flexible Network Intrusion Detection System - ruleset
snowball-data (0+20210120-1)
test data for Snowball stemming algorithms
snowdrop (0.02b-13)
plain text watermarking and watermark recovery
snp-sites (2.5.1-1)
Binary code for the package snp-sites
snpomatic (1.0-5)
fast, stringent short-read mapping software
sntop (1.4.3-5)
A curses-based utility that polls hosts to determine connectivity
sntp (1:4.2.8p15+dfsg-1)
Network Time Protocol - sntp client
so-synth-lv2 (1.5-1)
Set of synthesizers for the LV2 plugin format
soapaligner (2.20-5)
aligner of short reads of next generation sequencers
soapdenovo (1.05-6)
short-read assembly method to build de novo draft assembly
soapdenovo2 (242+dfsg-1)
short-read assembly method to build de novo draft assembly
soapsnp (1.03-4)
resequencing utility that can assemble consensus sequence of genomes
soapyosmo-common0.7 (0.2.5-4)
Use gr-osmosdr drivers with SoapySDR (common files)
soapyremote-server (0.5.2-1)
Use SoapySDR devices over network (server)
soapysdr-module-airspy (0.1.2-2)
Airspy device support for SoapySDR (default version)
soapysdr-module-all (0.7.2-2)
All device support for default version of SoapySDR (metapackage)
soapysdr-module-audio (0.1.1-2+b1)
Audio device support for SoapySDR (default version)
soapysdr-module-bladerf (0.4.1-2)
bladeRF device support for SoapySDR (default version)
soapysdr-module-hackrf (0.3.3-3)
HackRF device support for SoapySDR (default version)
soapysdr-module-lms7 (20.10.0+dfsg-2)
Lime Microsystems LMS7 device support for SoapySDR (default version)
soapysdr-module-mirisdr (0.2.5-4)
Mirics SDR device support for SoapySDR (default version)
soapysdr-module-osmosdr (0.2.5-4)
OsmoSDR device support for SoapySDR (default version)
soapysdr-module-redpitaya (0.1.1-2)
RedPitaya device support for SoapySDR (default version)
soapysdr-module-remote (0.5.2-1)
Use SoapySDR devices over network (default client module)
soapysdr-module-rfspace (0.2.5-4)
RFSpace device support for SoapySDR (default version)
soapysdr-module-rtlsdr (0.3.0-2)
RTL-SDR device support for SoapySDR (default version)
soapysdr-module-uhd (0.4.1-1)
UHD device support for SoapySDR (default version)
soapysdr-module-xtrx (0.0.1+git20191219.98458ce-1+b1)
XTRX device support for SoapySDR (default version)
soapysdr-tools (0.7.2-2)
software defined radio interface library tools
soapysdr0.7-module
本虛擬套件由這些套件填實: soapysdr0.7-module-airspy, soapysdr0.7-module-bladerf, soapysdr0.7-module-rtlsdr, soapysdr0.7-module-hackrf, soapysdr0.7-module-remote, soapysdr0.7-module-redpitaya, soapysdr0.7-module-uhd, soapysdr0.7-module-audio
soapysdr0.7-module-airspy (0.1.2-2)
Airspy device support for SoapySDR
soapysdr0.7-module-all (0.7.2-2)
All device support for SoapySDR (metapackage)
soapysdr0.7-module-audio (0.1.1-2+b1)
Audio device support for SoapySDR
soapysdr0.7-module-bladerf (0.4.1-2)
bladeRF device support for SoapySDR
soapysdr0.7-module-hackrf (0.3.3-3)
HackRF device support for SoapySDR
soapysdr0.7-module-lms7 (20.10.0+dfsg-2)
Lime Microsystems LMS7 device support for SoapySDR
soapysdr0.7-module-mirisdr (0.2.5-4)
Mirics SDR device support for SoapySDR
soapysdr0.7-module-osmosdr (0.2.5-4)
OsmoSDR device support for SoapySDR
soapysdr0.7-module-redpitaya (0.1.1-2)
RedPitaya device support for SoapySDR
soapysdr0.7-module-remote (0.5.2-1)
Use SoapySDR devices over network (client module)
soapysdr0.7-module-rfspace (0.2.5-4)
RFSpace device support for SoapySDR
soapysdr0.7-module-rtlsdr (0.3.0-2)
RTL-SDR device support for SoapySDR
soapysdr0.7-module-uhd (0.4.1-1)
UHD device support for SoapySDR
soapysdr0.7-module-xtrx (0.0.1+git20191219.98458ce-1+b1)
XTRX device support for SoapySDR
socat (1.7.4.1-3)
multipurpose relay for bidirectional data transfer
socket (1.1-10+b2)
Multi purpose socket tool
socklog (2.1.0+repack-4+b1 [amd64], 2.1.0+repack-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
system and kernel logging services - binaries
socklog-run (2.1.0+repack-4+b1 [amd64], 2.1.0+repack-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
system and kernel logging services - runit services
sockperf (3.6-2)
Network benchmarking utility for testing latency and throughput
sockstat (0.4.1-1)
view detailed information about open connections
socnetv (2.8-1+b1 [i386], 2.8-1 [amd64, arm64, armel, armhf, mips64el, mipsel, ppc64el, s390x])
Social Networks Analysis and Visualization software
sofia-sip-bin (1.12.11+20110422.1-2.1+deb11u2)
Sofia-SIP library utilities
sofia-sip-doc (1.12.11+20110422.1-2.1+deb11u2)
Sofia-SIP library documentation
softether-common (5.01.9674+git20200806+8181039+dfsg2-2)
multiprotocol VPN program (common files)
softether-vpnbridge (5.01.9674+git20200806+8181039+dfsg2-2)
multiprotocol VPN program (bridge daemon)
softether-vpnclient (5.01.9674+git20200806+8181039+dfsg2-2)
multiprotocol VPN program (client)
softether-vpncmd (5.01.9674+git20200806+8181039+dfsg2-2)
multiprotocol VPN program (command-line tools)
softether-vpnserver (5.01.9674+git20200806+8181039+dfsg2-2)
multiprotocol VPN program (server daemon)
softflowd (1.0.0-2)
Flow-based network traffic analyser
softhsm (2.6.1-2)
cryptographic store accessible through a PKCS #11 (dummy)
softhsm-common (2.6.1-2)
cryptographic store accessible through a PKCS #11 (dummy)
softhsm2 (2.6.1-2)
cryptographic store accessible through a PKCS #11
softhsm2-common (2.6.1-2)
cryptographic store accessible through a PKCS #11
software-properties-common (0.96.20.2-2.1)
manage the repositories that you install software from (common)
software-properties-gtk (0.96.20.2-2.1)
manage the repositories that you install software from (gtk)
software-properties-kde (0.96.20.2-2.1)
manage the repositories that you install software from (qt)
sogo (5.0.1-4+deb11u1)
Scalable groupware server
sogo-common (5.0.1-4+deb11u1)
Scalable groupware server - common files
solaar (1.0.4+dfsg-1)
Logitech Unifying Receiver peripherals manager for Linux
solaar-gnome3 (1.0.4+dfsg-1)
GNOME Shell integration for Solaar (transitional package)
solarpowerlog (0.24-8+b5)
photovoltaic data logging
solarwolf (1.5+dfsg1-3)
Collect the boxes and don't become mad
solfege (3.23.4-11)
Ear training software
solfege-doc (3.23.4-11)
Ear training software - documentation
solfege-oss (3.23.4-11)
Ear training software - OSS support module
solid-pop3d (0.15-31)
POP3 server supporting Maildir, PAM, vhosting
sollya (7.0+ds-3+b2)
library for safe floating-point code development -- tool
sollya-doc (7.0+ds-3)
library for safe floating-point code development -- doc
solvate (1.0-3) [non-free]
arranges water molecules around protein structures
solvate-doc (1.0-3) [non-free]
Documentation for solvate
solvespace (3.0.rc2+repack1-3)
Parametric 2d/3d CAD
sonata (1.7.0-1)
GTK+ client for the Music Player Daemon (MPD)
songwrite (3-0.1-3)
guitar tablature editor and player
sonic (0.2.0-10)
Simple utility to speed up or slow down speech
sonic-pi (3.2.2~repack-7)
Live Coding for Everyone
sonic-pi-samples (3.2.2~repack-7)
Audio samples for Sonic Pi
sonic-pi-server (3.2.2~repack-7)
Backend server for Sonic Pi
sonic-pi-server-doc (3.2.2~repack-7)
Documentation for Sonic Pi
sonic-visualiser (4.2-1)
viewing and analysing the contents of music audio files
sonnet-plugins (5.78.0-2)
spell checking library for Qt, plugins
soong (0.0~git20201014.17e97d9-2+b5)
Soong build system (command-line tools)
sooperlooper (1.7.6~dfsg0-1)
Looping Sampler
sope-bin (5.0.1-2)
SKYRiX Object Publishing Environment (binaries)
sopwith (1.8.4-15)
port of the 1980's side-scrolling WWI dogfighting game
sorcerer
本虛擬套件由這些套件填實: pccts
sordi (0.16.8-2)
library for storing RDF data in memory - utilities
sortmail (1:2.4-3)
simple mail sorter
sortmerna (2.1-5)
tool for filtering, mapping and OTU-picking NGS reads
sosi2osm (1.0.0-7)
SOSI to OSM converter
sosreport (4.0-2)
Set of tools to gather troubleshooting data from a system
sound-icons (0.1-7)
Sounds for speech enabled applications
sound-juicer (3.38.0-1)
GNOME CD Ripper
sound-modules
本虛擬套件由這些套件填實: sound-modules-5.10.0-27-686-di, sound-modules-5.10.0-26-4kc-malta-di, sound-modules-5.10.0-25-686-pae-di, sound-modules-5.10.0-27-686-pae-di, sound-modules-5.10.0-26-686-pae-di, sound-modules-5.10.0-26-octeon-di, sound-modules-5.10.0-25-octeon-di, sound-modules-5.10.0-25-4kc-malta-di, sound-modules-5.10.0-28-4kc-malta-di, sound-modules-5.10.0-28-octeon-di, sound-modules-5.10.0-27-5kc-malta-di, sound-modules-5.10.0-28-5kc-malta-di, sound-modules-5.10.0-26-loongson-3-di, sound-modules-5.10.0-28-686-di, sound-modules-5.10.0-25-5kc-malta-di, sound-modules-5.10.0-27-amd64-di, sound-modules-5.10.0-27-octeon-di, sound-modules-5.10.0-27-4kc-malta-di, sound-modules-5.10.0-26-686-di, sound-modules-5.10.0-26-amd64-di, sound-modules-5.10.0-27-loongson-3-di, sound-modules-5.10.0-25-amd64-di, sound-modules-5.10.0-28-amd64-di, sound-modules-5.10.0-28-686-pae-di, sound-modules-5.10.0-25-loongson-3-di, sound-modules-5.10.0-26-5kc-malta-di, sound-modules-5.10.0-28-loongson-3-di, sound-modules-5.10.0-25-686-di
sound-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-25-686-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
sound support
sound-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
sound support
sound-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
sound support
sound-modules-5.10.0-26-686-di (5.10.197-1)
sound support
sound-modules-5.10.0-26-686-pae-di (5.10.197-1)
sound support
sound-modules-5.10.0-26-amd64-di (5.10.197-1)
sound support
sound-modules-5.10.0-26-loongson-3-di (5.10.197-1)
sound support
sound-modules-5.10.0-26-octeon-di (5.10.197-1)
sound support
sound-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-27-686-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
sound support
sound-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
sound support
sound-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
sound support
sound-modules-5.10.0-28-686-di (5.10.209-2)
sound support
sound-modules-5.10.0-28-686-pae-di (5.10.209-2)
sound support
sound-modules-5.10.0-28-amd64-di (5.10.209-2)
sound support
sound-modules-5.10.0-28-loongson-3-di (5.10.209-2)
sound support
sound-modules-5.10.0-28-octeon-di (5.10.209-2)
sound support
sound-theme-freedesktop (0.8-2)
freedesktop.org sound theme
soundconverter (4.0.0-1)
GNOME application to convert audio files into other formats
soundgrain (6.0.1-2)
Graphical interface to control granular sound synthesis modules
soundkonverter (3.0.1-2)
audio converter frontend for KDE
soundmodem (0.20-5+b2)
Sound Card Amateur Packet Radio Modems
soundscaperenderer (0.5.0~dfsg-4+b1)
tool for real-time spatial audio reproduction
soundscaperenderer-common (0.5.0~dfsg-4)
tool for real-time spatial audio reproduction (common-files)
soundscaperenderer-nox (0.5.0~dfsg-4+b1)
tool for real-time spatial audio reproduction (without X support)
soundstretch (2.2+ds1-2)
Stretches and pitch-shifts sound independently
source-extractor (2.25.0+ds-3)
Source extractor for astronomical images
source-highlight (3.1.9-3+b1)
convert source code to syntax highlighted document
sox (14.4.2+git20190427-2+deb11u2)
Swiss army knife of sound processing
spacearyarya (1.0.2-7.1)
third person shooter in pseudo-3D
spaced (1.2.0-201605+dfsg-2)
alignment-free sequence comparison using spaced words
spacefm (1.0.6-5)
Multi-panel tabbed file manager - GTK2 version
spacefm-common (1.0.6-5)
Multi-panel tabbed file manager - common files
spacefm-gtk3 (1.0.6-5)
Multi-panel tabbed file manager - GTK3 version
spacefm-hal
本虛擬套件由這些套件填實: spacefm
spacenavd (0.7.1-1)
daemon for using 3D input devices from 3Dconnexion
spacezero (0.80.06-1+b2)
Real Time Strategy 2D space combat, multiplayer net game.
spades (3.13.1+dfsg-2+b2)
genome assembler for single-cell and isolates data sets
spaln (2.4.1+dfsg-3)
splicing-aware transcript-alignment to genomic DNA
spaln-data (2.4.1+dfsg-3)
splicing-aware transcript-alignment to genomic DNA (data)
spamass-milter (0.4.0-2)
milter for filtering mail through spamassassin
spamassassin (3.4.6-1)
以 perl 為基礎使用文本分析的垃圾郵件過濾器
spamassassin-heatu (3.02+20101108-4)
Heuristic Email Address Tracker Utility for Spamassassin
spamc (3.4.6-1)
Client for SpamAssassin spam filtering daemon
spamoracle (1.6-1+b1)
statistical analysis spam filter based on Bayes' formula
spampd (2.53-1.1)
spamassassin based SMTP/LMTP proxy daemon
spamprobe (1.4d-14+b2)
Bayesian spam filter
sparkleshare (3.28+git20190525+cf446c0-3)
distributed collaboration and sharing tool
sparse (0.6.3-2)
semantic parser of source files
sparse-test-inspect (0.6.3-2)
semantic parser of source files
spass (3.9-1.1)
automated theorem prover for first-order logic with equality
spatialite-bin (5.0.1-1)
Geospatial extension for SQLite - tools
spatialite-gui (2.1.0~beta1-1+b1)
user-friendly graphical user interface for SpatiaLite
spawn-fcgi (1.6.4-2)
FastCGI process spawner
speakup-doc (3.1.6.dfsg.1-7)
Documentation for speakup kernel modules
speakup-modules
本虛擬套件由這些套件填實: speakup-modules-5.10.0-27-686-pae-di, speakup-modules-5.10.0-27-686-di, speakup-modules-5.10.0-27-loongson-3-di, speakup-modules-5.10.0-28-loongson-3-di, speakup-modules-5.10.0-28-686-pae-di, speakup-modules-5.10.0-25-686-pae-di, speakup-modules-5.10.0-27-amd64-di, speakup-modules-5.10.0-28-amd64-di, speakup-modules-5.10.0-25-amd64-di, speakup-modules-5.10.0-28-686-di, speakup-modules-5.10.0-25-loongson-3-di, speakup-modules-5.10.0-26-686-di, speakup-modules-5.10.0-26-loongson-3-di, speakup-modules-5.10.0-26-686-pae-di, speakup-modules-5.10.0-25-686-di, speakup-modules-5.10.0-26-amd64-di
speakup-modules-5.10.0-25-686-di (5.10.191-1) [security]
speakup modules
speakup-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
speakup modules
speakup-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
speakup modules
speakup-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
speakup modules
speakup-modules-5.10.0-26-686-di (5.10.197-1)
speakup modules
speakup-modules-5.10.0-26-686-pae-di (5.10.197-1)
speakup modules
speakup-modules-5.10.0-26-amd64-di (5.10.197-1)
speakup modules
speakup-modules-5.10.0-26-loongson-3-di (5.10.197-1)
speakup modules
speakup-modules-5.10.0-27-686-di (5.10.205-2) [security]
speakup modules
speakup-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
speakup modules
speakup-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
speakup modules
speakup-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
speakup modules
speakup-modules-5.10.0-28-686-di (5.10.209-2)
speakup modules
speakup-modules-5.10.0-28-686-pae-di (5.10.209-2)
speakup modules
speakup-modules-5.10.0-28-amd64-di (5.10.209-2)
speakup modules
speakup-modules-5.10.0-28-loongson-3-di (5.10.209-2)
speakup modules
speakup-tools (1:0.0~git20121016.1-6)
Tools to customize speakup module
spectemu-common (0.94a-20+b1) [contrib]
Fast 48k ZX Spectrum Emulator (common files)
spectemu-x11 (0.94a-20+b1) [contrib]
Fast 48k ZX Spectrum Emulator for X11
spectools (201601r1-1+b1)
Utilities for using the Wi-Spy USB spectrum analyzer hardware
spectral (0.0~git20210114.30028a2-2)
Glossy desktop chat client for the Matrix protocol
spectre-meltdown-checker (0.44-2)
Spectre & Meltdown vulnerability/mitigation checker
spectrum-roms (20081224-5) [non-free]
ZX Spectrum ROMs
spectrwm (3.4.1-1+b1)
dynamic tiling window manager
speech-dispatcher (0.10.2-2+deb11u2)
Common interface to speech synthesizers
speech-dispatcher-audio-plugins (0.10.2-2+deb11u2)
Speech Dispatcher: Audio output plugins
speech-dispatcher-baratinoo (0.10.2-2) [contrib]
Speech Dispatcher: Baratinoo (VoxyGen) output module
speech-dispatcher-cicero (0.10.2-2+deb11u2)
Speech Dispatcher: Cicero output module
speech-dispatcher-doc-cs (0.10.2-2+deb11u2)
Speech Dispatcher documentation in Czech
speech-dispatcher-espeak (0.10.2-2+deb11u2)
Speech Dispatcher: Espeak output module
speech-dispatcher-espeak-ng (0.10.2-2+deb11u2)
Speech Dispatcher: Espeak-ng output module
speech-dispatcher-festival (0.10.2-2+deb11u2)
Festival support for Speech Dispatcher
speech-dispatcher-flite (0.10.2-2+deb11u2)
Speech Dispatcher: Flite output module
speech-dispatcher-ibmtts (0.10.2-2) [contrib]
Speech Dispatcher: IBM TTS output module
speech-dispatcher-kali (0.10.2-2) [contrib]
Speech Dispatcher: Kali output module
speech-dispatcher-pico (0.10.2-2) [contrib]
Speech Dispatcher: Pico output module
speech-dispatcher-voxin (0.10.2-2) [contrib]
Speech Dispatcher: Voxin output module
speech-tools (1:2.5.0-11)
Edinburgh Speech Tools - user binaries
speech-tools-doc (1:2.5.0-11)
Documentation for the Edinburgh Speech Tools
speechd-el (2.9-2)
Emacs speech client using Speech Dispatcher
speechd-el-doc-cs (2.9-2)
speechd-el documentation in Czech
speechd-up (0.5~20110719-10)
Interface between Speech Dispatcher and SpeakUp
speedcrunch (0.12.0-5)
High precision calculator
speedometer (2.8-3)
measure and display the rate of data across a network connection
speedtest-cli (2.1.3-2)
Command line interface for testing internet bandwidth using speedtest.net
speex (1.2~rc1.2-1.1)
The Speex codec command line tools
speex-doc (1.2~rc1.2-1.1)
Documentation for speex
spell (1.0-24+b2)
GNU Spell, a clone of Unix `spell'
spellcast (1.0-22) [non-free]
Classic hand-waving multi-player game of spellcasting
spellcast-doc (1.5+nmu2) [non-free]
Documentation for the multi-player X game of spellcasting.
spew (1.0.8-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x], 1.0.8-1+b2 [mips64el])
I/O performance measurement and load generation tool
spf-tools-perl (2.9.0-5)
SPF tools (spfquery, spfd) based on the Mail::SPF Perl module
spf-tools-python (2.0.14-2)
sender policy framework (SPF) tools for Python
spfquery (1.2.10-7.1~deb11u1)
query SPF (Sender Policy Framework) to validate mail senders
sphde-utils (1.4.0-2)
Shared Persistent Heap Data Environment library utilities
sphinx (= 3.4.3-2)
本虛擬套件由這些套件填實: python3-sphinx
sphinx-common (3.4.3-2)
documentation generator for Python projects - common data
sphinx-doc (3.4.3-2)
documentation generator for Python projects - documentation
sphinx-intl (2.0.1-2)
translation support utility for Sphinx
sphinx-rtd-theme-common (0.5.1+dfsg-1)
sphinx theme from readthedocs.org (common files)
sphinxbase-utils (0.8+5prealpha+1-12)
Speech recognition tool - utililities
sphinxtrain (1.0.8+5prealpha+1-4)
Speech recognition tool - training tool
spi-tools (0.8.4-1)
Simple command line tools to help using Linux spidev devices
spice-client-glib-usb-acl-helper (0.39-1)
Helper tool to validate usb ACLs
spice-client-gtk (0.39-1)
Simple clients for interacting with SPICE servers
spice-html5 (0.1.7-5)
Spice Web client which runs entirely within a modern browser
spice-vdagent (0.20.0-2)
Spice agent for Linux
spice-webdavd (2.5-1)
daemon to proxy WebDAV request to the Spice virtio channel
spigot (0.2017-01-15.gdad1bbc6-1+b1)
Exact real calculator
spim (8.0+dfsg-6.1+b1 [amd64], 8.0+dfsg-6.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
MIPS R2000/R3000 emulator
spin (6.5.2+dfsg-1)
formal software verification tool
spinner (1.2.4-5)
Sends small packets over a idle link
spip (3.2.11-3+deb11u10)
website engine for publishing
spiped (1.6.1-2)
create secure pipes between socket addresses
spirv-headers (1.5.4+rt-1)
Machine-readable files for the SPIR-V Registry
spirv-tools (2020.6-2)
API and commands for processing SPIR-V modules
spl (2.0.3-9+deb11u1) [contrib]
Solaris Porting Layer user-space utilities for Linux (dummy)
spl-dkms (2.0.3-9+deb11u1) [contrib]
Solaris Porting Layer kernel modules for Linux (dummy)
splash (2.10.1-1)
Visualisation tool for Smoothed Particle Hydrodynamics simulation
splat (1.4.2-3)
analyze point-to-point terrestrial RF communication links
splay (0.9.5.2-14+b1)
Sound player for MPEG-1,2 layer 1,2,3
spline (1.2-4)
Akima spline interpolation
splint (1:3.1.2+dfsg-5)
tool for statically checking C programs for bugs
splint-data (1:3.1.2+dfsg-5)
tool for statically checking C programs for bugs - data files
splint-doc-html (1:3.1.2+dfsg-5)
tool for statically checking C programs for bugs - HTML documentation
split-select (1:10.0.0+r36-3)
APK split and selection tool
splitpatch (1.0+20190128+git3b2edf2-3)
split the patch up into files or hunks
splitvt (1.6.6-13+b1)
run two programs in a split screen
spoa (4.0.7+ds-1+b1)
SIMD partial order alignment tool
spooles-doc (2.2-14)
SPOOLES numerical simulation pre- and post-processor documentation
spotlighter (0.3-1.1+b2)
gtk interface to make annotations on the screen
sprai (0.9.9.23+dfsg1-2)
single-pass sequencing read accuracy improver
spread-phy (1.0.7+dfsg-3)
analyze and visualize phylogeographic reconstructions
spring (105.0.1+dfsg-2)
modern full-3D RTS game engine
spring-common (105.0.1+dfsg-2)
modern full-3D RTS game engine (common files)
spring-javaai (105.0.1+dfsg-2)
modern full-3D RTS game engine (Java AIs)
springgraph
本虛擬套件由這些套件填實: signing-party
springlobby (0.271-1)
single/multiplayer lobby for the Spring RTS engine
sprop
本虛擬套件由這些套件填實: suckless-tools
sptk (3.9-3)
speech signal processing toolkit
sputnik (12.06.27-2.1)
Extensible wiki
spview (2.0.0~beta2-2)
Spectrum Viewer
spyder (4.2.1+dfsg1-3+deb11u2)
Python IDE for scientists
spyder-common (4.2.1+dfsg1-3+deb11u2)
Common files for spyder
spyder3 (4.2.1+dfsg1-3+deb11u2)
Python IDE for scientists
sq (0.24.0-4+b1)
OpenPGP command-line tool from Sequoia
sq-keyring-linter (0.5.0-1+b2)
Linter for keyrings
sqitch (1.1.0000-1)
sensible database change management
sql-ledger (3.2.6-1)
Web based double-entry accounting program
sql-migrate (0.0~git20191213.0633851-2+b6)
SQL schema migration tool for Go (program)
sqlacodegen (1.1.6-3)
Automatic model code generator for SQLAlchemy
sqlcipher (3.4.1-2)
Command line interface for SQLCipher
sqlcl-package (0.1.1) [contrib]
Oracle SQL Developer Command-Line Debian package builder
sqldeveloper-package (0.5.4) [contrib]
Oracle SQL Developer Debian package builder
sqlfairy
本虛擬套件由這些套件填實: libsql-translator-perl
sqlformat (0.4.1-1)
SQL formatting utility
sqlgrey (1:1.8.0-4)
Postfix Greylisting Policy Server
sqlite3 (3.34.1-3)
Command line interface for SQLite 3
sqlite3-doc (3.34.1-3)
SQLite 3 documentation
sqlite3-pcre (0~git20070120091816+4229ecc-2)
Perl-compatible regular expression support for SQLite
sqlitebrowser (3.12.1-2)
GUI editor for SQLite databases
sqlline (1.0.2-8)
JDBC command-line utility for issuing SQL
sqlmap (1.5.2-1)
automatic SQL injection tool
sqlobject-admin (3.9.0+dfsg-1)
Helper applications for SQLObject, a Python ORM for databases
sqlsmith (1.2.1-1+b6)
random SQL query generator
sqop (0.22.1-1+b1)
Stateless OpenPGP Command Line Interface using Sequoia
squareness (2.3.0-7)
suite of skins for different applications
squashfs-modules
本虛擬套件由這些套件填實: squashfs-modules-5.10.0-28-686-pae-di, squashfs-modules-5.10.0-27-armmp-di, squashfs-modules-5.10.0-27-arm64-di, squashfs-modules-5.10.0-28-arm64-di, squashfs-modules-5.10.0-27-4kc-malta-di, squashfs-modules-5.10.0-27-amd64-di, squashfs-modules-5.10.0-28-amd64-di, squashfs-modules-5.10.0-25-armmp-di, squashfs-modules-5.10.0-26-686-pae-di, squashfs-modules-5.10.0-25-amd64-di, squashfs-modules-5.10.0-25-4kc-malta-di, squashfs-modules-5.10.0-26-4kc-malta-di, squashfs-modules-5.10.0-25-loongson-3-di, squashfs-modules-5.10.0-28-4kc-malta-di, squashfs-modules-5.10.0-28-powerpc64le-di, squashfs-modules-5.10.0-28-loongson-3-di, squashfs-modules-5.10.0-26-loongson-3-di, squashfs-modules-5.10.0-26-powerpc64le-di, squashfs-modules-5.10.0-26-octeon-di, squashfs-modules-5.10.0-25-5kc-malta-di, squashfs-modules-5.10.0-26-amd64-di, squashfs-modules-5.10.0-26-686-di, squashfs-modules-5.10.0-25-marvell-di, squashfs-modules-5.10.0-27-powerpc64le-di, squashfs-modules-5.10.0-28-octeon-di, squashfs-modules-5.10.0-25-arm64-di, squashfs-modules-5.10.0-25-powerpc64le-di, squashfs-modules-5.10.0-28-marvell-di, squashfs-modules-5.10.0-28-5kc-malta-di, squashfs-modules-5.10.0-26-5kc-malta-di, squashfs-modules-5.10.0-26-arm64-di, squashfs-modules-5.10.0-25-686-pae-di, squashfs-modules-5.10.0-26-armmp-di, squashfs-modules-5.10.0-26-marvell-di, squashfs-modules-5.10.0-25-octeon-di, squashfs-modules-5.10.0-25-686-di, squashfs-modules-5.10.0-27-octeon-di, squashfs-modules-5.10.0-27-686-di, squashfs-modules-5.10.0-27-marvell-di, squashfs-modules-5.10.0-28-armmp-di, squashfs-modules-5.10.0-27-loongson-3-di, squashfs-modules-5.10.0-27-686-pae-di, squashfs-modules-5.10.0-28-686-di, squashfs-modules-5.10.0-27-5kc-malta-di
squashfs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-686-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
squashfs modules
squashfs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-686-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-686-pae-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-amd64-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-arm64-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-armmp-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-marvell-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-octeon-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
squashfs modules
squashfs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-686-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
squashfs modules
squashfs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-686-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-686-pae-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-amd64-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-arm64-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-armmp-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-marvell-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-octeon-di (5.10.209-2)
squashfs modules
squashfs-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
squashfs modules
squashfs-tools (1:4.4-2+deb11u2)
Tool to create and append to squashfs filesystems
squashfs-tools-ng (1.0.4-1)
New set of tools for working with SquashFS images
squashfuse (0.1.103-3)
FUSE filesystem to mount squashfs archives
squeak-vm (1:4.10.2.2614-8+b1)
virtual machine for Smalltalk
squeekboard (1.12.0-1)
On-screen keyboard for Wayland
squeekboard-devel (1.12.0-1)
Resources for making Squeekboard layouts
squeezelite (1.9+git20210102.78fef68-3)
lightweight headless Squeezebox emulator - ALSA version
squeezelite
本虛擬套件由這些套件填實: squeezelite-pa, squeezelite-pulseaudio
squeezelite-pa (1.9+git20210102.78fef68-3)
lightweight headless Squeezebox emulator - PortAudio version
squeezelite-pulseaudio (1.9+git20210102.78fef68-3)
lightweight headless Squeezebox emulator - PulseAudio version
squid (4.13-10+deb11u3) [security]
Full featured Web Proxy cache (HTTP proxy GnuTLS flavour)
squid-cgi (4.13-10+deb11u3) [security]
Full featured Web Proxy cache (HTTP proxy) - control CGI
squid-common (4.13-10+deb11u3) [security]
Full featured Web Proxy cache (HTTP proxy) - common files
squid-deb-proxy (0.8.15)
Squid proxy configuration to optimize package downloads
squid-deb-proxy-client (0.8.15)
automatic proxy discovery for APT based on Avahi
squid-deb-proxy-client-udeb (0.8.15)
automatic proxy discovery for APT based on Avahi (udeb)
squid-langpack (20200403-1)
Localized error pages for Squid
squid-openssl (4.13-10+deb11u3) [security]
Full featured Web Proxy cache (HTTP proxy OpenSSL flavour)
squid-purge (4.13-10+deb11u3) [security]
Full featured Web Proxy cache (HTTP proxy) - cache management utility
squid3
本虛擬套件由這些套件填實: squid-openssl, squid
squid3-cgi
本虛擬套件由這些套件填實: squid-cgi
squid3-client
本虛擬套件由這些套件填實: squidclient
squid3-common
本虛擬套件由這些套件填實: squid-common
squidclient (4.13-10+deb11u3) [security]
Full featured Web Proxy cache (HTTP proxy) - HTTP(S) message utility
squidguard (1.6.0-2)
filter and redirector plugin for Squid
squidguard-doc (1.6.0-2)
filter and redirector plugin for Squid - Documentation
squidtaild (2.1a6-6.1)
Squid log monitoring program
squidview (0.86-1+b1)
monitors and analyses squid access.log files
squirrel3 (3.1-8)
lightweight, high-level, embeddable programming language
squishyball (0.1~svn19085-5+b1)
audio sample comparison testing tool
squizz (0.99d+dfsg-3)
Converter for genetic sequences and alignments
sqv (1.0.0-2+b1)
Simple OpenPGP signature verification program
sqwebmail (6.0.5+1.0.16-3)
Courier mail server - webmail server
sqwebmail-de (6.0.0-1)
German translations for the SqWebMail webmail service
sra-toolkit (2.10.9+dfsg-2)
utilities for the NCBI Sequence Read Archive
src2tex (2.12h-9+b1)
converter from source program files to TeX format files
srecord (1.64-2)
collection of powerful tools for manipulating EPROM load files
sredird (2.2.1-2+b1 [amd64], 2.2.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
相容 RFC 2217 的 Telnet 序列埠重導器
sreview-common (0.7.1-1)
SReview -- common code
sreview-detect (0.7.1-1)
SReview input detection script
sreview-encoder (0.7.1-1)
SReview encoder code
sreview-master (0.7.1-1)
SReview components for master host
sreview-web (0.7.1-1)
SReview webinterface
srf-doc (0.1+dfsg-7)
specification documents for the SRF format DNA sequence data
srm-ifce-dev (1.24.5-1)
SRM client side headers and development files
srptools (33.2-1)
Tools for Infiniband attached storage (SRP)
srs (0.31-6)
command-line Sender Rewriting Scheme client
srst2 (0.2.0-8)
Short Read Sequence Typing for Bacterial Pathogens
srt-tools (1.4.2-1.3)
Secure Reliable Transport UDP streaming library
ss-dev (2.0-1.46.2-2)
command-line interface parsing library - headers and static libraries
ssake (4.0-3)
genomics application for assembling millions of very short DNA sequences
ssake-examples (4.0-3)
example data for SSAKE, a genomic assembler of short reads
ssdeep (2.14.1+git20180629.57fcfff-2)
recursive piecewise hashing tool
sse2-support (6)
prevent installation on processors without required instructions
sse3-support (6)
prevent installation on processors without required instructions
sse4.2-support (6)
prevent installation on processors without required instructions
ssed (3.62-7+b1)
The super sed stream editor
sselp
本虛擬套件由這些套件填實: suckless-tools
ssft (0.9.17+nmu1)
Shell Scripts Frontend Tool
ssh (1:8.4p1-5+deb11u3)
secure shell client and server (metapackage)
ssh-agent-filter (0.5.2-1+b4)
filtering proxy for ssh-agent
ssh-askpass (1:1.2.4.1-10+b1 [amd64], 1:1.2.4.1-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
under X, asks user for a passphrase for ssh-add
ssh-askpass
本虛擬套件由這些套件填實: lxqt-openssh-askpass, ksshaskpass, ssh-askpass-gnome, kwalletcli, ssh-askpass-fullscreen
ssh-askpass-fullscreen (0.3-3.1+b2)
Under Gnome2, asks user for a passphrase for ssh-add
ssh-askpass-gnome (1:8.4p1-5+deb11u3)
interactive X program to prompt users for a passphrase for ssh-add
ssh-audit (2.2.0-1)
tool for ssh server auditing
ssh-client
本虛擬套件由這些套件填實: openssh-client
ssh-contact (0.7-1.1)
establish SSH connections to your IM contacts using Telepathy (metapackage)
ssh-contact-client (0.7-1.1)
establish SSH connections to your IM contacts using Telepathy (client)
ssh-contact-service (0.7-1.1)
establish SSH connections to your IM contacts using Telepathy (server)
ssh-cron (1.03.00-1)
cron daemon allowing ssh keys with passphrases to be used
ssh-import-id (5.10-1)
securely retrieve an SSH public key and install it locally
ssh-server
本虛擬套件由這些套件填實: openssh-server, tinysshd, lsh-server, dropbear-bin
ssh-tools (1.6-1)
collection of various tools using ssh
sshesame (0.0~git20161116.b74f93f-3+b6)
fake SSH server
sshfs (3.7.1+repack-2)
filesystem client based on SSH File Transfer Protocol
sshoot (1.4.2-1)
manage multiple sshuttle VPN sessions
sshpass (1.09-1+b1 [amd64], 1.09-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Non-interactive ssh password authentication
sshuttle (1.0.5-1)
Transparent proxy server for VPN over SSH
ssid
本虛擬套件由這些套件填實: suckless-tools
ssl-cert (1.1.0+nmu1)
simple debconf wrapper for OpenSSL
ssl-cert-check (4.14-1)
proactively handling X.509 certificate expiration
ssldump (1.3-2)
SSLv3/TLS network protocol analyzer
sslh (1.20-1)
Applicative protocol multiplexer
sslscan (2.0.7-1)
Tests SSL/TLS enabled services to discover supported cipher suites
sslsniff (0.8-9)
SSL/TLS man-in-the-middle attack tool
sslsplit (0.5.5-1+b1)
transparent and scalable SSL/TLS interception
ssmping (0.9.1-4)
check your multicast connectivity
ssmtp (2.64-10)
extremely simple MTA to get mail off the system to a mail hub
ssocr (2.19.0-2)
OCR for seven segment displays
sspace (2.1.1+dfsg-5)
scaffolding pre-assembled contigs after extension
ssreflect
本虛擬套件由這些套件填實: libssreflect-coq
sssd (2.4.1-2)
System Security Services Daemon -- metapackage
sssd-ad (2.4.1-2)
System Security Services Daemon -- Active Directory back end
sssd-ad-common (2.4.1-2)
System Security Services Daemon -- PAC responder
sssd-common (2.4.1-2)
System Security Services Daemon -- common files
sssd-dbus (2.4.1-2)
System Security Services Daemon -- D-Bus responder
sssd-ipa (2.4.1-2)
System Security Services Daemon -- IPA back end
sssd-kcm (2.4.1-2)
System Security Services Daemon -- Kerberos KCM server implementation
sssd-krb5 (2.4.1-2)
System Security Services Daemon -- Kerberos back end
sssd-krb5-common (2.4.1-2)
System Security Services Daemon -- Kerberos helpers
sssd-ldap (2.4.1-2)
System Security Services Daemon -- LDAP back end
sssd-proxy (2.4.1-2)
System Security Services Daemon -- proxy back end
sssd-tools (2.4.1-2)
System Security Services Daemon -- tools
ssss (0.5-5)
Shamir's secret sharing scheme implementation
ssvnc (1.0.29-5)
Enhanced TightVNC viewer with SSL/SSH tunnel helper
ssw-align (1.1-13)
Smith-Waterman aligner based on libssw
st-console (1.1.4-5)
simple mathematics statistics from the command line interface
stacer (1.1.0+ds-1)
Linux system optimizer and monitoring
stacks (2.55+dfsg-1)
pipeline for building loci from short-read DNA sequences
stacks-web
本虛擬套件由這些套件填實: stacks
staden (2.0.0+b11-4+b1)
DNA sequence assembly (Gap4/Gap5), editing and analysis tools
staden-common (2.0.0+b11-4)
Architecture independent files for Staden
staden-io-lib-examples (1.14.13-4)
programs for manipulating DNA sequencing files (usage examples)
staden-io-lib-utils (1.14.13-4)
programs for manipulating DNA sequencing files
stalin (0.11-6+b2)
An extremely aggressive Scheme compiler
stalonetray (0.8.1-1+b1)
Standalone freedesktop.org and KDE systray implementation
standardskriver (0.0.4-1)
Tool for dynamically setting a user's default printer at desktop session logon
stardata-common (0.8+b1)
Common framework to manage astronomy packages
stardict-czech (20171101-1)
Stardict package for Czech dictionary of foreign words
stardict-czech-english
本虛擬套件由這些套件填實: stardict-english-czech
stardict-czech-german
本虛擬套件由這些套件填實: stardict-german-czech
stardict-english-czech (20171101-1) [non-free]
Stardict package for English-Czech dictionary
stardict-german-czech (20171101-1) [non-free]
Stardict package for German-Czech dictionary
stardict-xmlittre (1:1.0-1.1)
French Littré dictionary for stardict
starfighter (2.3.3-1)
2D scrolling shooter game
starfighter-data (2.3.3-1)
2D scrolling shooter game -- data files
starlet (0.31-1.1)
simple, high-performance PSGI/Plack HTTP server
starlink-array-java (0.2+2020.10.01-1)
N-dimensional array manipulation and I/O in Java
starlink-array-java-doc (0.2+2020.10.01-1)
N-dimensional array manipulation and I/O in Java (javadoc)
starlink-cdf-java (1.0+2021.01.10+dfsg-1)
CDF table support for Starjava
starlink-cdf-java-doc (1.0+2021.01.10+dfsg-1)
CDF table support for Starjava (Javadoc)
starlink-connect-java (0.1+2020.10.01-1)
Abstract classes for persistent connections to remote services
starlink-connect-java-doc (0.1+2020.10.01-1)
Abstract classes for persistent connections (javadoc)
starlink-datanode-java (1.0+2020.10.01-1)
Classes for hierarchical browsing of data structures
starlink-datanode-java-doc (1.0+2020.10.01-1)
Classes for hierarchical browsing of data structures (documentation)
starlink-dpac-java (1.0+2021.01.08-1)
Java classes to process GAIA data
starlink-dpac-java-doc (1.0+2021.01.08-1)
Java classes to process GAIA data (Javadoc)
starlink-fits-java (0.1+2021.01.10-2)
Classes for general FITS handling
starlink-fits-java-doc (0.1+2021.01.10-2)
Classes for general FITS handling (javadoc)
starlink-pal-java (1.0.1+2020.10.01-1)
Starlink Positional Astronomy Library (Java version)
starlink-pal-java-doc (1.0.1+2020.10.01-1)
Starlink Positional Astronomy Library (Java version) - documentation
starlink-registry-java (1.2+2020.10.01-1)
Starlink IVOA registry access
starlink-registry-java-doc (1.2+2020.10.01-1)
Starlink IVOA registry access (API docs)
starlink-table-java (4.0-3)
Starlink Tables Infrastructure Library
starlink-table-java-doc (4.0-3)
Starlink Tables Infrastructure Library (documentation)
starlink-task-java (0.2+2020.10.01-1)
Java framework for invoking user-level tasks
starlink-task-java-doc (0.2+2020.10.01-1)
Java framework for invoking user-level tasks (javadoc)
starlink-tjoin-java (1.0+2021.01.10-2)
Join subclasses for Starjava table class
starlink-tjoin-java-doc (1.0+2021.01.10-2)
Join subclasses for Starjava table class (Javadoc)
starlink-topcat-java (4.8-2)
Tool for OPerations on Catalogues And Tables (Java library)
starlink-topcat-java-doc (4.8-2)
Tool for OPerations on Catalogues And Tables (JavaDoc)
starlink-ttools-java (3.4-2)
Starlink Tables Infrastructure Library Tool Set (Java library)
starlink-ttools-java-doc (3.4-2)
Starlink Tables Infrastructure Library Tool Set (Javadoc)
starlink-util-java (1.0+2021.01.10-2)
Miscellaneous utilities for the Starjava classes
starlink-util-java-doc (1.0+2021.01.10-2)
Miscellaneous utilities for the Starjava classes (documentation)
starlink-vo-java (0.2+2020.10.01-1)
Virtual Observatory access classes
starlink-vo-java-doc (0.2+2020.10.01-1)
Virtual Observatory access classes (javadoc)
starlink-votable-java (2.0+2021.01.10-2)
Classes for VOTable input and output
starlink-votable-java-doc (2.0+2021.01.10-2)
Classes for VOTable input and output (javadoc)
starman (0.4015-1)
high-performance preforking PSGI/Plack web server
starplot (0.95.5-8.3)
3-dimensional perspective star map viewer
starpu-any-examples
本虛擬套件由這些套件填實: starpu-contrib-examples, starpu-examples
starpu-any-tools
本虛擬套件由這些套件填實: starpu-tools, starpu-contrib-tools
starpu-contrib-examples (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines - exs
starpu-contrib-tools (1.3.7+dfsg-3) [contrib]
Task scheduler for heterogeneous multicore machines - tools
starpu-examples (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines - exs
starpu-tools (1.3.7+dfsg-3)
Task scheduler for heterogeneous multicore machines - tools
startpar (0.64-3)
run processes in parallel and multiplex their output
starvoyager (0.4.4-9)
2D space arcade game, themed around 'Star Trek' - binary
starvoyager-data (0.4.4-9)
2D space arcade game, themed around 'Star Trek' - data files
statcvs (1:0.7.0.dfsg-7)
CVS Repository statistic analysis tool, written in Java
statgrab (0.92-2)
sysctl-style access to system statistics
statnews (2.6)
Extracts useful statistics out of a newsgroup or mailing list
statserial (1.1-25+b1 [amd64], 1.1-25 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Displays serial port modem status lines
statsprocessor (0.11+git20160316-2)
word generator based on per-position Markov chains
statsvn (0.7.0.dfsg-10)
SVN repository statistics
stax (1.37-1+b1)
collection of puzzle games similar to Tetris Attack
stda (1.3.1-2.1)
simple tools for data analysis (stda)
stdsyslog (0.03.3-4)
log a program's output to the system log
ste-plugins (0.0.2-6)
stereo LADSPA plugins
stealth (4.02.00-3)
stealthy File Integrity Checker
stealth-doc (4.02.00-3)
stealthy File Integrity Checker documentation
steam (1.0.0.68-1) [non-free]
Valve's Steam digital software delivery system
steam-devices (1.0.0.68-1) [non-free]
Device support for Steam-related hardware
steamcmd (0~20180105-4) [non-free]
Command-line interface for Valve's Steam
stegcracker (2.1.0-1)
steganography brute-force tool
steghide (0.5.1-15)
steganography hiding tool
steghide-doc (0.5.1-15)
steganography hiding tool - documentation files
stegosuite (0.8.0-2)
steganography tool to hide information in image files
stegsnow (20130616-6)
steganography using ASCII files
stella (6.5.2-1)
Atari 2600 Emulator for SDL & the X Window System
stellarium (0.20.4-3)
real-time photo-realistic sky generator
stellarium-data (0.20.4-3)
Stellarium data files
stenc (1.0.7-2)
SCSI Tape Encryption Manager
stenographer (1.0.1-1+b6)
full-packet-capture utility -- server
stenographer-client (1.0.1-1)
full-packet-capture utility -- clients
stenographer-common (1.0.1-1)
full-packet-capture utility -- common files
step (4:20.12.1-1)
interactive physical simulator for KDE
stepic (0.5.0-1)
Python 3 Steganography in Images
steptalk (0.10.0-6+b8)
GNUstep Scripting Framework
stetl (2.0+ds-3)
Streaming ETL - Commandline utility
stex (1.2.1+git20171204.g5e4f0ca-4)
typeset Scheme code with LaTeX
stgit (0.19-1)
manage stacks of patches in a git repository
stgit-contrib (0.19-1)
set of contributed script to help working with stgit
stiff (2.4.0-5)
convert scientific FITS images to the TIFF format
stilts (3.4-2)
Starlink Tables Infrastructure Library Tool Set
stilts-doc (3.4-2)
Starlink Tables Infrastructure Library Tool Set (documentation)
stimfit (0.16.0-1+b4)
Program for viewing and analyzing electrophysiological data
stimfit-dbg (0.16.0-1+b4)
Debug symbols for stimfit
stk (4.6.1+dfsg-3+b1)
Sound Synthesis Toolkit (example applications)
stk-doc (4.6.1+dfsg-3)
Sound Synthesis Toolkit (documentation)
stl-manual (3.30-17)
C++ Standard Template Library Documentation
stlcmd (1.1-1)
Suite of commands for generating, inspecting and manipulating STL files
stlink-gui (1.6.1+ds-3)
OpenSource ST-Link tools replacement.
stlink-tools (1.6.1+ds-3)
OpenSource ST-Link tools replacement.
stm32flash (0.5+git20200914+2b0f078-1)
STM32 chip flashing utility using a serial bootloader
stockfish (12-2)
strong chess engine, to play chess against
stoken (0.92-1)
Software Token for cryptographic authentication
stompserver (0.9.9gem-4.1)
stomp messaging server implemented in Ruby
stopmotion (0.8.5-4)
create stop-motion animations
stops (0.3.0-3)
Stop and instrument definitions for Aeolus
stopwatch (3.5-8)
Virtual stopwatch and timer
storebackup (3.2.1-2)
fancy compressing managing checksumming deduplicating hard-linking cp -ua
stormbaancoureur (2.1.6-3)
simulated obstacle course for automobiles
stormbaancoureur-data (2.1.6-3)
game data for Stormbaan Coureur
storymaps (1.0+dfsg-3.1)
Free story planning and writing application for children
stow (2.3.1-1)
Organizer for /usr/local software packages
strace (5.10-1)
System call tracer
strace-udeb (5.10-1)
System call tracer
strace64 (5.10-1)
System call tracer for 64bit binaries
strcase-dev (0.1-3)
Multiway branch (switch) for short strings in C
streamer (3.107-1)
television capture tool (images/movies)
streamlink (2.0.0-1)
CLI for extracting video streams from various websites to a video player
streamripper (1.64.6-1+b2)
download online streams into audio files
streamtuner2 (2.2.1+dfsg-2.1)
Browser for Internet Radio Stations
stress (1.0.4-7)
tool to impose load on and stress test a computer system
stress-ng (0.12.06-1)
tool to load and stress a computer
stressant (0.6.0)
simple stress testing and burn-in tool
stressant-doc (0.6.0)
simple stress testing and burn-in tool (documentation)
stressant-meta (0.6.0)
stress-testing, benchmarking and recovery tools (meta-package)
stressapptest (1.0.6-2+b2)
stress test application for simulating high load situations
stretchplayer (0.503-4+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.503-4 [armel, armhf])
Audio file player with time stretch and pitch shifting
stretchplayer-dbg (0.503-4+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.503-4 [armel, armhf])
Debugging symbols for StretchPlayer
strife-engine
本虛擬套件由這些套件填實: chocolate-doom
stringtie (2.1.4+ds-4)
assemble short RNAseq reads to transcripts
strip-nondeterminism (1.12.0-1)
file non-deterministic information stripper — stand-alone tool
strn
本虛擬套件由這些套件填實: trn4
strong-name-tool
本虛擬套件由這些套件填實: mono-devel
strongswan (5.9.1-1+deb11u4)
IPsec VPN solution metapackage
strongswan-charon (5.9.1-1+deb11u4)
strongSwan Internet Key Exchange daemon
strongswan-libcharon (5.9.1-1+deb11u4)
strongSwan charon library
strongswan-nm (5.9.1-1+deb11u4)
strongSwan plugin to interact with NetworkManager
strongswan-pki (5.9.1-1+deb11u4)
strongSwan IPsec client, pki command
strongswan-scepclient (5.9.1-1+deb11u4)
strongSwan IPsec client, SCEP client
strongswan-starter (5.9.1-1+deb11u4)
strongSwan daemon starter and configuration file parser
strongswan-swanctl (5.9.1-1+deb11u4)
strongSwan IPsec client, swanctl command
stterm (0.8.4-1)
simple terminal emulator for X
stubby (1.6.0-2)
modern asynchronous DNS API (stub resolver)
stumpwm (2:1.0.0-1)
tiling, keyboard driven Common Lisp window manager
stun
本虛擬套件由這些套件填實: stun-server
stun-client (0.97~dfsg-2.1+b1)
Test client for STUN
stun-server (0.97~dfsg-2.1+b1)
Server daemon for STUN
stun-server
本虛擬套件由這些套件填實: ejabberd, coturn
stunnel
本虛擬套件由這些套件填實: stunnel4
stunnel4 (3:5.56+dfsg-10)
Universal SSL tunnel for network daemons
stx2any (1.56-2.2)
Converter from structured plain text to other formats
stylish-haskell (0.12.2.0-1)
Haskell code prettifier
stymulator (0.21a~dfsg-2+b1)
Curses based player and converter for the YM chiptune format
subdownloader (2.1.0-3)
subtitle download/upload manager for video files
subliminal (2.1.0-1)
Command-line tool to search and download subtitles
subliminal-nautilus (2.1.0-1)
Nautilus extension to search and download subtitles
subnetcalc (2.4.16-1)
IPv4/IPv6 Subnet Calculator
subread (2.0.1+dfsg-1)
toolkit for processing next-gen sequencing data
subread-data (2.0.1+dfsg-1)
data files for subread package
substance (7.3+dfsg3-5)
cross-platform look & feel for Swing applications
substance-doc (7.3+dfsg3-5)
cross-platform look & feel for Swing applications - documentation
substance-flamingo (7.3+dfsg3-5)
Substance Flamingo plugin
substance-flamingo-doc (7.3+dfsg3-5)
Substance Flamingo plugin (documentation)
substance-swingx (7.3+dfsg3-5)
Swingx components for substance L&F
substance-swingx-doc (7.3+dfsg3-5)
Swingx components for substance L&F (documentation)
subtitlecomposer (0.7.0-2)
text-based subtitle editor
subtitleeditor (0.54.0-5)
Graphical subtitle editor with sound waves representation
subtle (0.11.3224-xi-2.2+b5)
grid-based manual tiling window manager
subunit (1.4.0-3)
command line tools for processing Subunit streams
subuser (0.6.2-3)
Run programs on Linux with selectively restricted permissions
subversion (1.14.1-3+deb11u1)
Advanced version control system
subversion-tools (1.14.1-3+deb11u1)
Assorted tools related to Apache Subversion
suck (4.3.4-1+b2)
small newsfeed from an NNTP server with standard NNTP commands
suckless-tools (46-1)
simple commands for minimalistic window managers
sucrack (1.2.3-6)
multithreaded su bruteforcer
sucrose (0.118-2)
Sugar Learning Platform - Sucrose
sudo (1.9.5p2-3+deb11u1)
Provide limited super user privileges to specific users
sudo
本虛擬套件由這些套件填實: sudo-ldap
sudo-ldap (1.9.5p2-3+deb11u1)
Provide limited super user privileges to specific users
sudoku (1.0.5-2+b3)
console based sudoku
sudoku-solver (1.0.1-2)
sudoku puzzles solver
suffixfsm (0.0~git20150829.56e4718-3+b5)
Go finite state machine generator for Porter2 stemmers
sugar
本虛擬套件由這些套件填實: sugar-session
sugar-browse-activity (207-1)
Sugar Learning Platform - web browsing activity
sugar-calculate-activity (47-1)
Sugar Learning Platform - calculation activity
sugar-chat-activity (86-3)
Sugar Learning Platform - text chatting activity
sugar-etoys-activity (116-10) [contrib]
Etoys activity for Sugar Learning Platform
sugar-icon-theme (0.118-1)
Sugar Learning Platform - icon theme
sugar-imageviewer-activity (65-2)
Sugar Learning Platform - image viewing activity
sugar-jukebox-activity (36-2)
Sugar Learning Platform - music jukebox activity
sugar-log-activity (42-2)
Sugar Learning Platform - log viewing and reporting activity
sugar-memorize-activity (58-2)
Sugar Learning Platform - matching game creation/playing activity
sugar-pippy-activity (75-2)
Sugar Learning Platform - Python programming activity
sugar-read-activity (123-2)
Sugar Learning Platform - document viewer activity
sugar-session (0.118-2)
Sugar Learning Platform - window manager
sugar-terminal-activity (47-2)
Sugar Learning Platform - terminal emulation activity
sugar-themes (0.118-1)
Sugar Learning Platform - artwork
sugar-write-activity (101-2)
Sugar Learning Platform - writing activity
sugarplum (0.9.10-18.1)
automated and intelligent spam trap/cache-poisoner
suitename (0.3.070919+git20180613.ebb1325-2)
categorize each suite in an RNA backbone
sumaclust (1.0.36+ds-1)
fast and exact clustering of genomic sequences
sumatra (1.0.36+ds-1)
fast and exact comparison and clustering of sequences
sumo (1.8.0+dfsg2-5)
Simulation of Urban MObility (SUMO)
sumo-doc (1.8.0+dfsg2-5)
Simulation of Urban MObility (SUMO), documentation and examples
sumo-tools (1.8.0+dfsg2-5)
Simulation of Urban MObility (SUMO), tools and scripts
sumtrees (4.5.1-1)
Phylogenetic Tree Summarization and Annotation
sunclock (3.57-11)
fancy clock showing time and geographical data
sunclock-maps (3.57-11)
sunclock vector graphic maps
sunflow (0.07.2.svn396+dfsg-18)
rendering system for photo-realistic image synthesis (GUI)
sunpinyin-data (0.1.22+20170109-2)
Statistical language model data from open-gram
sunpinyin-utils (3.0.0~rc1+ds1-3+b2)
Simplified Chinese Input Method from SUN (utilities)
sunxi-tools (1.4.2+git20181114.6d598a-3)
tools for working with Allwinner (sunxi) ARM processors
sup (20100519-3)
Software Upgrade Protocol implementation
sup-mail (1.0-3)
thread-centric mailer with tagging and fast search
super (3.30.3-1)
Execute commands setuid root
supercat (0.5.7-1)
program that colorizes text for terminals and HTML
supercollider (1:3.11.2+repack-1)
real time audio synthesis programming language - metapackage
supercollider-common (1:3.11.2+repack-1)
common files for SuperCollider
supercollider-common-dev
本虛擬套件由這些套件填實: supercollider-dev
supercollider-dev (1:3.11.2+repack-1)
development files for SuperCollider
supercollider-emacs (1:3.11.2+repack-1)
SuperCollider mode for Emacs
supercollider-gedit (1:3.11.2+repack-1)
SuperCollider mode for Gedit
supercollider-ide (1:3.11.2+repack-1)
integrated development environment for supercollider audio system
supercollider-language (1:3.11.2+repack-1)
real time audio synthesis programming language
supercollider-server (1:3.11.2+repack-1)
real time audio synthesis server
supercollider-server-dev
本虛擬套件由這些套件填實: supercollider-dev
supercollider-supernova (1:3.11.2+repack-1)
real time audio synthesis server (multiprocessor version)
supercollider-vim (1:3.11.2+repack-1)
SuperCollider mode for Vim
superkb (0.23-5)
Hotkey-based application launcher with on-screen hints
supermin (5.2.1-4+b2)
tool for building supermin appliances.
supertransball2 (1.5-10)
Thrust type of game
supertransball2-data (1.5-10)
data files for supertransball2
supertux (0.6.2-1+b2)
Classic 2D jump 'n run sidescroller with Tux
supertux-data (0.6.2-1)
Classic 2D jump 'n run sidescroller with Tux (data files)
supertuxkart (1.2+ds2-1)
3D arcade racer with a variety of characters, tracks, and modes to play
supertuxkart-data (1.2+ds2-1)
3D arcade racer with a variety of characters, tracks, and modes to play (data)
supervisor (4.2.2-2)
System for controlling process state
supervisor-doc (4.2.2-2)
Documentation for a supervisor
supysonic (0.6.2+ds-3+deb11u1)
Python implementation of the Subsonic server API
surankco (0.0.r5+dfsg-3)
Supervised Ranking of Contigs in de novo Assemblies
surf (2.0+git20201107-2)
Simple web browser by suckless community
surf-alggeo (1.0.6+ds-5)
visualization of real algebraic geometry
surf-alggeo-doc (1.0.6+ds-5)
visualization of real algebraic geometry -- manual
surf-alggeo-nox (1.0.6+ds-5)
visualization of real algebraic geometry -- no X support
surf-display (0.0.5-2)
Kiosk browser session manager based on the surf browser
surfraw (2.3.0-0.3)
fast unix command line interface to WWW
surfraw-extra (2.3.0-0.3)
extra surfraw search tools with heavy dependencies
surgescript (0.5.4.4-1+b1)
Scripting language for games
suricata (1:6.0.1-3)
Next Generation Intrusion Detection and Prevention Tool
suricata-oinkmaster (1:6.0.1-3)
Integration package between suricata and oinkmaster
suricata-update (1.2.1-1)
tool for updating Suricata rules
suru-icon-theme (20.05.1-3)
Suru icon theme for Lomiri Operating Environment
survex (1.2.45-1)
cave surveying and mapping software
survex-aven (1.2.45-1)
sophisticated cave survey viewer for Survex
survivor (1.0.7-2)
tool set for simulating/evaluating SVs
svdrpservice-dev (1.0.0-8)
VDR svdrpservice plugin development files
svgpart (4:20.12.1-1)
KDE SVG KPart
svgtoipe (1:7.2.20-1)
converts SVG figures to an XML file readable by Ipe
svgtune (0.3.1-1)
tool to generate a set of .svg files out of a single .svg file
sview (20.11.7+really20.11.4-2+deb11u1)
GUI to view and modify SLURM state
svim (1.4.2+ds-1)
Structural variant caller for long sequencing reads
svn-all-fast-export (1.0.18+git20200501-1)
fast-import based converter to convert repos from Subversion to git
svn-buildpackage (0.8.7)
helper programs to maintain Debian packages with Subversion
svn-load (1.6-1)
Enhanced import facility for Subversion
svn2cl (0.14-2)
Generate a GNU-style ChangeLog from Subversion repository history
svn2git (2.4.0-3)
Ruby tool for migrating svn projects to git
svnkit (1.8.14-4)
pure Java Subversion client
svtools (0.6-5)
Utilities for daemontools and multilog
svtplay-dl (3.0-2)
program to download videos from video sites
svxlink-calibration-tools (19.09.1-3)
Calibration tools for SvxLink amateur radio suite
svxlink-gpio (19.09.1-3)
GPIO control scripts SvxLink amateur radio server
svxlink-server (19.09.1-3)
Voice-over-IP server for ham radio operators
svxreflector (19.09.1-3)
Conference server for SvxLink amateur radio servers
swac-get (0.3-2.1+b2)
audio collections of words (SWAC) manager
swaks (20201014.0-1)
SMTP command-line test tool
swami (2.2.2-1)
MIDI instrument editor application
swapspace (1.17-1)
dynamic swap space manager
swarm (3.0.0+dfsg-2)
robust and fast clustering method for amplicon-based studies
swarp (2.41.4-2)
Resample and co-add together FITS images
swarp
本虛擬套件由這些套件填實: suckless-tools
swatch (3.2.4-4)
Log file viewer with regexp matching, highlighting & hooks
swath (0.6.1-2)
Thai word segmentation program
swath-data (0.6.1-2)
Data for swath Thai word segmentation program
sway (1.5-7)
i3-compatible Wayland compositor
sway-backgrounds (1.5-7)
Set of backgrounds packaged with the sway window manager
swaybg (1.0-2)
Wallpaper utility for Wayland compositors
swayidle (1.6-2)
Idle management daemon for Wayland
swe-basic-data (1.80.00.0002-1.1)
basic data files for the libswe package
swe-standard-data (00004-1.1)
standard data for the Swiss Ephemeris
sweed (3.2.1+dfsg-5)
assessment of SNPs for their evolutionary advantage
sweep (0.9.3-9)
Audio editor and live playback tool
sweep-dev (0.9.3-9)
Audio editor and live playback tool (development)
sweeper (4:20.12.0-1)
history and temporary file cleaner
sweethome3d (6.4.2+dfsg-2)
Interior 2D design application with 3D preview
sweethome3d-furniture (1.8-1)
Interior 2D design application with 3D preview (additional furniture)
sweethome3d-furniture-editor (1.25-1)
Sweet Home 3D Furniture Library Editor
sweethome3d-furniture-nonfree (1.6.2-2) [non-free]
Interior 2D design application with 3D preview (additional non-free furniture)
sweethome3d-textures-editor (1.6-3)
Sweet Home 3D Textures Library Editor
swell-foop (1:3.34.1-1)
Colored ball puzzle game
swfmill (0.3.6-1+b1 [amd64], 0.3.6-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
xml2swf and swf2xml processor
swh-lv2 (1.0.16+git20160519~repack0-3+b1 [amd64], 1.0.16+git20160519~repack0-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Steve Harris's SWH plugins ported to LV2
swh-plugins (0.4.17-2)
Steve Harris's LADSPA plugins
swi-prolog (8.2.4+dfsg-1)
ISO/Edinburgh-style Prolog interpreter
swi-prolog-abi-2-67-2d311769-509d70b8
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-abi-2-67-2d311769-de23899e
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-abi-binary-67
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-abi-foreign-2
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-abi-qlf-2d311769
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-abi-states-509d70b8
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-abi-states-de23899e
本虛擬套件由這些套件填實: swi-prolog-core
swi-prolog-bdb (8.2.4+dfsg-1)
Berkeley DB interface for SWI-Prolog
swi-prolog-core (8.2.4+dfsg-1)
ISO/Edinburgh-style Prolog interpreter - core system
swi-prolog-core-packages (8.2.4+dfsg-1)
ISO/Edinburgh-style Prolog interpreter - core packages
swi-prolog-doc (8.2.4+dfsg-1)
documentation and examples for SWI-Prolog
swi-prolog-full (8.2.4+dfsg-1)
ISO/Edinburgh-style Prolog interpreter - full suit
swi-prolog-java (8.2.4+dfsg-1)
Bidirectional interface between SWI-Prolog and Java
swi-prolog-nox (8.2.4+dfsg-1)
ISO/Edinburgh-style Prolog interpreter - without X support
swi-prolog-odbc (8.2.4+dfsg-1)
ODBC library for SWI-Prolog
swi-prolog-test (8.2.4+dfsg-1)
tests and checks for SWI-Prolog
swi-prolog-x (8.2.4+dfsg-1)
User interface library for SWI-Prolog - with X support
swift (2.26.0-10+deb11u1)
distributed virtual object store - common files
swift-account (2.26.0-10+deb11u1)
distributed virtual object store - account server
swift-bench (1.2.0-5)
benchmarking tool for Swift
swift-container (2.26.0-10+deb11u1)
distributed virtual object store - container server
swift-doc (2.26.0-10+deb11u1)
distributed virtual object store - documentation
swift-drive-audit (2.26.0-10+deb11u1)
distributed virtual object store - drive audit
swift-object (2.26.0-10+deb11u1)
distributed virtual object store - object server
swift-object-expirer (2.26.0-10+deb11u1)
distributed virtual object store - object-expirer
swift-proxy (2.26.0-10+deb11u1)
distributed virtual object store - proxy server
swig (4.0.2-1)
Generate scripting interfaces to C/C++ code
swig-doc (4.0.2-1)
HTML documentation for SWIG
swig-examples (4.0.2-1)
Examples for applications of SWIG
swig-pocketsphinx (0.8+5prealpha+1-13)
Speech recognition tool - pocketsphinx swig helpers
swig-sphinxbase (0.8+5prealpha+1-12)
Speech recognition tool - swig helpers
swig4.0 (4.0.2-1)
Generate scripting interfaces to C/C++ code
swig4.0-doc (4.0.2-1)
HTML documentation for SWIG
swig4.0-examples (4.0.2-1)
Examples for applications of SWIG
swish (0.10.0.4-1+b3 [s390x], 0.10.0.4-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
semantic web toolkit - tools
swish++ (6.1.5-5+b1 [mips64el], 6.1.5-5 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Simple Document Indexing System for Humans: C++ version
swish-e (2.4.7-6+b3)
Simple Web Indexing System for Humans - Enhanced
swish-e-dev (2.4.7-6+b3)
Simple Web Indexing System for Humans - Enhanced
swissknife
本虛擬套件由這些套件填實: libswiss-perl
swisswatch (0.6-18+b1 [amd64], 0.6-18 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Swiss Railway Clock for the X Window System
switchconf (0.0.17-1)
switch between system configuration sets
switcheroo-control (2.4-3)
D-Bus service to check the availability of dual-GPU
switchsh (0~20070801-4)
bind-mount bash as /bin/sh
sword-comm
本虛擬套件由這些套件填實: sword-comm-scofield, sword-comm-tdavid, sword-comm-mhcc
sword-comm-mhcc (2.0-1)
Matthew Henry Concise Commentary for SWORD
sword-comm-scofield (1.0.1-4)
Scofield Reference Notes, 1917 edition for SWORD
sword-comm-tdavid (1.1.1-4)
C. H. Spurgeon's Treasury of David for SWORD
sword-dict
本虛擬套件由這些套件填實: sword-dict-strongs-hebrew, sword-dict-strongs-greek, sword-dict-naves
sword-dict-naves (3.0-1)
Naves Topical Bible for SWORD
sword-dict-strongs-greek (3.0-2)
Strong's Greek Bible Dictionary for SWORD
sword-dict-strongs-hebrew (3.0-1)
Strong's Hebrew Bible Dictionary for SWORD
sword-frontend
本虛擬套件由這些套件填實: xiphos, diatheke, bibletime
sword-text
本虛擬套件由這些套件填實: sword-text-web, sword-text-kjv, sword-text-sparv
sword-text-kjv (2.10-1)
King James Version with Strongs Numbers for SWORD
sword-text-sparv (1.6.1-4)
Spanish Reina-Valera Bible (1909) for SWORD
sword-text-web (353.0-1)
World English Bible (WEB) for SWORD
swupdate (2020.11-2+deb11u1)
Software update framework for embedded systems
swupdate-doc (2020.11-2+deb11u1)
Documentation for swupdate framework
sxhkd (0.6.2-1)
Simple X hotkey daemon
sxid (4.20130802-4)
suid, sgid file and directory checking
sxiv (26-1)
simple X image viewer
sylfilter (0.8-7)
generic spam filter tool
sylph-searcher (1.2.0-15)
Full-text search program for Sylpheed or MH folders
sylpheed (3.7.0-8)
Light weight e-mail client with GTK+
sylpheed-doc (20140827-3)
Light weight e-mail client with GTK+ (documentation)
sylpheed-i18n (3.7.0-8)
Locale data for Sylpheed (i18n support)
sylpheed-plugins (3.7.0-8)
Loadable modules for extending Sylpheed features
sylseg-sk (0.7.3-1)
Syllabic segmentation for Slovak language
symlinks (1.4-4)
scan/change symbolic links
symmetrica-doc (2.0+ds-6)
Symmetrica Combinatoric C Library -- documentation
sympa (6.2.60~dfsg-4)
Modern mailing list manager
sympathy (1.2.1+woking+cvs+git20171124-1)
serial port concentrator system - server/client program
sympow (2.023.6-1)
mathematical program for SYMmetric POWer elliptic curve L-functions -- program
sympow-data (2.023.6-1)
mathematical program for SYMmetric POWer elliptic curve L-functions -- shared
synapse (0.2.99.4-3)
semantic file launcher
synaptic (0.90.2)
圖形介面的套件管理程式
syncache (1.4-1.1)
Thread-safe time-limited cache for Ruby
syncplay (1.6.7+repack1-5)
Synchronize playback of various video players via internet
syncthing (1.12.1~ds1-4)
decentralized file synchronization
syncthing-discosrv (1.12.1~ds1-4)
decentralized file synchronization - discovery server
syncthing-gtk (0.9.4.4+ds+git20201209+c46fbd8-1)
GTK3-based GUI and notification area icon for syncthing
syncthing-relaysrv (1.12.1~ds1-4)
decentralized file synchronization - relay server
synfig (1.4.0+dfsg-2)
vector-based 2D animation renderer
synfig-examples (1.4.0+dfsg-2)
synfig animation examples
synfigstudio (1.4.0+dfsg-1)
vector-based 2D animation package (graphical user interface)
synthv1 (0.9.20-1)
old-school polyphonic synthesizer - standalone
synthv1-common (0.9.20-1)
old-school polyphonic synthesizer - common files
synthv1-lv2 (0.9.20-1)
old-school polyphonic synthesizer - LV2 plugin
syrep (0.9-4.3)
A generic file repository synchronization tool
syrthes (4.3.5+20200129-dfsg1-1+b1)
Transient thermal simulations in complex solid geometries
syrthes-gui (4.3.5+20200129-dfsg1-1)
Transient thermal simulations in complex solid geometries - GUI
syrthes-tests (4.3.5+20200129-dfsg1-1)
Test cases for SYRTHES
syrthes-tools (4.3.5+20200129-dfsg1-1+b1)
Transient thermal simulations in complex solid geometries - tools
sysbench (1.0.20+ds-1)
multi-threaded benchmark tool for database systems
sysconfig-hardware (0.0.14)
硬體設定
sysconftool (0.17-1+b1)
development tool to install and update configuration files
sysfsutils (2.1.0+repack-7)
sysfs query tool and boot-time setup
syslinux (3:6.04~git20190206.bf6db5b4+dfsg1-3+b1)
collection of bootloaders (DOS FAT and NTFS bootloader)
syslinux-common (3:6.04~git20190206.bf6db5b4+dfsg1-3)
collection of bootloaders (common)
syslinux-efi (3:6.04~git20190206.bf6db5b4+dfsg1-3)
collection of bootloaders (UEFI bootloader)
syslinux-utils (3:6.04~git20190206.bf6db5b4+dfsg1-3+b1)
collection of bootloaders (utilities)
syslog-ng (3.28.1-2+deb11u1)
Enhanced system logging daemon (metapackage)
syslog-ng-core (3.28.1-2+deb11u1)
Enhanced system logging daemon (core)
syslog-ng-dbg (3.28.1-2+deb11u1)
Enhanced system logging daemon (debug symbols)
syslog-ng-dev (3.28.1-2+deb11u1)
Enhanced system logging daemon (development files)
syslog-ng-mod-add-contextual-data (3.28.1-2+deb11u1)
Enhanced system logging daemon (add-contextual-data plugin)
syslog-ng-mod-amqp (3.28.1-2+deb11u1)
Enhanced system logging daemon (AMQP plugin)
syslog-ng-mod-examples (3.28.1-2+deb11u1)
Enhanced system logging daemon (example plugins)
syslog-ng-mod-extra (3.28.1-2+deb11u1)
Enhanced system logging daemon (extra plugins)
syslog-ng-mod-geoip
本虛擬套件由這些套件填實: syslog-ng-mod-geoip2
syslog-ng-mod-geoip2 (3.28.1-2+deb11u1)
Enhanced system logging daemon (GeoIP2 plugin)
syslog-ng-mod-getent (3.28.1-2+deb11u1)
Enhanced system logging daemon (getent plugin)
syslog-ng-mod-graphite (3.28.1-2+deb11u1)
Enhanced system logging daemon (graphite plugin)
syslog-ng-mod-http (3.28.1-2+deb11u1)
Enhanced system logging daemon (HTTP destination)
syslog-ng-mod-journal
本虛擬套件由這些套件填實: syslog-ng-core
syslog-ng-mod-map-value-pairs (3.28.1-2+deb11u1)
Enhanced system logging daemon (map-value-pairs plugin)
syslog-ng-mod-mongodb (3.28.1-2+deb11u1)
Enhanced system logging daemon (MongoDB plugin)
syslog-ng-mod-pacctformat
本虛擬套件由這些套件填實: syslog-ng-core
syslog-ng-mod-python (3.28.1-2+deb11u1)
Enhanced system logging daemon (Python plugin)
syslog-ng-mod-rdkafka (3.28.1-2+deb11u1)
Enhanced system logging daemon (Kafka destination, based on librdkafka)
syslog-ng-mod-redis (3.28.1-2+deb11u1)
Enhanced system logging daemon (Redis plugin)
syslog-ng-mod-riemann (3.28.1-2+deb11u1)
Enhanced system logging daemon (Riemann destination)
syslog-ng-mod-slog (3.28.1-2+deb11u1)
Enhanced system logging daemon (secure logging plugin)
syslog-ng-mod-smtp (3.28.1-2+deb11u1)
Enhanced system logging daemon (SMTP plugin)
syslog-ng-mod-snmp (3.28.1-2+deb11u1)
Enhanced system logging daemon (SNMP plugin)
syslog-ng-mod-sql (3.28.1-2+deb11u1)
Enhanced system logging daemon (SQL plugin)
syslog-ng-mod-stardate (3.28.1-2+deb11u1)
Enhanced system logging daemon (stardate plugin)
syslog-ng-mod-stomp (3.28.1-2+deb11u1)
Enhanced system logging daemon (STOMP plugin)
syslog-ng-mod-tag-parser
本虛擬套件由這些套件填實: syslog-ng-core
syslog-ng-mod-xml-parser (3.28.1-2+deb11u1)
Enhanced system logging daemon (xml parser plugin)
syslogout (0.3.9+nmu1)
Modularized system wide shell logout mechanism
sysnews (0.9-18)
program to display system news
sysprof (3.38.1-1)
system-wide Linux profiler
sysprofile (0.3.9+nmu1)
Modularized system wide shell configuration mechanism
sysrepo (1.4.70-4)
YANG-based configuration and operational state data store [tools]
sysrepo-doc (1.4.70-4)
YANG-based configuration and operational state data store [docs]
sysrepo-plugind (1.4.70-4)
YANG-based configuration and operational state data store [daemon]
sysrqd (14-1+b2)
small daemon intended to manage Linux SysRq over network
sysstat (12.5.2-2)
system performance tools for Linux
system-boot
本虛擬套件由這些套件填實: open-infrastructure-system-boot
system-build
本虛擬套件由這些套件填實: open-infrastructure-system-build
system-config
本虛擬套件由這些套件填實: open-infrastructure-system-config
system-config-printer (1.5.14-1)
graphical interface to configure the printing system
system-config-printer-common (1.5.14-1)
backend and the translation files for system-config-printer
system-config-printer-udev (1.5.14-1)
Utilities to detect and configure printers automatically
system-images
本虛擬套件由這些套件填實: open-infrastructure-system-images
system-log-daemon
本虛擬套件由這些套件填實: inetutils-syslogd, syslog-ng-core, busybox-syslogd, socklog-run, rsyslog
system-tools-backends (2.10.2-3.1)
System Tools to manage computer configuration -- scripts
system-tools-backends-dev (2.10.2-3.1)
System Tools to manage computer configuration -- development files
systemctl (1.4.4181-1.1)
daemonless "systemctl" command to manage services without systemd
systemd (247.3-7+deb11u4)
system and service manager
systemd-bootchart (234-1)
boot performance graphing tool
systemd-container (247.3-7+deb11u4)
systemd container/nspawn tools
systemd-coredump (247.3-7+deb11u4)
tools for storing and retrieving coredumps
systemd-cron (1.5.16-1)
systemd units to provide cron daemon & anacron functionality
systemd-journal-remote (247.3-7+deb11u4)
tools for sending and receiving remote journal logs
systemd-sysusers
本虛擬套件由這些套件填實: opensysusers
systemd-sysv (247.3-7+deb11u4)
system and service manager - SysV links
systemd-tests (247.3-7+deb11u4)
tests for systemd
systemd-timesyncd (247.3-7+deb11u4)
minimalistic service to synchronize local time with NTP servers
systempreferences.app (1.2.0-2+b6)
GNUstep preferences application
systempreferences.app-dbg (1.2.0-2+b6)
GNUstep preferences application - debugging symbols
systemsettings (4:5.20.5-2)
System Settings interface
systemtap (4.4-2)
instrumentation system for Linux
systemtap-client (4.4-2)
instrumentation system for Linux (client for compile server)
systemtap-common (4.4-2)
instrumentation system for Linux (common component)
systemtap-doc (4.4-2)
documentation and examples for SystemTap
systemtap-runtime (4.4-2)
instrumentation system for Linux (runtime component)
systemtap-sdt-dev (4.4-2)
statically defined probes development files
systemtap-server (4.4-2)
instrumentation system for Linux (compile server)
systraq (20201231-1)
monitor your system and warn when system files change
systray-mdstat (1.2.0-2)
Notifies about Linux Software RAID changes in system tray
systune (0.5.13)
kernel tuning through the /proc file system
sysuser-helper (1.3.5.1)
dh-sysuser implementation detail
sysv-rc (2.96-7+deb11u1)
System-V-like runlevel change mechanism
sysv-rc-conf (0.99-7.1)
SysV init runlevel configuration tool for the terminal
sysvbanner (1:1.0-17)
System-V banner clone
sysvinit-core (2.96-7+deb11u1)
System-V-like init
sysvinit-utils (2.96-7+deb11u1)
System-V-like utilities
t-code (2:2.3.1-9)
Japanese direct input method environment for emacsen
t-code-common (2:2.3.1-9)
Japanese direct input method environment - common files
t-coffee (13.41.0.28bdc39+dfsg-4)
Multiple Sequence Alignment
t-coffee-examples (13.41.0.28bdc39+dfsg-4)
annotated examples for the use of T-Coffee
t-prot (3.4-4.1)
display filter for Internet messages
t1-cyrillic (4.17+nmu1)
一些基本的,自由的 PostScript 字型
t1-oldslavic (4.17+nmu1)
OldSlavic -- a Cyrillic Type1 font with medieval design
t1-teams (4.17+nmu1)
Teams -- a PostScript font covering ASCII and basic Cyrillic
t1-xfree86-nonfree (4.2.1-5) [non-free]
non-free Postscript Type 1 fonts from XFree86
t1utils (1.41-4)
Collection of simple Type 1 font manipulation programs
t2html (2016.1020+git294e8d7-2)
text to HTML converter implemented in Perl
t2n (0.6-6)
Simple command-line tool for LEGO Mindstorms NXT
t3highlight (0.5.0-1)
Command-line syntax highligher
t50 (5.8.7-2)
Multi-protocol packet injector tool
tabbed
本虛擬套件由這些套件填實: suckless-tools
tabble (0.43-3+b1)
program launcher with tabs for X
tabix (1.11-4)
generic indexer for TAB-delimited genome position files
tableau-parm (0.2.0-6)
tableau write-blocking bridge query/command utility
tablix2 (0.3.5-3.1+b1)
Kernel for solving general timetabling problems
tachyon (0.99~b6+dsx-9)
Parallel/Multiprocessor Standalone Ray Tracer - metapackage
tachyon-bin
本虛擬套件由這些套件填實: tachyon-bin-ogl, tachyon-bin-nox
tachyon-bin-nox (0.99~b6+dsx-9)
Parallel/Multiprocessor Standalone Ray Tracer - with no X support
tachyon-bin-ogl (0.99~b6+dsx-9)
Parallel/Multiprocessor Standalone Ray Tracer - with OpenGL display
tachyon-doc (0.99~b6+dsx-9)
Parallel/Multiprocessor Ray Tracing System - reference manual
tack (1.08-1+b1)
terminfo action checker
tads2-interpreter
本虛擬套件由這些套件填實: qtads, gargoyle-free
tads3-interpreter
本虛擬套件由這些套件填實: qtads, gargoyle-free
taffybar (3.2.2-2)
desktop bar extensible in Haskell
tagcloud (1.4-1.2)
Visualize tagged notes as a cloud
tagcoll (2.0.14-2)
Commandline tool to perform operations on tagged collections
taggrepper (0.05-5)
search and match tags of audio files against regular expressions
taglog (0.2.6-1)
Personal time management system
tagua (1.0~alpha2-16-g618c6a0-3)
Board-game frontend for playing chess variants and other games
tagua-data (1.0~alpha2-16-g618c6a0-3)
Theme data for the tagua board-game frontend
taktuk (3.7.7-2)
efficient, large scale, parallel remote execution of commands
tali (1:3.38.0-1)
sort of poker with dice and less money
talk (0.17-17)
Chat with another user
talk
本虛擬套件由這些套件填實: inetutils-talk
talkd (0.17-17)
Remote user communication server
talkd
本虛擬套件由這些套件填實: inetutils-talkd
talksoup.app (1.0alpha-32-g55b4d4e-2+b6)
IRC client for GNUstep
tamil-gtk2im (2.2-6)
Tamil input method for GTK-2.0
tandem-mass (1:201702011-1+b1 [amd64], 1:201702011-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mass spectrometry software for protein identification
tang (8-3+deb11u2)
network-based cryptographic binding server
tang-nagios (7-2)
monitoring plugin to check the tang server
tangerine (0.3.4-6.1)
music server using DAAP
tangerine-dbg (0.3.4-6.1)
music server using DAAP (debug symbols)
tangerine-icon-theme (0.26.debian-5) [non-free]
Tangerine Icon theme
tanglet (1.5.6-1)
single player word finding game based on Boggle
tanglet-data (1.5.6-1)
single player word finding game based on Boggle - data files
tango-accesscontrol (9.3.4+dfsg1-1)
TANGO distributed control system - accesscontrol server
tango-common (9.3.4+dfsg1-1)
TANGO distributed control system - common files
tango-db (9.3.4+dfsg1-1)
TANGO distributed control system - database server
tango-icon-theme (0.8.90-8)
Tango icon library
tango-starter (9.3.4+dfsg1-1)
TANGO distributed control system - starter server
tango-test (9.3.4+dfsg1-1)
TANGO distributed control system - test device
tantan (23-1)
low complexity and tandem repeat masker for biosequences
tao-config-dev (0.0+git20200604.84a7383-2)
header library that reads config files and produces a JSON value
tao-config-examples (0.0+git20200604.84a7383-2)
header library that reads config files and produces a JSON value (examples)
tao-json-dev (0.0+git20200604.f357d72-2)
multifunctional and zero-dependency C++ header-only JSON library
tao-json-examples (0.0+git20200604.f357d72-2)
multifunctional and zero-dependency C++ header-only JSON library (examples)
tao-pegtl-dev (2.8.3-3)
Parsing Expression Grammar Template Library
taopm (1.0-6+b1 [amd64], 1.0-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Sound synthesis software with physical models
tap-plugins (1.0.0-1)
Tom's Audio Processing LADSPA plugins
tap-plugins-doc (20140526-3.1)
TAP-plugins documentation
tapecalc (20070214-2+b3)
a full-screen tape editor that lets the user edit a calculation
tappy (3.0-2)
TAP consumer tool
taptempo (1.4.5-1)
command line tap tempo
tar (1.34+dfsg-1+deb11u1)
GNU version of the tar archiving utility
tar-doc (1.34-1) [non-free]
documentation for the tar package
tar-scripts (1.34+dfsg-1+deb11u1)
optional scripts for GNU version of the tar archiving utility
tar-split (0.11.1-2+b5)
tar archive assembly/disassembly
tarantool (2.6.0-1)
In-memory database with a Lua application server
tarantool-common (2.6.0-1)
Tarantool in-memory database - common files
tarantool-dev (2.6.0-1)
Tarantool in-memory database - development headers
tardiff (0.1-6)
Tarball comparison tool
tardy (1.25-1+b2)
post-processor for tar command
targetcli-fb (1:2.1.53-1)
Command shell for managing the Linux LIO kernel target
tarlz (0.19-1)
archiver with multimember lzip compression
tart (3.10-1+b1)
versatile and feature-rich email signature generator
task-albanian-desktop (3.68+deb11u1)
Albanian desktop
task-amharic (3.68+deb11u1)
Amharic environment
task-amharic-desktop (3.68+deb11u1)
Amharic desktop
task-amharic-gnome-desktop (3.68+deb11u1)
Amharic GNOME desktop
task-amharic-kde-desktop (3.68+deb11u1)
Amharic KDE Plasma desktop
task-arabic (3.68+deb11u1)
Arabic environment
task-arabic-desktop (3.68+deb11u1)
Arabic desktop
task-arabic-kde-desktop (3.68+deb11u1)
Arabic KDE Plasma desktop
task-asturian (3.68+deb11u1)
Asturian environment
task-asturian-desktop (3.68+deb11u1)
Asturian desktop
task-basque (3.68+deb11u1)
Basque environment
task-basque-desktop (3.68+deb11u1)
Basque desktop
task-basque-kde-desktop (3.68+deb11u1)
Basque KDE Plasma desktop
task-belarusian (3.68+deb11u1)
Belarusian environment
task-belarusian-desktop (3.68+deb11u1)
Belarusian desktop
task-belarusian-kde-desktop (3.68+deb11u1)
Belarusian KDE Plasma desktop
task-bengali (3.68+deb11u1)
Bengali environment
task-bengali-desktop (3.68+deb11u1)
Bengali desktop
task-bengali-kde-desktop (3.68+deb11u1)
Bengali KDE Plasma desktop
task-bosnian (3.68+deb11u1)
Bosnian environment
task-bosnian-desktop (3.68+deb11u1)
Bosnian desktop
task-bosnian-kde-desktop (3.68+deb11u1)
Bosnian KDE Plasma desktop
task-brazilian-portuguese (3.68+deb11u1)
Brazilian Portuguese environment
task-brazilian-portuguese-desktop (3.68+deb11u1)
Brazilian Portuguese desktop
task-brazilian-portuguese-kde-desktop (3.68+deb11u1)
Brazilian Portuguese KDE Plasma desktop
task-british-desktop (3.68+deb11u1)
British English desktop
task-british-kde-desktop (3.68+deb11u1)
British KDE Plasma desktop
task-bulgarian (3.68+deb11u1)
Bulgarian environment
task-bulgarian-desktop (3.68+deb11u1)
Bulgarian desktop
task-bulgarian-kde-desktop (3.68+deb11u1)
Bulgarian KDE Plasma desktop
task-catalan (3.68+deb11u1)
Catalan environment
task-catalan-desktop (3.68+deb11u1)
Catalan desktop
task-catalan-kde-desktop (3.68+deb11u1)
Catalan KDE Plasma desktop
task-chinese-s (3.68+deb11u1)
Simplified Chinese environment
task-chinese-s-desktop (3.68+deb11u1)
Simplified Chinese desktop
task-chinese-s-gnome-desktop (3.68+deb11u1)
Simplified Chinese GNOME desktop
task-chinese-s-kde-desktop (3.68+deb11u1)
Simplified Chinese KDE Plasma desktop
task-chinese-t (3.68+deb11u1)
Traditional Chinese environment
task-chinese-t-desktop (3.68+deb11u1)
Traditional Chinese desktop
task-chinese-t-gnome-desktop (3.68+deb11u1)
Traditional Chinese GNOME desktop
task-chinese-t-kde-desktop (3.68+deb11u1)
Traditional Chinese KDE Plasma desktop
task-cinnamon-desktop (3.68+deb11u1)
Cinnamon
task-croatian (3.68+deb11u1)
Croatian environment
task-croatian-desktop (3.68+deb11u1)
Croatian desktop
task-croatian-kde-desktop (3.68+deb11u1)
Croatian KDE Plasma desktop
task-cyrillic (3.68+deb11u1)
Cyrillic environment
task-cyrillic-desktop (3.68+deb11u1)
Cyrillic desktop
task-cyrillic-kde-desktop (3.68+deb11u1)
Cyrillic KDE Plasma desktop
task-czech (3.68+deb11u1)
Czech environment
task-czech-desktop (3.68+deb11u1)
Czech desktop
task-czech-kde-desktop (3.68+deb11u1)
Czech KDE Plasma desktop
task-danish (3.68+deb11u1)
Danish environment
task-danish-desktop (3.68+deb11u1)
Danish desktop
task-danish-kde-desktop (3.68+deb11u1)
Danish KDE Plasma desktop
task-desktop (3.68+deb11u1)
Debian desktop environment
task-dutch (3.68+deb11u1)
Dutch environment
task-dutch-desktop (3.68+deb11u1)
Dutch desktop
task-dutch-kde-desktop (3.68+deb11u1)
Dutch KDE Plasma desktop
task-dzongkha-desktop (3.68+deb11u1)
Dzongkha desktop
task-dzongkha-kde-desktop (3.68+deb11u1)
Dzongkha KDE Plasma desktop
task-english (3.68+deb11u1)
General English environment
task-esperanto (3.68+deb11u1)
Esperanto environment
task-esperanto-desktop (3.68+deb11u1)
Esperanto desktop
task-esperanto-kde-desktop (3.68+deb11u1)
Esperanto KDE Plasma desktop
task-estonian (3.68+deb11u1)
Estonian environment
task-estonian-desktop (3.68+deb11u1)
Estonian desktop
task-estonian-kde-desktop (3.68+deb11u1)
Estonian KDE Plasma desktop
task-finnish (3.68+deb11u1)
Finnish environment
task-finnish-desktop (3.68+deb11u1)
Finnish desktop
task-finnish-kde-desktop (3.68+deb11u1)
Finnish KDE Plasma desktop
task-french (3.68+deb11u1)
French environment
task-french-desktop (3.68+deb11u1)
French desktop
task-french-kde-desktop (3.68+deb11u1)
French KDE Plasma desktop
task-galician (3.68+deb11u1)
Galician environment
task-galician-desktop (3.68+deb11u1)
Galician desktop
task-galician-kde-desktop (3.68+deb11u1)
Galician KDE Plasma desktop
task-georgian-desktop (3.68+deb11u1)
Georgian desktop
task-german (3.68+deb11u1)
German environment
task-german-desktop (3.68+deb11u1)
German desktop
task-german-kde-desktop (3.68+deb11u1)
German KDE Plasma desktop
task-gnome-desktop (3.68+deb11u1)
GNOME
task-gnome-flashback-desktop (3.68+deb11u1)
GNOME Flashback
task-greek (3.68+deb11u1)
Greek environment
task-greek-desktop (3.68+deb11u1)
Greek desktop
task-greek-kde-desktop (3.68+deb11u1)
Greek KDE Plasma desktop
task-gujarati (3.68+deb11u1)
Gujarati environment
task-gujarati-desktop (3.68+deb11u1)
Gujarati desktop
task-gujarati-kde-desktop (3.68+deb11u1)
Gujarati KDE Plasma desktop
task-hebrew (3.68+deb11u1)
Hebrew environment
task-hebrew-desktop (3.68+deb11u1)
Hebrew desktop
task-hebrew-gnome-desktop (3.68+deb11u1)
Hebrew GNOME desktop
task-hebrew-kde-desktop (3.68+deb11u1)
Hebrew KDE Plasma desktop
task-hindi (3.68+deb11u1)
Hindi environment
task-hindi-desktop (3.68+deb11u1)
Hindi desktop
task-hindi-kde-desktop (3.68+deb11u1)
Hindi KDE Plasma desktop
task-hungarian (3.68+deb11u1)
Hungarian environment
task-hungarian-desktop (3.68+deb11u1)
Hungarian desktop
task-hungarian-kde-desktop (3.68+deb11u1)
Hungarian KDE Plasma desktop
task-icelandic (3.68+deb11u1)
Icelandic environment
task-icelandic-desktop (3.68+deb11u1)
Icelandic desktop
task-icelandic-kde-desktop (3.68+deb11u1)
Icelandic KDE Plasma desktop
task-indonesian-desktop (3.68+deb11u1)
Indonesian desktop
task-indonesian-kde-desktop (3.68+deb11u1)
Indonesian KDE Plasma desktop
task-irish (3.68+deb11u1)
Irish environment
task-irish-desktop (3.68+deb11u1)
Irish desktop
task-irish-kde-desktop (3.68+deb11u1)
Irish KDE Plasma desktop
task-italian (3.68+deb11u1)
Italian environment
task-italian-desktop (3.68+deb11u1)
Italian desktop
task-italian-kde-desktop (3.68+deb11u1)
Italian KDE Plasma desktop
task-japanese (3.68+deb11u1)
Japanese environment
task-japanese-desktop (3.68+deb11u1)
Japanese desktop
task-japanese-gnome-desktop (3.68+deb11u1)
Japanese GNOME desktop
task-japanese-kde-desktop (3.68+deb11u1)
Japanese KDE Plasma desktop
task-kannada-desktop (3.68+deb11u1)
Kannada desktop
task-kannada-gnome-desktop (3.68+deb11u1)
Kannada GNOME desktop
task-kannada-kde-desktop (3.68+deb11u1)
Kannada KDE Plasma desktop
task-kazakh (3.68+deb11u1)
Kazakh environment
task-kazakh-desktop (3.68+deb11u1)
Kazakh desktop
task-kazakh-kde-desktop (3.68+deb11u1)
Kazakh KDE Plasma desktop
task-kde-desktop (3.68+deb11u1)
KDE Plasma
task-khmer (3.68+deb11u1)
Khmer environment
task-khmer-desktop (3.68+deb11u1)
Khmer desktop
task-khmer-kde-desktop (3.68+deb11u1)
Khmer KDE Plasma desktop
task-korean (3.68+deb11u1)
Korean environment
task-korean-desktop (3.68+deb11u1)
Korean desktop
task-korean-gnome-desktop (3.68+deb11u1)
Korean GNOME desktop
task-korean-kde-desktop (3.68+deb11u1)
Korean KDE Plasma desktop
task-kurdish (3.68+deb11u1)
Kurdish environment
task-kurdish-desktop (3.68+deb11u1)
Kurdish desktop
task-kurdish-kde-desktop (3.68+deb11u1)
Kurdish KDE Plasma desktop
task-laptop (3.68+deb11u1)
laptop
task-latvian (3.68+deb11u1)
Latvian environment
task-latvian-desktop (3.68+deb11u1)
Latvian desktop
task-latvian-kde-desktop (3.68+deb11u1)
Latvian KDE Plasma desktop
task-lithuanian (3.68+deb11u1)
Lithuanian environment
task-lithuanian-desktop (3.68+deb11u1)
Lithuanian desktop
task-lithuanian-kde-desktop (3.68+deb11u1)
Lithuanian KDE Plasma desktop
task-lxde-desktop (3.68+deb11u1)
LXDE
task-lxqt-desktop (3.68+deb11u1)
LXQt
task-macedonian (3.68+deb11u1)
Macedonian environment
task-macedonian-desktop (3.68+deb11u1)
Macedonian desktop
task-macedonian-kde-desktop (3.68+deb11u1)
Macedonian KDE Plasma desktop
task-malayalam (3.68+deb11u1)
Malayalam environment
task-malayalam-desktop (3.68+deb11u1)
Malayalam desktop
task-malayalam-gnome-desktop (3.68+deb11u1)
Malayalam GNOME desktop
task-malayalam-kde-desktop (3.68+deb11u1)
Malayalam KDE Plasma desktop
task-marathi (3.68+deb11u1)
Marathi environment
task-marathi-desktop (3.68+deb11u1)
Marathi desktop
task-mate-desktop (3.68+deb11u1)
MATE
task-nepali-desktop (3.68+deb11u1)
Nepali desktop
task-nepali-kde-desktop (3.68+deb11u1)
Nepali KDE Plasma desktop
task-northern-sami (3.68+deb11u1)
Northern Sami environment
task-northern-sami-desktop (3.68+deb11u1)
Northern Sami desktop
task-norwegian (3.68+deb11u1)
Norwegian (Bokmaal and Nynorsk) environment
task-norwegian-desktop (3.68+deb11u1)
Norwegian (Bokmaal and Nynorsk) desktop
task-norwegian-kde-desktop (3.68+deb11u1)
Norwegian KDE Plasma desktop
task-persian (3.68+deb11u1)
Persian environment
task-persian-desktop (3.68+deb11u1)
Persian desktop
task-persian-kde-desktop (3.68+deb11u1)
Persian KDE Plasma desktop
task-polish (3.68+deb11u1)
Polish environment
task-polish-desktop (3.68+deb11u1)
Polish desktop
task-polish-kde-desktop (3.68+deb11u1)
Polish KDE Plasma desktop
task-portuguese (3.68+deb11u1)
Portuguese environment
task-portuguese-desktop (3.68+deb11u1)
Portuguese desktop
task-portuguese-kde-desktop (3.68+deb11u1)
Portuguese KDE Plasma desktop
task-punjabi (3.68+deb11u1)
Punjabi environment
task-punjabi-desktop (3.68+deb11u1)
Punjabi desktop
task-punjabi-kde-desktop (3.68+deb11u1)
Punjabi KDE Plasma desktop
task-romanian (3.68+deb11u1)
Romanian environment
task-romanian-desktop (3.68+deb11u1)
Romanian desktop
task-romanian-kde-desktop (3.68+deb11u1)
Romanian KDE Plasma desktop
task-russian (3.68+deb11u1)
Russian environment
task-russian-desktop (3.68+deb11u1)
Russian desktop
task-russian-kde-desktop (3.68+deb11u1)
Russian KDE Plasma desktop
task-serbian (3.68+deb11u1)
Serbian environment
task-serbian-desktop (3.68+deb11u1)
Serbian desktop
task-serbian-kde-desktop (3.68+deb11u1)
Serbian KDE Plasma desktop
task-sinhala-desktop (3.68+deb11u1)
Sinhala desktop
task-sinhala-kde-desktop (3.68+deb11u1)
Sinhala KDE Plasma desktop
task-slovak (3.68+deb11u1)
Slovak environment
task-slovak-desktop (3.68+deb11u1)
Slovak desktop
task-slovak-kde-desktop (3.68+deb11u1)
Slovak KDE Plasma desktop
task-slovenian (3.68+deb11u1)
Slovenian environment
task-slovenian-desktop (3.68+deb11u1)
Slovenian desktop
task-slovenian-kde-desktop (3.68+deb11u1)
Slovenian KDE Plasma desktop
task-south-african-english-desktop (3.68+deb11u1)
South African English desktop
task-spanish (3.68+deb11u1)
Spanish environment
task-spanish-desktop (3.68+deb11u1)
Spanish desktop
task-spanish-kde-desktop (3.68+deb11u1)
Spanish KDE Plasma desktop
task-spooler (1.0.1+dfsg1-1)
personal job scheduler
task-ssh-server (3.68+deb11u1)
SSH server
task-swedish (3.68+deb11u1)
Swedish environment
task-swedish-desktop (3.68+deb11u1)
Swedish desktop
task-swedish-kde-desktop (3.68+deb11u1)
Swedish KDE Plasma desktop
task-tagalog (3.68+deb11u1)
Tagalog environment
task-tamil (3.68+deb11u1)
Tamil environment
task-tamil-desktop (3.68+deb11u1)
Tamil desktop
task-tamil-gnome-desktop (3.68+deb11u1)
Tamil GNOME desktop
task-telugu (3.68+deb11u1)
Telugu environment
task-telugu-desktop (3.68+deb11u1)
Telugu desktop
task-telugu-gnome-desktop (3.68+deb11u1)
Telugu GNOME desktop environment
task-telugu-kde-desktop (3.68+deb11u1)
Telugu KDE Plasma desktop environment
task-thai (3.68+deb11u1)
Thai environment
task-thai-desktop (3.68+deb11u1)
Thai desktop
task-thai-gnome-desktop (3.68+deb11u1)
Thai GNOME desktop
task-thai-kde-desktop (3.68+deb11u1)
Thai KDE Plasma desktop
task-turkish (3.68+deb11u1)
Turkish environment
task-turkish-desktop (3.68+deb11u1)
Turkish desktop
task-turkish-kde-desktop (3.68+deb11u1)
Turkish KDE Plasma desktop
task-ukrainian (3.68+deb11u1)
Ukrainian environment
task-ukrainian-desktop (3.68+deb11u1)
Ukrainian desktop
task-ukrainian-kde-desktop (3.68+deb11u1)
Ukrainian KDE Plasma desktop
task-uyghur-desktop (3.68+deb11u1)
Uyghur desktop
task-uyghur-kde-desktop (3.68+deb11u1)
Uyghur KDE Plasma desktop
task-vietnamese-desktop (3.68+deb11u1)
Vietnamese desktop
task-vietnamese-kde-desktop (3.68+deb11u1)
Vietnamese KDE Plasma desktop
task-web-server (3.68+deb11u1)
web server
task-welsh (3.68+deb11u1)
Welsh environment
task-welsh-desktop (3.68+deb11u1)
Welsh desktop
task-xfce-desktop (3.68+deb11u1)
Xfce
task-xhosa-desktop (3.68+deb11u1)
Xhosa desktop
task-xhosa-kde-desktop (3.68+deb11u1)
Xhosa KDE Plasma desktop
tasksel (3.68+deb11u1)
tool for selecting tasks for installation on Debian systems
tasksel-data (3.68+deb11u1)
official tasks used for installation of Debian systems
tasksh (1.2.0-1+b1)
shell command that wraps Taskwarrior commands
taskwarrior (2.5.3+dfsg-4)
feature-rich console based todo list manager
tasque (0.1.12-4.1)
simple task management application
tasty-discover (4.2.1-2+b3 [s390x], 4.2.1-2+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 4.2.1-2+b1 [mips64el, mipsel])
Test discovery for the tasty framework.
tatan (1.0.dfsg2-0.1+b1)
pointing STG shooter game
tayga (0.9.2-8)
userspace stateless NAT64
tboot (1.9.12+hg20200718-1+b1 [amd64], 1.9.12+hg20200718-1 [i386])
module for a measured and verified launch of an OS kernel/VMM
tcc (0.9.27+git20200814.62c30a4a-1)
small ANSI C compiler
tcd-utils (20061127-2+b2)
convert Tide Constituent Database (TCD) files
tcl (8.6.11+1)
Tool Command Language (default version) - shell
tcl-awthemes (10.2.0-1)
Dark and light themes for Tk
tcl-dev (8.6.11+1)
Tool Command Language (default version) - development files
tcl-doc (8.6.11+1)
Tool Command Language (default version) - manual pages
tcl-expect (5.45.4-2+b1)
Automates interactive applications (Tcl package)
tcl-expect-dev (5.45.4-2+b1)
Automates interactive applications (development)
tcl-fitstcl (2.4-4+b3)
Tcl interface to FITS files
tcl-funtools (1.4.7-4)
Minimal buy-in FITS library (Tcl interface)
tcl-hamlib (4.0-7)
Run-time Tcl library to control radio transceivers and receivers
tcl-itcl-dev
本虛擬套件由這些套件填實: itcl3-dev, tcl-itcl4-dev
tcl-itcl-doc
本虛擬套件由這些套件填實: itcl3-doc, tcl-itcl4-doc
tcl-itcl4 (4.2.1-1)
[incr Tcl] OOP extension for Tcl - run-time files
tcl-itcl4-dev (4.2.1-1)
[incr Tcl] OOP extension for Tcl - development files
tcl-itcl4-doc (4.2.1-1)
[incr Tcl] OOP extension for Tcl - manual pages
tcl-memchan (2.3+dfsg-1+b11)
Tcl extension for in-memory channels - runtime library
tcl-memchan-dev (2.3+dfsg-1+b11)
Tcl extension for in-memory channels - development files
tcl-signal (1.4.4-1)
"Signal" extension for Tcl
tcl-snack (2.2.10.20090623-dfsg-10)
Sound extension to Tcl/Tk and Python/Tkinter - Tcl/Tk library
tcl-snack-dev (2.2.10.20090623-dfsg-10)
Sound extension to Tcl/Tk and Python/Tkinter - development files
tcl-snack-doc (2.2.10.20090623-dfsg-10)
Sound extension to Tcl/Tk and Python/Tkinter - documentation
tcl-sugar (0.1-1.1)
Lisp-like macro system for Tcl
tcl-tclex (1.2a1-17+b1 [amd64], 1.2a1-17 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Lexical analyzer generator for Tcl
tcl-tclreadline (2.3.8-1)
GNU Readline Extension for Tcl/Tk
tcl-thread (1:2.8.6-2)
Tcl extension implementing script level access to Tcl threading capabilities
tcl-tls (1.7.22-2)
TLS OpenSSL extension to Tcl
tcl-trf (2.1.4-dfsg3-2.1)
Tcl data transformations - runtime library
tcl-trf-dev (2.1.4-dfsg3-2.1)
Tcl data transformations - development files
tcl-trf-doc (2.1.4-dfsg3-2.1)
Tcl data transformations - documentation
tcl-ttkthemes (3.2.0-1)
Themes for the ttk extensions for Tkinter
tcl-udp (1.0.11-2+b1)
UDP sockets for Tcl
tcl-vfs (1.4.2~20121213-2+b1)
Exposes Tcl's virtual filesystem C API to the Tcl script level
tcl-vtk7 (7.1.1+dfsg2-8)
Tcl bindings for VTK
tcl-xmlrpc (0.3-3)
XML-RPC implementation for Tcl
tcl-xpa (2.1.20-1)
Seamless communication between Unix programs (Tcl interface)
tcl-yajltcl (1.7.0-2)
Tcl binding to libyajl, a JSON parser and generator
tcl3270 (4.0ga12-3)
Program for Tcl-scripted telnet sessions to IBM mainframes
tcl8.6 (8.6.11+dfsg-1)
Tcl (the Tool Command Language) v8.6 - shell
tcl8.6-dev (8.6.11+dfsg-1)
Tcl (the Tool Command Language) v8.6 - development files
tcl8.6-doc (8.6.11+dfsg-1)
Tcl (the Tool Command Language) v8.6 - manual pages
tcl8.6-tdbc (1.1.1-1)
Tcl Database Connectivity
tcl8.6-tdbc-mysql (1.1.1-1)
Tcl Database Connectivity
tcl8.6-tdbc-odbc (1.1.1-1)
ODBC driver for the Tcl Database Connectivity
tcl8.6-tdbc-postgres (1.1.1-1)
Tcl Database Connectivity
tcl8.6-tdbc-sqlite3 (1.1.1-1)
Tcl Database Connectivity
tclcl (1.20-9.1)
tcl2c++ and otcldoc program from tclcl
tclcl-dbg (1.20-9.1)
debug symbols of TclCL: both tclcl and libtclcl1
tclcl-dev (1.20-9.1)
transitional dummy package to libtclcl-dev
tclcl-dev
本虛擬套件由這些套件填實: libtclcl1-dev
tclcurl (7.22.0+hg20160822-2)
Tcl bindings to libcurl
tcldoc
本虛擬套件由這些套件填實: tcl8.6-doc
tclex
本虛擬套件由這些套件填實: tcl-tclex
tclfitsy (8.2+repack-2)
Tcl FITS Extension
tclgeoip (0.2-1.1+b1)
Tcl extension implementing GeoIP lookup functions
tcliis (8.2+repack-2)
Tcl IIS protocol package
tcllib (1.20+dfsg-1)
Standard Tcl Library
tcllib-critcl (1.20+dfsg-1)
Standard Tcl Library (CriTcl accelerators)
tclodbc (2.5.1-2+b1)
ODBC extension for Tcl
tclreadline
本虛擬套件由這些套件填實: tcl-tclreadline
tclsh
本虛擬套件由這些套件填實: tcl
tclspice (34+ds-1)
NGSpice library for Tcl
tclthread
本虛擬套件由這些套件填實: tcl-thread
tcltls
本虛擬套件由這些套件填實: tcl-tls
tclvfs
本虛擬套件由這些套件填實: tcl-vfs
tclws (2.6.3-1)
Tcl Web Services
tclx
本虛擬套件由這些套件填實: tclx8.4
tclx-doc
本虛擬套件由這些套件填實: tclx8.4-doc
tclx8.4 (8.4.1-4)
Extended Tcl (TclX) - shared library
tclx8.4-dev (8.4.1-4)
Extended Tcl (TclX) - development package
tclx8.4-doc (8.4.1-4)
Extended Tcl (TclX) - manpages
tclxapian (1.4.18-1)
Xapian search engine interface for Tcl
tclxml (1:3.2.7-5)
Tcl library for XML parsing
tclxml-dev (1:3.2.7-5)
Tcl library for XML parsing - development files
tcm (2.20+TSQD-6)
Toolkit for Conceptual Modeling (TCM)
tcm-doc (2.20+TSQD-6)
Documentation for Toolkit for Conceptual Modeling (TCM)
tcmu-runner (1.5.2-6)
Daemon that handles the userspace side of the LIO TCM-User backstore
tcode (0.1.20080918-3)
create a Java file from an associated LaTex file
tcpd (7.6.q-31)
Wietse Venema 的 TCP 包裝工具
tcpdump (4.99.0-2+deb11u1)
command-line network traffic analyzer
tcpflow (1.5.2+repack1-1+b1)
TCP flow recorder
tcpflow
本虛擬套件由這些套件填實: tcpflow-nox
tcpflow-nox (1.5.2+repack1-1+b1)
TCP flow recorder - version without X11 dependencies
tcpick (0.2.1-10)
TCP stream sniffer and connection tracker
tcplay (1.1-6)
Free and simple TrueCrypt Implementation based on dm-crypt
tcpreen (1.4.4-2+b2 [amd64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x], 1.4.4-2 [arm64])
Simple TCP re-engineering tool
tcpreplay (4.3.3-2+b1)
Tool to replay saved tcpdump files at arbitrary speeds
tcpslice (1.3-2)
extract pieces of and/or glue together tcpdump files
tcpspy (1.7d-15)
Incoming and Outgoing TCP/IP connections logger
tcpstat (1.5-8+b1)
network interface statistics reporting tool
tcptrace (6.6.7-6+b1 [amd64], 6.6.7-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tool for analyzing tcpdump output
tcptraceroute (1.5beta7+debian-4.1+b1)
traceroute implementation using TCP packets
tcptrack (1.4.2-2+b3)
TCP connection tracker, with states and speeds
tcputils (0.6.2-10+b1)
Utilities for TCP programming in shell-scripts
tcpxtract (1.0.1-15)
extract files from network traffic based on file signatures
tcs (1-11.1)
character set translator
tcsh (6.21.00-1.1)
TENEX C Shell, an enhanced version of Berkeley csh
tcvt (0.1.20171010-5)
multicolumn virtual terminal
td2planet (0.3.0-4)
Ruby-based server-side blog aggregator
tdb-dev
本虛擬套件由這些套件填實: libtdb-dev
tdb-tools (1.4.3-1+b1)
Trivial Database - bundled binaries
tdc (1.9-2)
Tiny Dockable Clock
tdfsb (0.0.10-3)
3D filesystem browser
tdiary (5.1.5-1)
Metapackages of tDiary
tdiary-contrib (5.1.5-1)
Plugins of tDiary to add functionalities
tdiary-core (5.1.5-1)
Communication-friendly weblog system
tdiary-mode (5.1.5-1)
tDiary editing mode for Emacsen
tdiary-style-gfm (1.2.0-1)
GFM Style for tDiary
tdiary-style-rd (0.0.3-4)
RD Style for tDiary
tdiary-theme (5.1.5-1)
Themes of tDiary to change the design
tdom (0.9.2-1)
fast XML/DOM/XPath/XSLT/HTML/JSON extension for Tcl written in C
tdom-dev (0.9.2-1)
header files and development libraries for tDOM
tdsodbc (1.2.3-1)
ODBC driver for connecting to MS SQL and Sybase SQL servers
te923con (0.6.1-6)
reading data from weather stations based on Hideki ones
tea (50.0.4-1)
graphical text editor with syntax highlighting
tea-data (50.0.4-1)
graphical text editor with syntax highlighting - data files
teamd
本虛擬套件由這些套件填實: libteam-utils
teckit (2.5.10+ds1-3)
Custom legacy encoding conversion tools for plain text files
tecnoballz (0.93.1-10)
breaking block game ported from the Amiga platform
tecnoballz-data (0.93.1-10)
graphic, sound and music files for the game tecnoballz
teem-apps (1.12.0~20160122-4)
Tools to process and visualize scientific data and images - command line tools
teensy-loader-cli (2.1-1+b1 [amd64], 2.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
load and run programs onto your Teensy micro controller
teeworlds (0.7.5-1)
online multi-player platform 2D shooter
teeworlds-data (0.7.5-1)
data for Teeworlds; an online multi-player platform 2D shooter
teeworlds-server (0.7.5-1)
server for Teeworlds; an online multi-player platform 2D shooter
teg (0.12.0-2)
Turn based strategy game
tegaki-zinnia-japanese (0.3-1.1)
Japanese handwriting model for Zinnia
tegaki-zinnia-simplified-chinese (0.3-1.1)
Simplified Chinese handwriting model for Zinnia
tegrarcm (1.8-2) [non-free]
Tool to upload payloads in Tegra SoC recovery mode
telegnome (0.3.5-1)
graphical teletext viewer
telegram-cli (1.3.1+git20160323.6547c0b21-2)
Command-line interface for Telegram messenger
telegram-desktop (3.1.1+ds-1~deb11u2)
fast and secure messaging application
telegram-purple (1.4.3-3)
Purple plugin to support Telegram
telemetry-tempest-plugin (1.1.0-2)
OpenStack Integration Test Suite - Telemetry plugin
telepathy-accounts-signon (2.1-1)
Mission control integration with libaccounts and libsignon
telepathy-connection-manager
本虛擬套件由這些套件填實: telepathy-ring, telepathy-salut, telepathy-idle, telepathy-haze, telepathy-rakia
telepathy-haze (0.8.0-3)
Telepathy connection manager that uses libpurple
telepathy-idle (0.2.2-1)
IRC connection manager for Telepathy
telepathy-logger (0.8.2-4)
Telepathy logger service - Daemon
telepathy-mission-control-5 (1:5.16.5-2)
management daemon for Telepathy real-time communication framework
telepathy-rakia (0.8.0-4)
SIP connection manager for the Telepathy framework
telepathy-ring (2.3.24-2)
GSM and 3G UMTS Telepathy connection manager
telepathy-salut (0.8.1-7)
Link-local XMPP connection manager for the Telepathy framework
telepathy-sofiasip
本虛擬套件由這些套件填實: telepathy-rakia
telepathy-specification (0.27.4-1)
Telepathy D-Bus specification
tellico (3.3.5-1)
Collection manager for books, videos, music, etc
tellico-data (3.3.5-1)
Collection manager for books, videos, music, etc [data]
tellico-doc (3.3.5-1)
Collection manager for books, videos, music, etc [doc]
tellico-scripts (3.3.5-1)
Collection manager for books, videos, music, etc [scripts]
telnet (0.17-42)
basic telnet client
telnet-client
本虛擬套件由這些套件填實: telnet, telnet-ssl, inetutils-telnet
telnet-server
本虛擬套件由這些套件填實: telnetd-ssl, inetutils-telnetd, telnetd
telnet-ssl (0.17.41+0.2-3.3)
telnet client with SSL encryption support
telnetd (0.17-42)
basic telnet server
telnetd-ssl (0.17.41+0.2-3.3)
telnet server with SSL encryption support
tempest (1:25.0.1-1)
OpenStack Integration Test Suite
tempest-for-eliza (1.0.5-2.1)
demonstrate electromagnetic emissions from computer systems
templatetoolkit-perl
本虛擬套件由這些套件填實: libtemplate-perl
tenace (0.16-2)
Bridge hand viewer and editor
tenmado (0.10-4)
hard-core shoot 'em up game in blue-or-red world
tennix (1.1-3.2+b1)
2D tennis game
tenshi (0.13-7)
log monitoring and reporting tool
tercpp (0.6.2+svn46-1.1+b1)
Translation Error Rate scoring tool - binary
termcast
本虛擬套件由這些套件填實: libapp-termcast-perl
termdebug (2.2+dfsg-1+b4)
Tools for recording and replaying terminal I/O
terminal.app (0.9.9-3)
Terminal Emulator for GNUstep
terminator (2.1.0-2)
multiple GNOME terminals in one window
terminatorx (4.0.1-2)
realtime audio synthesizer
termineter (1.0.4-2)
Smart meter testing framework
terminfo (0.0~git20210125.ca9a967-1)
Binary package for terminfo
terminology (1.9.0-2)
Enlightenment efl based terminal emulator
terminology-data (1.9.0-2)
Enlightenment efl based terminal emulator data
terminus (1.13.0-1)
Drop-down or in-window terminal for X11 and Wayland
termit (3.1-1)
Simple terminal emulator based on vte library, embedded lua
termonad (4.0.0.1-1)
terminal emulator configurable in Haskell
termrec (0.19-1)
terminal videos/scripts recorder and player
termshark (2.2.0-1+deb11u1)
Terminal UI for tshark, inspired by Wireshark
termtosvg (1.1.0+dfsg-3)
record terminal sessions as standalone SVG animations
terraintool (1.16-3)
Generates survex/therion terrain models from SRTM/NASADEM data.
teseq (1.1.1-1)
utility for rendering terminal typescripts human-readable
tesseract-ocr (4.1.1-2.1)
Tesseract command line OCR tool
tesseract-ocr-afr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Afrikaans
tesseract-ocr-all (4.1.1-2.1)
Tesseract OCR with all language and script packages
tesseract-ocr-amh (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Amharic
tesseract-ocr-ara (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Arabic
tesseract-ocr-asm (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Assamese
tesseract-ocr-aze (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Azerbaijani
tesseract-ocr-aze-cyrl (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Azerbaijani (Cyrillic)
tesseract-ocr-bel (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Belarusian
tesseract-ocr-ben (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Bengali
tesseract-ocr-bod (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Tibetan Standard
tesseract-ocr-bos (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Bosnian
tesseract-ocr-bre (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Breton
tesseract-ocr-bul (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Bulgarian
tesseract-ocr-cat (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Catalan
tesseract-ocr-ceb (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Cebuano
tesseract-ocr-ces (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Czech
tesseract-ocr-chi-sim (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Chinese - Simplified
tesseract-ocr-chi-sim-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Chinese - Simplified (vertical)
tesseract-ocr-chi-tra (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Chinese - Traditional
tesseract-ocr-chi-tra-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Chinese - Traditional (vertical)
tesseract-ocr-chr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Cherokee
tesseract-ocr-cos (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Corsican
tesseract-ocr-cym (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Welsh
tesseract-ocr-dan (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Danish
tesseract-ocr-deu (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for German
tesseract-ocr-div (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Divehi
tesseract-ocr-dzo (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Dzongkha
tesseract-ocr-ell (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Greek
tesseract-ocr-eng (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for English
tesseract-ocr-enm (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for English, Middle (1100-1500)
tesseract-ocr-epo (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Esperanto
tesseract-ocr-est (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Estonian
tesseract-ocr-eus (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Basque
tesseract-ocr-fao (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Faroese
tesseract-ocr-fas (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Persian
tesseract-ocr-fil (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Filipino
tesseract-ocr-fin (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Finnish
tesseract-ocr-fra (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for French
tesseract-ocr-frk (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for German (Fraktur)
tesseract-ocr-frm (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for French, Middle (ca.1400-1600)
tesseract-ocr-fry (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Frisian (Western)
tesseract-ocr-gla (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Gaelic (Scots)
tesseract-ocr-gle (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Irish
tesseract-ocr-glg (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Galician
tesseract-ocr-grc (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Greek, Ancient (to 1453)
tesseract-ocr-guj (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Gujarati
tesseract-ocr-hat (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Haitian
tesseract-ocr-heb (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Hebrew
tesseract-ocr-hin (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Hindi
tesseract-ocr-hrv (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Croatian
tesseract-ocr-hun (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Hungarian
tesseract-ocr-hye (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Armenian
tesseract-ocr-iku (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Inuktitut
tesseract-ocr-ind (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Indonesian
tesseract-ocr-isl (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Icelandic
tesseract-ocr-ita (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Italian
tesseract-ocr-ita-old (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Italian - Old
tesseract-ocr-jav (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Javanese
tesseract-ocr-jpn (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Japanese
tesseract-ocr-jpn-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Japanese (vertical)
tesseract-ocr-kan (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Kannada
tesseract-ocr-kat (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Georgian
tesseract-ocr-kat-old (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Old Georgian
tesseract-ocr-kaz (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Kazakh
tesseract-ocr-khm (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Khmer
tesseract-ocr-kir (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Kyrgyz
tesseract-ocr-kmr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Kurmanji (Latin)
tesseract-ocr-kor (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Korean
tesseract-ocr-kor-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Korean (vertical)
tesseract-ocr-lang
本虛擬套件由這些套件填實: tesseract-ocr-urd, tesseract-ocr-fao, tesseract-ocr-mri, tesseract-ocr-ltz, tesseract-ocr-bre, tesseract-ocr-glg, tesseract-ocr-script-beng, tesseract-ocr-hat, tesseract-ocr-lit, tesseract-ocr-nep, tesseract-ocr-eng, tesseract-ocr-asm, tesseract-ocr-script-hang-vert, tesseract-ocr-aze-cyrl, tesseract-ocr-script-cyrl, tesseract-ocr-script-hant, tesseract-ocr-mar, tesseract-ocr-bod, tesseract-ocr-sun, tesseract-ocr-ind, tesseract-ocr-script-cans, tesseract-ocr-afr, tesseract-ocr-kir, tesseract-ocr-isl, tesseract-ocr-script-sinh, tesseract-ocr-syr, tesseract-ocr-gle, tesseract-ocr-uzb-cyrl, tesseract-ocr-fil, tesseract-ocr-nld, tesseract-ocr-fry, tesseract-ocr-ces, tesseract-ocr-eus, tesseract-ocr-msa, tesseract-ocr-script-hang, tesseract-ocr-script-arab, tesseract-ocr-yid, tesseract-ocr-chi-tra-vert, tesseract-ocr-bos, tesseract-ocr-khm, tesseract-ocr-script-armn, tesseract-ocr-script-ethi, tesseract-ocr-kat-old, tesseract-ocr-script-taml, tesseract-ocr-script-knda, tesseract-ocr-kor, tesseract-ocr-uig, tesseract-ocr-script-viet, tesseract-ocr-oci, tesseract-ocr-swa, tesseract-ocr-deu, tesseract-ocr-pus, tesseract-ocr-mkd, tesseract-ocr-script-guru, tesseract-ocr-mya, tesseract-ocr-script-jpan, tesseract-ocr-por, tesseract-ocr-dzo, tesseract-ocr-dan, tesseract-ocr-iku, tesseract-ocr-san, tesseract-ocr-chi-tra, tesseract-ocr-ceb, tesseract-ocr-script-frak, tesseract-ocr-amh, tesseract-ocr-ben, tesseract-ocr-kaz, tesseract-ocr-jpn, tesseract-ocr-hun, tesseract-ocr-spa, tesseract-ocr-chr, tesseract-ocr-tur, tesseract-ocr-tat, tesseract-ocr-script-syrc, tesseract-ocr-script-hant-vert, tesseract-ocr-tam, tesseract-ocr-ita-old, tesseract-ocr-script-telu, tesseract-ocr-chi-sim, tesseract-ocr-jav, tesseract-ocr-osd, tesseract-ocr-spa-old, tesseract-ocr-script-thai, tesseract-ocr-ukr, tesseract-ocr-que, tesseract-ocr-bel, tesseract-ocr-mal, tesseract-ocr-frm, tesseract-ocr-script-mlym, tesseract-ocr-heb, tesseract-ocr-script-hebr, tesseract-ocr-lav, tesseract-ocr-script-hans, tesseract-ocr-kor-vert, tesseract-ocr-lat, tesseract-ocr-ita, tesseract-ocr-sqi, tesseract-ocr-script-cher, tesseract-ocr-pan, tesseract-ocr-swe, tesseract-ocr-slk, tesseract-ocr-epo, tesseract-ocr-script-tibt, tesseract-ocr-fra, tesseract-ocr-rus, tesseract-ocr-script-thaa, tesseract-ocr-hin, tesseract-ocr-ara, tesseract-ocr-script-orya, tesseract-ocr-bul, tesseract-ocr-tir, tesseract-ocr-tha, tesseract-ocr-vie, tesseract-ocr-ell, tesseract-ocr-script-geor, tesseract-ocr-grc, tesseract-ocr-est, tesseract-ocr-pol, tesseract-ocr-gla, tesseract-ocr-lao, tesseract-ocr-tel, tesseract-ocr-script-laoo, tesseract-ocr-ron, tesseract-ocr-tgk, tesseract-ocr-sin, tesseract-ocr-srp, tesseract-ocr-hye, tesseract-ocr-yor, tesseract-ocr-aze, tesseract-ocr-script-grek, tesseract-ocr-mlt, tesseract-ocr-srp-latn, tesseract-ocr-script-hans-vert, tesseract-ocr-script-khmr, tesseract-ocr-jpn-vert, tesseract-ocr-cat, tesseract-ocr-script-gujr, tesseract-ocr-kmr, tesseract-ocr-hrv, tesseract-ocr-kat, tesseract-ocr-cos, tesseract-ocr-mon, tesseract-ocr-uzb, tesseract-ocr-guj, tesseract-ocr-cym, tesseract-ocr-script-mymr, tesseract-ocr-div, tesseract-ocr-slv, tesseract-ocr-enm, tesseract-ocr-chi-sim-vert, tesseract-ocr-kan, tesseract-ocr-ton, tesseract-ocr-fas, tesseract-ocr-script-jpan-vert, tesseract-ocr-snd, tesseract-ocr-ori, tesseract-ocr-nor, tesseract-ocr-script-deva, tesseract-ocr-script-latn, tesseract-ocr-frk, tesseract-ocr-fin
tesseract-ocr-language
本虛擬套件由這些套件填實: tesseract-ocr-khm, tesseract-ocr-chi-tra-vert, tesseract-ocr-bos, tesseract-ocr-yid, tesseract-ocr-script-arab, tesseract-ocr-script-hang, tesseract-ocr-msa, tesseract-ocr-uig, tesseract-ocr-kor, tesseract-ocr-script-knda, tesseract-ocr-script-taml, tesseract-ocr-kat-old, tesseract-ocr-script-ethi, tesseract-ocr-script-armn, tesseract-ocr-sun, tesseract-ocr-bod, tesseract-ocr-mar, tesseract-ocr-script-hant, tesseract-ocr-script-cyrl, tesseract-ocr-aze-cyrl, tesseract-ocr-script-hang-vert, tesseract-ocr-lit, tesseract-ocr-nep, tesseract-ocr-eng, tesseract-ocr-asm, tesseract-ocr-script-beng, tesseract-ocr-hat, tesseract-ocr-mri, tesseract-ocr-glg, tesseract-ocr-ltz, tesseract-ocr-bre, tesseract-ocr-fao, tesseract-ocr-urd, tesseract-ocr-eus, tesseract-ocr-ces, tesseract-ocr-fry, tesseract-ocr-nld, tesseract-ocr-fil, tesseract-ocr-uzb-cyrl, tesseract-ocr-gle, tesseract-ocr-script-sinh, tesseract-ocr-syr, tesseract-ocr-isl, tesseract-ocr-kir, tesseract-ocr-afr, tesseract-ocr-script-cans, tesseract-ocr-ind, tesseract-ocr-script-hant-vert, tesseract-ocr-script-syrc, tesseract-ocr-tat, tesseract-ocr-tur, tesseract-ocr-chr, tesseract-ocr-spa, tesseract-ocr-jpn, tesseract-ocr-kaz, tesseract-ocr-hun, tesseract-ocr-ben, tesseract-ocr-osd, tesseract-ocr-jav, tesseract-ocr-chi-sim, tesseract-ocr-script-telu, tesseract-ocr-ita-old, tesseract-ocr-tam, tesseract-ocr-dzo, tesseract-ocr-script-jpan, tesseract-ocr-por, tesseract-ocr-mya, tesseract-ocr-mkd, tesseract-ocr-script-guru, tesseract-ocr-pus, tesseract-ocr-deu, tesseract-ocr-swa, tesseract-ocr-oci, tesseract-ocr-script-viet, tesseract-ocr-script-frak, tesseract-ocr-amh, tesseract-ocr-ceb, tesseract-ocr-chi-tra, tesseract-ocr-san, tesseract-ocr-iku, tesseract-ocr-dan, tesseract-ocr-bul, tesseract-ocr-tir, tesseract-ocr-script-orya, tesseract-ocr-ara, tesseract-ocr-hin, tesseract-ocr-script-thaa, tesseract-ocr-rus, tesseract-ocr-fra, tesseract-ocr-script-tibt, tesseract-ocr-est, tesseract-ocr-grc, tesseract-ocr-script-geor, tesseract-ocr-ell, tesseract-ocr-vie, tesseract-ocr-tha, tesseract-ocr-lav, tesseract-ocr-script-hebr, tesseract-ocr-heb, tesseract-ocr-script-mlym, tesseract-ocr-mal, tesseract-ocr-frm, tesseract-ocr-bel, tesseract-ocr-que, tesseract-ocr-ukr, tesseract-ocr-script-thai, tesseract-ocr-spa-old, tesseract-ocr-slk, tesseract-ocr-epo, tesseract-ocr-pan, tesseract-ocr-swe, tesseract-ocr-script-cher, tesseract-ocr-sqi, tesseract-ocr-ita, tesseract-ocr-lat, tesseract-ocr-kor-vert, tesseract-ocr-script-hans, tesseract-ocr-script-jpan-vert, tesseract-ocr-fas, tesseract-ocr-ton, tesseract-ocr-kan, tesseract-ocr-chi-sim-vert, tesseract-ocr-enm, tesseract-ocr-slv, tesseract-ocr-div, tesseract-ocr-cym, tesseract-ocr-script-mymr, tesseract-ocr-guj, tesseract-ocr-fin, tesseract-ocr-frk, tesseract-ocr-script-deva, tesseract-ocr-script-latn, tesseract-ocr-ori, tesseract-ocr-nor, tesseract-ocr-snd, tesseract-ocr-jpn-vert, tesseract-ocr-script-khmr, tesseract-ocr-script-hans-vert, tesseract-ocr-srp-latn, tesseract-ocr-mlt, tesseract-ocr-script-grek, tesseract-ocr-aze, tesseract-ocr-yor, tesseract-ocr-hye, tesseract-ocr-srp, tesseract-ocr-sin, tesseract-ocr-tgk, tesseract-ocr-ron, tesseract-ocr-script-laoo, tesseract-ocr-tel, tesseract-ocr-lao, tesseract-ocr-gla, tesseract-ocr-pol, tesseract-ocr-mon, tesseract-ocr-uzb, tesseract-ocr-cos, tesseract-ocr-hrv, tesseract-ocr-kat, tesseract-ocr-kmr, tesseract-ocr-script-gujr, tesseract-ocr-cat
tesseract-ocr-lao (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Lao
tesseract-ocr-lat (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Latin
tesseract-ocr-lav (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Latvian
tesseract-ocr-lit (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Lithuanian
tesseract-ocr-ltz (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Luxembourgish
tesseract-ocr-mal (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Malayalam
tesseract-ocr-mar (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Marathi
tesseract-ocr-mkd (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Macedonian
tesseract-ocr-mlt (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Maltese
tesseract-ocr-mon (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Mongolian
tesseract-ocr-mri (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Maori
tesseract-ocr-msa (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Malay
tesseract-ocr-mya (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Burmese
tesseract-ocr-nep (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Nepali
tesseract-ocr-nld (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Dutch
tesseract-ocr-nor (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Norwegian
tesseract-ocr-oci (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Occitan (post 1500)
tesseract-ocr-ori (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Oriya
tesseract-ocr-osd (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for script and orientation
tesseract-ocr-pan (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Punjabi
tesseract-ocr-pol (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Polish
tesseract-ocr-por (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Portuguese
tesseract-ocr-pus (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Pashto
tesseract-ocr-que (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Quechua
tesseract-ocr-ron (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Romanian
tesseract-ocr-rus (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Russian
tesseract-ocr-san (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Sanskrit
tesseract-ocr-script-arab (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Arabic script
tesseract-ocr-script-armn (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Armenian script
tesseract-ocr-script-beng (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Bengali script
tesseract-ocr-script-cans (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Canadian Aboriginal script
tesseract-ocr-script-cher (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Cherokee script
tesseract-ocr-script-cyrl (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Cyrillic script
tesseract-ocr-script-deva (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Devanagari script
tesseract-ocr-script-ethi (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Ethiopic script
tesseract-ocr-script-frak (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Fraktur script
tesseract-ocr-script-geor (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Georgian script
tesseract-ocr-script-grek (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Greek script
tesseract-ocr-script-gujr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Gujarati script
tesseract-ocr-script-guru (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Gurmukhi script
tesseract-ocr-script-hang (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Hangul script
tesseract-ocr-script-hang-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Hangul (vertical) script
tesseract-ocr-script-hans (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Han - Simplified script
tesseract-ocr-script-hans-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Han - Simplified (vertical) script
tesseract-ocr-script-hant (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Han - Traditional script
tesseract-ocr-script-hant-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Han - Traditional (vertical) script
tesseract-ocr-script-hebr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Hebrew script
tesseract-ocr-script-jpan (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Japanese script
tesseract-ocr-script-jpan-vert (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Japanese (vertical) script
tesseract-ocr-script-khmr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Khmer script
tesseract-ocr-script-knda (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Kannada script
tesseract-ocr-script-laoo (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Lao script
tesseract-ocr-script-latn (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Latin script
tesseract-ocr-script-mlym (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Malayalam script
tesseract-ocr-script-mymr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Myanmar script
tesseract-ocr-script-orya (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Oriya (Odia) script
tesseract-ocr-script-sinh (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Sinhala script
tesseract-ocr-script-syrc (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Syriac script
tesseract-ocr-script-taml (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Tamil script
tesseract-ocr-script-telu (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Telugu script
tesseract-ocr-script-thaa (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Thaana script
tesseract-ocr-script-thai (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Thai script
tesseract-ocr-script-tibt (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Tibetan script
tesseract-ocr-script-viet (1:4.00~git30-7274cfa-1.1)
tesseract-ocr data for Vietnamese script
tesseract-ocr-sin (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Sinhala
tesseract-ocr-slk (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Slovakian
tesseract-ocr-slv (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Slovenian
tesseract-ocr-snd (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Sindhi
tesseract-ocr-spa (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Spanish
tesseract-ocr-spa-old (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Spanish, Castilian - Old
tesseract-ocr-sqi (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Albanian
tesseract-ocr-srp (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Serbian
tesseract-ocr-srp-latn (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Serbian (Latin)
tesseract-ocr-sun (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Sundanese
tesseract-ocr-swa (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Swahili
tesseract-ocr-swe (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Swedish
tesseract-ocr-syr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Syriac
tesseract-ocr-tam (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Tamil
tesseract-ocr-tat (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Tatar
tesseract-ocr-tel (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Telugu
tesseract-ocr-tgk (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Tajik
tesseract-ocr-tha (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Thai
tesseract-ocr-tir (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Tigrinya
tesseract-ocr-ton (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Tonga
tesseract-ocr-tur (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Turkish
tesseract-ocr-uig (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Uyghur
tesseract-ocr-ukr (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Ukrainian
tesseract-ocr-urd (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Urdu
tesseract-ocr-uzb (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Uzbek
tesseract-ocr-uzb-cyrl (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Uzbek (Cyrillic)
tesseract-ocr-vie (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Vietnamese
tesseract-ocr-yid (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Yiddish
tesseract-ocr-yor (1:4.00~git30-7274cfa-1.1)
tesseract-ocr language files for Yoruba
testdisk (7.1-5+b1 [amd64], 7.1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Partition scanner and disk recovery tool, and PhotoRec file recovery tool
testdisk-dbg (7.1-5+b1 [amd64], 7.1-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Partition scanner and disk recovery tool, and PhotoRec file recovery tool
testinfra
本虛擬套件由這些套件填實: python3-testinfra
testng (6.9.12-4)
testing framework for Java
testrepository (0.0.20-5)
unit test system with database of test results - metapackage and doc
testssl.sh (3.0.4+dfsg1-1)
Command line tool to check TLS/SSL ciphers, protocols and cryptographic flaws
testu01-bin (1.2.3+ds1-1) [non-free]
testing suite for uniform random number generators -- utils
testu01-data (1.2.3+ds1-1) [non-free]
testing suite for uniform random number generators -- data
testu01-doc (1.2.3+ds1-1) [non-free]
testing suite for uniform random number generators -- doc
tetex-brev (4.22.github.20140417-3)
Norwegian A4 letter style for LaTeX
tetgen (1.5.0-5)
Quality Tetrahedral Mesh Generator
tetradraw (2.0.3-9+b2)
ANSI drawing and viewing utility
tetraproc (0.8.6-1)
Tetrahedral Microphone Processor for Ambisonic Recording
tetrinet-client (0.11+CVS20070911-2.1)
textmode client for tetrinet, a multiplayer tetris-like game
tetrinet-server (0.11+CVS20070911-2.1)
server for tetrinet, a multiplayer tetris-like game
tetrinetx (1.13.16-14+b1)
game server for Tetrinet
tetzle (2.1.6-1)
Jigsaw puzzle game
tex-common (6.16)
common infrastructure for building and installing TeX
tex-gyre (20180621-3.1)
scalable PostScript and OpenType fonts based on URW Fonts
tex4ht
本虛擬套件由這些套件填實: texlive-plain-generic
texi2html (1.82+dfsg1-6)
Convert Texinfo files to HTML
texify (1.20-4.1)
Beautify source code for use with LaTeX
texinfo (6.7.0.dfsg.2-6)
線上資訊與列印輸出文件系統
texlive (2020.20210202-3)
TeX Live: A decent selection of the TeX Live packages
texlive-base (2020.20210202-3)
TeX Live: Essential programs and files
texlive-base-bin
本虛擬套件由這些套件填實: texlive-binaries
texlive-bibtex-extra (2020.20210202-3)
TeX Live: BibTeX additional styles
texlive-binaries (2020.20200327.54578-7+deb11u1)
Binaries for TeX Live
texlive-context
本虛擬套件由這些套件填實: context
texlive-extra-utils (2020.20210202-3)
TeX Live: TeX auxiliary programs
texlive-font-utils (2020.20210202-3)
TeX Live: Graphics and font utilities
texlive-fonts-extra (2020.20210202-3)
TeX Live: Additional fonts
texlive-fonts-extra-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-fonts-extra
texlive-fonts-extra-links (2020.20210202-3)
TeX Live: Setup of fonts for TeX Live and search via kpathsea
texlive-fonts-recommended (2020.20210202-3)
TeX Live: Recommended fonts
texlive-fonts-recommended-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-fonts-recommended
texlive-formats-extra (2020.20210202-3)
TeX Live: Additional formats
texlive-full (2020.20210202-3)
TeX Live: metapackage pulling in all components of TeX Live
texlive-games (2020.20210202-3)
TeX Live: Games typesetting
texlive-humanities (2020.20210202-3)
TeX Live: Humanities packages
texlive-humanities-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-humanities
texlive-lang-all (2020.20210202-2)
TeX Live: metapackage depending on all TeX Live language packages
texlive-lang-arabic (2020.20210202-2)
TeX Live: Arabic
texlive-lang-chinese (2020.20210202-2)
TeX Live: Chinese
texlive-lang-cjk (2020.20210202-2)
TeX Live: Chinese/Japanese/Korean (base)
texlive-lang-cyrillic (2020.20210202-2)
TeX Live: Cyrillic
texlive-lang-czechslovak (2020.20210202-2)
TeX Live: Czech/Slovak
texlive-lang-english (2020.20210202-2)
TeX Live: US and UK English
texlive-lang-european (2020.20210202-2)
TeX Live: Other European languages
texlive-lang-french (2020.20210202-2)
TeX Live: French
texlive-lang-german (2020.20210202-2)
TeX Live: German
texlive-lang-greek (2020.20210202-2)
TeX Live: Greek
texlive-lang-italian (2020.20210202-2)
TeX Live: Italian
texlive-lang-japanese (2020.20210202-2)
TeX Live: Japanese
texlive-lang-korean (2020.20210202-2)
TeX Live: Korean
texlive-lang-other (2020.20210202-2)
TeX Live: Other languages
texlive-lang-polish (2020.20210202-2)
TeX Live: Polish
texlive-lang-portuguese (2020.20210202-2)
TeX Live: Portuguese
texlive-lang-spanish (2020.20210202-2)
TeX Live: Spanish
texlive-latex-base (2020.20210202-3)
TeX Live: LaTeX fundamental packages
texlive-latex-base-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-latex-base
texlive-latex-extra (2020.20210202-3)
TeX Live: LaTeX additional packages
texlive-latex-extra-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-latex-extra
texlive-latex-recommended (2020.20210202-3)
TeX Live: LaTeX recommended packages
texlive-latex-recommended-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-latex-recommended
texlive-luatex (2020.20210202-3)
TeX Live: LuaTeX packages
texlive-metapost (2020.20210202-3)
TeX Live: MetaPost and Metafont packages
texlive-metapost-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-metapost
texlive-music (2020.20210202-3)
TeX Live: Music packages
texlive-pictures (2020.20210202-3)
TeX Live: Graphics, pictures, diagrams
texlive-pictures-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-pictures
texlive-plain-generic (2020.20210202-3)
TeX Live: Plain (La)TeX packages
texlive-pstricks (2020.20210202-3)
TeX Live: PSTricks
texlive-pstricks-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-pstricks
texlive-publishers (2020.20210202-3)
TeX Live: Publisher styles, theses, etc.
texlive-publishers-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-publishers
texlive-science (2020.20210202-3)
TeX Live: Mathematics, natural sciences, computer science packages
texlive-science-doc (2020.20210202-3)
TeX Live: Documentation files for texlive-science
texlive-xetex (2020.20210202-3)
TeX Live: XeTeX and packages
texmaker (5.0.3-1+b4)
cross-platform LaTeX editor
texmaker-data (5.0.3-1)
Texmaker LaTeX editor -- arch-independent files
texstudio (3.0.4+ds-1)
LaTeX Editor
texstudio-doc (3.0.4+ds-1)
LaTeX Editor (doc)
texstudio-l10n (3.0.4+ds-1)
LaTeX Editor (localization)
textdraw (0.2+ds-0+nmu1+b3)
Tool to draw/modify/move geometric figures & text for ASCII art
textedit.app (5.0-2+b2)
Text editor for GNUstep
textql (2.0.3-3+b16)
execute SQL against structured text like CSV or TSV
texworks (0.6.5-2)
Environment for authoring TeX (LaTeX, ConTeXt, etc) documents
texworks-help-en (20210308-2)
English help files for TeXworks
texworks-help-fr (20210308-2)
French help files for TeXworks
texworks-scripting-lua (0.6.5-2)
Plugin to bring Lua scripting to TeXworks
texworks-scripting-python (0.6.5-2)
Plugin to bring Python scripting to TeXworks
tf (1:4.0s1-21)
Tinyfugue MUD client for TinyMUDs, DikuMUDs, and LPMUDs
tf-tools (1.13.2-3)
Set of utilities to operate with the Robot OS tf lib
tf2-tools (0.7.5-3)
Robot OS tool for tf2 transform library second generation
tf5 (5.0beta8-10)
text-based MU* and chatserver client
tfdocgen (1.0-3)
TiLP framework documentation generator
tfm-arphic-bkai00mp
本虛擬套件由這些套件填實: latex-cjk-chinese-arphic-bkai00mp
tfm-arphic-bsmi00lp
本虛擬套件由這些套件填實: latex-cjk-chinese-arphic-bsmi00lp
tfm-arphic-gbsn00lp
本虛擬套件由這些套件填實: latex-cjk-chinese-arphic-gbsn00lp
tfm-arphic-gkai00mp
本虛擬套件由這些套件填實: latex-cjk-chinese-arphic-gkai00mp
tfortune (1.0.1-1)
Fortune Cookies with Tags
tfortunes (1.0.1-1)
Data files for tfortune
tftp (0.17-23)
Trivial file transfer protocol client
tftp-hpa (5.2+20150808-1.2)
HPA's tftp client
tftp-hpa-dbg (5.2+20150808-1.2)
HPA's tftp (debug)
tftp-server
本虛擬套件由這些套件填實: tftpd-hpa
tftpd (0.17-23)
Trivial file transfer protocol server
tftpd-hpa (5.2+20150808-1.2)
HPA's tftp server
tgif (1:4.2.5-1.3+b1)
2D vector graphic drawing tool using Xlib
tgt (1:1.0.80-1)
Linux SCSI target user-space daemon and tools
tgt-glusterfs (1:1.0.80-1)
Linux SCSI target user-space daemon and tools - GlusterFS support
tgt-rbd (1:1.0.80-1)
Linux SCSI target user-space daemon and tools - RBD support
th-scheme-utilities (3.1.2-2)
TH Scheme Utilities library
thc-ipv6 (3.8-1)
The Hacker Choice's IPv6 Attack Toolkit
theano-doc (1.0.5+dfsg-2)
CPU/GPU math expression compiler for Python (docs)
thefuck (3.29-0.3)
spelling corrector of console commands
theli (3.0.5-2)
Astronomical image data reduction pipeline
theme-d-doc (3.1.2-2)
Documentation for programming language Theme-D
theme-d-gnome (0.9.5-4)
GNOME library for programming language Theme-D
theme-d-gnome-dev (0.9.5-4)
Development files for the Theme-D-Gnome library
theme-d-rte (3.1.2-2)
Theme-D runtime environment
theme-d-stdlib (3.1.2-2)
Theme-D Standard Library
theme-d-translator (3.1.2-2)
Programming language extending Scheme with static typing
themole (0.3-2)
automatic SQL injection exploitation tool
themonospot (0.7.3.1-7.1)
application to scan video files
therion (5.5.7ds1-2)
Cave surveying - 2D and 3D drawing software
therion-doc (5.5.7ds1-2)
Documentation for Therion Cave surveying software
therion-viewer (5.5.7ds1-2)
Cave surveying - 3D viewer for therion models
thermald (2.4.2-1)
Thermal monitoring and controlling daemon
theseus (3.3.0-9)
superimpose macromolecules using maximum likelihood
theseus-examples (3.3.0-9)
superimpose macromolecules using maximum likelihood (examples)
thesias (3.1.1-1)
Testing Haplotype Effects In Association Studies
theunarchiver
本虛擬套件由這些套件填實: unar
thin (1.8.0-1)
fast and very simple Ruby web server
thin-provisioning-tools (0.9.0-1)
Tools for handling thinly provisioned device-mapper meta-data
thonny (3.2.7-1)
Python IDE for beginners
threadscope (0.2.13-1)
graphical thread profiler for Haskell programs
thrift-compiler (0.13.0-6)
code generator/compiler for Thrift definitions
thunar (4.16.8-1)
File Manager for Xfce
thunar-archive-plugin (0.4.0-2)
Archive plugin for Thunar file manager
thunar-data (4.16.8-1)
Provides thunar documentation, icons and translations
thunar-dropbox-plugin (0.3.1-1) [contrib]
context-menu items from dropbox for Thunar
thunar-font-manager (0.8.4-1)
Thunar extension for Font Manager
thunar-gtkhash (1.2-1+b3 [amd64], 1.2-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
thunar extension for computing checksums and more using gtkhash
thunar-media-tags-plugin (0.3.0-2)
Media tags plugin for Thunar file manager
thunar-vcs-plugin (0.2.0-1)
VCS plugin for Thunar file manager
thunar-volman (4.16.0-1)
Thunar extension for volumes management
thunderbird (1:115.9.0-1~deb11u1 [amd64, arm64, i386, ppc64el, s390x], 1:91.13.0-1~deb11u1 [mips64el]) [security]
mail/news client with RSS, chat and integrated spam filter support
thunderbird-bidiui (0.9.7-2.1)
BiDirectional support for Thunderbird
thunderbird-enigmail
本虛擬套件由這些套件填實: enigmail
thunderbird-l10n-af (1:115.9.0-1~deb11u1) [security]
Africaans language package for Thunderbird
thunderbird-l10n-all (1:115.9.0-1~deb11u1) [security]
All language packages for Thunderbird (meta)
thunderbird-l10n-ar (1:115.9.0-1~deb11u1) [security]
Arabic language package for Thunderbird
thunderbird-l10n-ast (1:115.9.0-1~deb11u1) [security]
Asturian language package for Thunderbird
thunderbird-l10n-be (1:115.9.0-1~deb11u1) [security]
Belarusian language package for Thunderbird
thunderbird-l10n-bg (1:115.9.0-1~deb11u1) [security]
Bulgarian language package for Thunderbird
thunderbird-l10n-br (1:115.9.0-1~deb11u1) [security]
Breton language package for Thunderbird
thunderbird-l10n-ca (1:115.9.0-1~deb11u1) [security]
Catalan/Valencian language package for Thunderbird
thunderbird-l10n-cak (1:115.9.0-1~deb11u1) [security]
Kacqhikel language package for Thunderbird
thunderbird-l10n-cs (1:115.9.0-1~deb11u1) [security]
Czech language package for Thunderbird
thunderbird-l10n-cy (1:115.9.0-1~deb11u1) [security]
Welsh language package for Thunderbird
thunderbird-l10n-da (1:115.9.0-1~deb11u1) [security]
Danish language package for Thunderbird
thunderbird-l10n-de (1:115.9.0-1~deb11u1) [security]
German language package for Thunderbird
thunderbird-l10n-dsb (1:115.9.0-1~deb11u1) [security]
Lower Sorbian language package for Thunderbird
thunderbird-l10n-el (1:115.9.0-1~deb11u1) [security]
Greek language package for Thunderbird
thunderbird-l10n-en-ca (1:115.9.0-1~deb11u1) [security]
English (Canada) language package for Thunderbird
thunderbird-l10n-en-gb (1:115.9.0-1~deb11u1) [security]
English (Great Britain) language package for Thunderbird
thunderbird-l10n-es-ar (1:115.9.0-1~deb11u1) [security]
Spanish (Argentina) language package for Thunderbird
thunderbird-l10n-es-es (1:115.9.0-1~deb11u1) [security]
Spanish (Spain) language package for Thunderbird
thunderbird-l10n-es-mx (1:115.9.0-1~deb11u1) [security]
Spanish (Mexican) language package for Thunderbird
thunderbird-l10n-et (1:115.9.0-1~deb11u1) [security]
Estonian language package for Thunderbird
thunderbird-l10n-eu (1:115.9.0-1~deb11u1) [security]
Basque language package for Thunderbird
thunderbird-l10n-fi (1:115.9.0-1~deb11u1) [security]
Finnish language package for Thunderbird
thunderbird-l10n-fr (1:115.9.0-1~deb11u1) [security]
French language package for Thunderbird
thunderbird-l10n-fy-nl (1:115.9.0-1~deb11u1) [security]
Frisian language package for Thunderbird
thunderbird-l10n-ga-ie (1:115.9.0-1~deb11u1) [security]
Irish (Ireland) language package for Thunderbird
thunderbird-l10n-gd (1:115.9.0-1~deb11u1) [security]
Gaelic (Scottish) language package for Thunderbird
thunderbird-l10n-gl (1:115.9.0-1~deb11u1) [security]
Galician language package for Thunderbird
thunderbird-l10n-he (1:115.9.0-1~deb11u1) [security]
Hebrew language package for Thunderbird
thunderbird-l10n-hr (1:115.9.0-1~deb11u1) [security]
Croatian language package for Thunderbird
thunderbird-l10n-hsb (1:115.9.0-1~deb11u1) [security]
Upper Sorbian language package for Thunderbird
thunderbird-l10n-hu (1:115.9.0-1~deb11u1) [security]
Hungarian language package for Thunderbird
thunderbird-l10n-hy-am (1:115.9.0-1~deb11u1) [security]
Armenian language package for Thunderbird
thunderbird-l10n-id (1:115.9.0-1~deb11u1) [security]
Indonesian language package for Thunderbird
thunderbird-l10n-is (1:115.9.0-1~deb11u1) [security]
Icelandic language package for Thunderbird
thunderbird-l10n-it (1:115.9.0-1~deb11u1) [security]
Italian language package for Thunderbird
thunderbird-l10n-ja (1:115.9.0-1~deb11u1) [security]
Japanese language package for Thunderbird
thunderbird-l10n-ka (1:115.9.0-1~deb11u1) [security]
Georgian language package for Thunderbird
thunderbird-l10n-kab (1:115.9.0-1~deb11u1) [security]
Kabyle language package for Thunderbird
thunderbird-l10n-kk (1:115.9.0-1~deb11u1) [security]
Kazakh language package for Thunderbird
thunderbird-l10n-ko (1:115.9.0-1~deb11u1) [security]
Korean language package for Thunderbird
thunderbird-l10n-lt (1:115.9.0-1~deb11u1) [security]
Lithuanian language package for Thunderbird
thunderbird-l10n-lv (1:115.9.0-1~deb11u1) [security]
Ltavian language package for Thunderbird
thunderbird-l10n-ms (1:115.9.0-1~deb11u1) [security]
Malay language package for Thunderbird
thunderbird-l10n-nb-no (1:115.9.0-1~deb11u1) [security]
Bokmaal (Norway) language package for Thunderbird
thunderbird-l10n-nl (1:115.9.0-1~deb11u1) [security]
Dutch language package for Thunderbird
thunderbird-l10n-nn-no (1:115.9.0-1~deb11u1) [security]
Nynorsk (Norway) language package for Thunderbird
thunderbird-l10n-pa-in (1:115.9.0-1~deb11u1) [security]
Punjabi (Gurmukhi) language package for Thunderbird
thunderbird-l10n-pl (1:115.9.0-1~deb11u1) [security]
Polish language package for Thunderbird
thunderbird-l10n-pt-br (1:115.9.0-1~deb11u1) [security]
Portuguese (Brazil) language package for Thunderbird
thunderbird-l10n-pt-pt (1:115.9.0-1~deb11u1) [security]
Portuguese (Portugal) language package for Thunderbird
thunderbird-l10n-rm (1:115.9.0-1~deb11u1) [security]
Romansh language package for Thunderbird
thunderbird-l10n-ro (1:115.9.0-1~deb11u1) [security]
Romania language package for Thunderbird
thunderbird-l10n-ru (1:115.9.0-1~deb11u1) [security]
Russian language package for Thunderbird
thunderbird-l10n-sk (1:115.9.0-1~deb11u1) [security]
Slovak language package for Thunderbird
thunderbird-l10n-sl (1:115.9.0-1~deb11u1) [security]
Slovenian language package for Thunderbird
thunderbird-l10n-sq (1:115.9.0-1~deb11u1) [security]
Albanian language package for Thunderbird
thunderbird-l10n-sr (1:115.9.0-1~deb11u1) [security]
Serbian language package for Thunderbird
thunderbird-l10n-sv-se (1:115.9.0-1~deb11u1) [security]
Swedish (Sweden) language package for Thunderbird
thunderbird-l10n-th (1:115.9.0-1~deb11u1) [security]
Thai language package for Thunderbird
thunderbird-l10n-tr (1:115.9.0-1~deb11u1) [security]
Turkish language package for Thunderbird
thunderbird-l10n-uk (1:115.9.0-1~deb11u1) [security]
Ukrainian language package for Thunderbird
thunderbird-l10n-uz (1:115.9.0-1~deb11u1) [security]
Uzbek language package for Thunderbird
thunderbird-l10n-vi (1:115.9.0-1~deb11u1) [security]
Vietnamese language package for Thunderbird
thunderbird-l10n-zh-cn (1:115.9.0-1~deb11u1) [security]
Chinese (China) language package for Thunderbird
thunderbird-l10n-zh-tw (1:115.9.0-1~deb11u1) [security]
Chinese (Taiwan) language package for Thunderbird
thunderbolt-tools (0.9.3-5+b1)
Intel Thunderbolt userspace components
tiarra (20100212+r39209-9)
IRC proxy, stationing, logger and bot program (pirc)
tiarra-conf-el (20100212+r39209-9)
edit mode for tiarra.conf
tiatracker (1.3-3)
music tracker for making Atari VCS 2600
ticgit (1.0.2.17-2.1)
ticketing system built on Git
ticgitweb (1.0.2.17-2.1)
web interface to ticgit
ticker (1.13)
configurable text scroller
tickr (0.7.0-3)
GTK-based highly graphically-customizable Feed Ticker
tiddit (2.12.0+dfsg-3+b1)
structural variant calling
tidy (2:5.6.0-11)
HTML/XML syntax checker and reformatter
tidy-proxy (0.97-4.1)
small http proxy which tidies html
tiemu (3.04~git20110801-nogdb+dfsg1-2+b1 [amd64], 3.04~git20110801-nogdb+dfsg1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Texas Instruments calculators emulator (without GDB)
tiemu-skinedit (1.28~20200818-1)
skin editor for TiEmu
tifffile
本虛擬套件由這些套件填實: python3-tifffile
tig (2.5.1-1)
ncurses-based text-mode interface for Git
tiger (1:3.2.4~rc1-3)
security auditing and intrusion detection tools for Linux
tiger-otheros (1:3.2.4~rc1-3)
security auditing and intrusion detection scripts for Unix based systems
tigervnc-common (1.11.0+dfsg-2+deb11u1)
Virtual network computing; Common software needed by servers
tigervnc-scraping-server (1.11.0+dfsg-2+deb11u1)
Virtual network computing server performing X screen scraping
tigervnc-standalone-server (1.11.0+dfsg-2+deb11u1)
Standalone virtual network computing server
tigervnc-viewer (1.11.0+dfsg-2+deb11u1)
Virtual network computing client for X
tigervnc-xorg-extension (1.11.0+dfsg-2+deb11u1)
Virtual network computing X server extension
tightvnc-java (1.3.10-2)
TightVNC java applet and command line program
tightvncserver (1:1.3.10-3)
virtual network computing server software
tigr-glimmer (3.02b-5)
Gene detection in archea and bacteria
tikzit (2.1.6-1)
visual PGF/TikZ graph editor
tilda (1.5.4-1)
GTK+ based drop down terminal for Linux and Unix
tilde (1.1.2-1)
Intuitive text editor for the terminal
tiled (1.4.3-1)
general purpose tile map editor
tilem (2.0-4)
GTK+ TI Z80 calculator emulator
tilem-data (2.0-4)
GTK+ TI Z80 calculator emulator (data files)
tilix (1.9.4-2)
Tiling terminal emulator for GNOME
tilix-common (1.9.4-2)
Tiling terminal emulator - data files
tilp2 (1.18-4)
Texas Instruments hand-helds <-> PC communication program for X
timbl (6.5-3)
Tilburg Memory Based Learner
timblserver (1.14-3)
Server extensions for Timbl
time (1.9-0.1)
GNU time program for measuring CPU resource usage
time-daemon
本虛擬套件由這些套件填實: ntp, ntpsec, systemd-timesyncd, openntpd, chrony
timekpr
本虛擬套件由這些套件填實: timekpr-next
timekpr-next (0.5.0-2)
Keep control of computer usage
timelimit (1.9.0-6)
simple utility to limit a process's absolute execution time
timemachine (0.3.3-2.1+b1)
JACK audio recorder for spontaneous and conservatory use
timemon.app (4.2-2+b2)
CPU time usage monitor for GNUstep
timeobjects
本虛擬套件由這些套件填實: php-horde-timeobjects
timeshift (20.11.1-1)
System restore utility
timewarrior (1.4.2+ds.1-3)
feature-rich time tracking utility
timgm6mb-soundfont (1.3-5)
TimGM6mb SoundFont from MuseScore 1.3
timidity (2.14.0-8)
Software sound renderer (MIDI sequencer, MOD player)
timidity-daemon (2.14.0-8)
runs TiMidity++ as a system-wide MIDI sequencer
timidity-el (2.14.0-8)
Emacs front end to Timidity++
timidity-interfaces-extra (2.14.0-8)
TiMidity++ extra user interfaces
tin (1:2.4.5-1)
Full-screen easy to use Usenet newsreader
tina (0.1.12-3)
text-based personal information manager
tinc (1.0.36-2)
Virtual Private Network daemon
tini (0.19.0-1)
tiny but valid init for containers
tint (0.06)
Tetris clone for text based terminal
tint2 (16.7-1)
lightweight taskbar
tintii (2.10.0-2)
photo filter for easy color effects
tintin++ (2.02.03-1)
classic text-based MUD client
tiny-initramfs (0.1-5)
Minimalistic initramfs implementation (automation)
tiny-initramfs-core (0.1-5+b11)
Minimalistic initramfs implementation (core tools)
tinycdb (0.78+b1)
an utility to manipulate constant databases (cdb)
tinydns (1:1.05-13+deb11u1)
DNS server - tool for publishing addresses of Internet hosts
tinydyndns (0.4.2.debian1-2)
pop-before-dyndns service using djbdns
tinyirc (1:1.1.dfsg.1-4)
tiny IRC client
tinymux (2.10.1.14-1+b1)
text-based multi-user virtual world server
tinyows (1.1.1-7) [non-free]
lightweight and fast WFS-T server
tinyproxy (1.10.0-5)
Lightweight, non-caching, optionally anonymizing HTTP proxy
tinyproxy-bin (1.10.0-5)
Lightweight, non-caching, optionally anonymizing HTTP proxy (executable only)
tinyscheme (1.42.svn.2020.06.04-2)
Very small scheme implementation
tinysshd (20190101-1+deb11u1)
Tiny SSH server - daemon
tio (1.32-1)
simple TTY terminal I/O application
tipa (2:1.3-20.1)
system for processing phonetic symbols in LaTeX
tipa-doc (2:1.3-20.1)
documentation for the TIPA LaTeX font
tipp10 (2.1.0-5)
free open source touch typing software
tiptop (2.3.1-4)
performance monitoring tool for Linux
tircd (0.30-4.1)
ircd proxy to the twitter API
titanion (0.3.dfsg1-7+b1)
strike down super high-velocity swooping insects
titanion-data (0.3.dfsg1-7)
strike down super high-velocity swooping insects - game data
titantools (4.0.11+notdfsg1-6+b1) [non-free]
Tools to secure bastion hosts
tix (8.4.3-10+b1 [amd64], 8.4.3-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library for Tk -- runtime package
tix-dev (8.4.3-10+b1 [amd64], 8.4.3-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
library for Tk -- development package
tj3 (3.7.1-1)
project management software, aka TaskJuggler
tk (8.6.11+1)
Toolkit for Tcl and X11 (default version) - windowing shell
tk-brief (5.10-0.2)
GUI for easily writing letters with LaTeX
tk-dev (8.6.11+1)
Toolkit for Tcl and X11 (default version) - development files
tk-doc (8.6.11+1)
Toolkit for Tcl and X11 (default version) - manual pages
tk-fsdialog (2.0~fs20200322.97fa62c-1)
file selection dialog for Ttk
tk-html1 (1.04-2)
Tk HTML widget
tk-html3 (3.0~fossil20110109-8)
Render HTML and CSS content with Tk
tk-itk-dev
本虛擬套件由這些套件填實: itk3-dev, tk-itk4-dev
tk-itk-doc
本虛擬套件由這些套件填實: tk-itk4-doc, itk3-doc
tk-itk4 (4.1.0-3.1)
[incr Tk] OOP extension version 4 for Tk - run-time files
tk-itk4-dev (4.1.0-3.1)
[incr Tk] OOP extension version 4 for Tk - development files
tk-itk4-doc (4.1.0-3.1)
[incr Tk] OOP extension version 4 for Tk - manual pages
tk-matchbox (= 1.0)
本虛擬套件由這些套件填實: tk-fsdialog
tk-mpeg (1.0.9-1)
Tk MPEG1 encoder based on ezMPEG
tk-table (2.10.6-1)
Table extension for Tcl/Tk
tk-tktray (1.3.9-3+b1)
Freedesktop system tray icon support for Tcl/Tk on X11
tk2 (1.1-10.1)
Tk GUI for the ICOM IC-R2 receiver
tk5 (0.6-7)
Experimental Software for the ICOM IC-R5 Receiver
tk707 (0.8-2)
drum sequencer for a sound card or MIDI device
tk8.6 (8.6.11-2)
Tk toolkit for Tcl and X11 v8.6 - windowing shell
tk8.6-blt2.5 (2.5.3+dfsg-4.1)
graphics extension library for Tcl/Tk - library
tk8.6-dev (8.6.11-2)
Tk toolkit for Tcl and X11 v8.6 - development files
tk8.6-doc (8.6.11-2)
Tk toolkit for Tcl and X11 v8.6 - manual pages
tkabber (1.1.2+20191012-1)
GUI client for XMPP (Jabber) instant messaging protocol
tkabber-plugins (1.1.2+20170328-2)
standard plugins for Tkabber, an XMPP (Jabber) client
tkagif (1.0.3-2)
Tk Animated GIF encoder
tkblt (3.2.23-1)
Graphics extension library for Tcl/Tk
tkblt-dev (3.2.23-1)
Graphics extension library for Tcl/Tk (development files)
tkcon (2:2.7.3-1)
Enhanced interactive console for developing in Tcl
tkcvs (8.2.3-1.2)
Graphical front-end to CVS and Subversion
tkdesk (2.0-11+b1 [amd64], 2.0-11 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Tk/tcl based X11 Desktop/File manager
tkdiff
本虛擬套件由這些套件填實: tkcvs
tkdnd (2.6-1.1+b1 [amd64], 2.6-1.1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
adds native drag & drop capabilities to the Tk toolkit
tkdoc
本虛擬套件由這些套件填實: tk8.6-doc
tkgate (2.1+repack-4)
Tcl/Tk based digital circuit editor and simulator
tkgate-data (2.1+repack-4)
Tcl/Tk based digital circuit editor and simulator - data files
tkgate-doc (2.1+repack-4)
Tcl/Tk based digital circuit editor and simulator - documentation
tkinfo (2.11-3)
Tcl/Tk Info browser
tkinspect (5.1.6p10-6.1)
Tk application inspector for developing in Tcl
tklib (0.7+20210111-1)
standard Tk Library
tkmib (5.9+dfsg-4+deb11u1)
SNMP (Simple Network Management Protocol) MIB browser
tkpng (0.9-3+b1 [amd64], 0.9-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
PNG photo image support to Tcl/Tk
tkremind (03.03.01-1)
Tk GUI interface to remind
tksao (8.2+repack-2)
Tk widgets for astronomical imaging and data visualization
tktray
本虛擬套件由這些套件填實: tk-tktray
tktreectrl (2.4.1-1+b1 [amd64], 2.4.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
flexible listbox widget for Tcl/Tk
tl-parser (0.0.0+git20180215.f49077de-4.1)
command-line tool to parse TL scheme to tlo file
tla (1.3.5+dfsg1-2+b1)
GNU Arch revision control system
tla-doc (1.3.5+dfsg1-2)
GNU Arch revision control system (documentation)
tldextract (2.2.1-1)
Python tool for separating TLDs
tldr (0.6.4-1+b3 [s390x], 0.6.4-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el], 0.6.4-1+b1 [mips64el])
Haskell tldr client
tldr-py (0.7.0-3)
Python client for tldr: simplified and community-driven man pages
tlf (1.4.1-3)
console based ham radio contest logger
tlp (1.3.1-2)
Save battery power on laptops
tlp-rdw (1.3.1-2)
Radio device wizard
tlsh-tools (3.4.4+20151206-1.3+b4)
compare similar files using fuzzy hashing
tlslookup (1.1-1)
utility like nslookup(1), but uses libnss_tls.so instead of DNS
tm-align (20190822+dfsg-2)
structural alignment of proteins
tmate (2.4.0-2)
terminal multiplexer with instant terminal sharing
tmate-ssh-server (2.3.0-49-g97d20249-1+b1 [amd64], 2.3.0-49-g97d20249-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
terminal multiplexer with instant terminal sharing -- server
tmd710-tncsetup (1.13.1-2)
tool to configure the TNC on several Kenwood radios
tmexpand (0.1.2.0-4.1)
text-macro processing script to create HTML and SGML documents
tmfs (3-2+b8 [amd64, armel, armhf, i386, mipsel, s390x], 3-2+b6 [arm64, mips64el, ppc64el])
Apple Time Machine FUSE mount
tmispell-voikko (0.7.1-4+b2)
Ispell wrapper which uses Voikko for spell-checking
tmperamental (1.4)
LD_PRELOADable library to detect rogue writes to /tmp/
tmpl (0.0~git20160209.0.8e77bc5-7+b5)
Command line interface to Go's text/template library - CLI utility
tmpreaper (1.6.14+nmu2)
cleans up files in directories based on their age
tmux (3.1c-1+deb11u1)
terminal multiplexer
tmux-plugin-manager (3.0.0-1.1)
tmux plugin manager based on git
tmux-themepack-jimeh (0+git20190430-1b1b809-1)
pack of various themes for tmux by jimeh
tmuxinator (2.0.2-1)
Create and manage tmux sessions easily
tmuxp (1.7.0-1)
tmux session manager
tnat64 (0.05-1+b2)
IPv4 to NAT64 redirector
tnef (1.4.18-3)
Tool to unpack MIME application/ms-tnef attachments
tnftp (20200705-2)
enhanced ftp client
tnseq-transit (3.2.1-1)
statistical calculations of essentiality of genes or genomic regions
tntdb-mysql4v5 (1.3-4+b1)
MySQL backend for tntdb database access library
tntdb-postgresql4v5 (1.3-4+b1)
PostgreSQL backend for tntdb database access library
tntdb-sqlite4v5 (1.3-4+b1)
SQLite backend for tntdb database access library
tntnet (2.2.1-4)
modular, multithreaded web application server for C++
tntnet-demos (2.2.1-4)
demo web applications for Tntnet
tntnet-doc (2.2.1-4)
documentation for Tntnet
tntnet-runtime (2.2.1-4)
Tntnet runtime system
todo.txt
本虛擬套件由這些套件填實: topydo
todo.txt-base (2.4)
Common support for todo.txt packages
todo.txt-gtd (0.8)
scripts to manage todo.txt in a GTD fashion
todoman (3.9.0-1)
Simple CalDAV-based todo manager
todotxt-cli (2.11.0-2)
simple and extensible shell script for managing todo.txt file
tofrodos (1.7.13+ds-5)
Converts DOS <-> Unix text files, alias tofromdos
toga2 (3.0.0.1SE1-2+b1)
computer chess engine, calculates chess moves
togl-demos (2.0-1.1)
Tk OpenGL widget - demo files
toil (5.2.0-5)
cross-platform workflow engine
toilet (0.3-1.3)
display large colourful characters in text mode
toilet-fonts (0.3-1.3)
collection of TOIlet fonts
tokyocabinet-bin (1.4.48-13)
Tokyo Cabinet Database Utilities
tokyocabinet-doc (1.4.48-13)
Tokyo Cabinet Database Documentation
tomatoes (1.55-10)
I Have No Tomatoes - tomato smashing game
tomatoes-data (1.55-10)
I Have No Tomatoes - tomato smashing game - music files
tomb (2.9+dfsg1-1)
crypto undertaker
tombo (1.5.1-2+b2)
identification of modified nucleotides from raw nanopore sequencing data
tombo-doc (1.5.1-2)
identification of modified nucleotides from raw nanopore sequencing data (doc)
tomboy-ng (0.32-2)
Tomboy Notes rewritten to ease installation and cross platform
tomboy-ng
本虛擬套件由這些套件填實: tomboy-ng
tomcat-jakartaee-migration (0.2.0-1)
Apache Tomcat migration tool for Jakarta EE
tomcat9 (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine
tomcat9-admin (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- admin web applications
tomcat9-common (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- common files
tomcat9-docs (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- documentation
tomcat9-examples (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- example web applications
tomcat9-user (9.0.43-2~deb11u10) [security]
Apache Tomcat 9 - Servlet and JSP engine -- tools to create user instances
tomoyo-tools (2.6.0-20201111-1)
lightweight Linux Mandatory Access Control system
toot (0.27.0-1)
mastodon cli client
tootle (1.0-alpha2-1)
Mastodon client
topal (80-1+b3)
Links Pine and GnuPG together
topcat (4.8-2)
Tool for OPerations on Catalogues And Tables
topcat-doc (4.8-2)
Tool for OPerations on Catalogues And Tables (documentation)
topcom (0.17.8+ds-2)
Triangulations Of Point Configurations and Oriented Matroids
topcom-examples (0.17.8+ds-2)
Triangulations Of Point Configs and Oriented Matroids (examples)
topgit (0.8-2)
a Git patch queue manager
tophat-recondition (1.4-3)
post-processor for TopHat unmapped reads
topic-tools (1.15.9+ds1-7+deb11u1)
Tools for messing with Robot OS topics
topline (0.4-1)
per-core/NUMA CPU and disk utilization plain-text grapher
topp (2.6.0+cleaned1-3)
set of programs implementing The OpenMS Proteomic Pipeline
toppic (1.3.0+dfsg1-4+b1)
Top-down proteoform identification and characterization (programs)
toppic-common (1.3.0+dfsg1-4)
Top-down proteoform identification and characterization (common data)
toppler (1.1.6-3+b1 [amd64], 1.1.6-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
clone of the "Nebulus" game on old 8 and 16 bit machines
topplot (0.1.5+repack-1)
Munge logs from top in to useful graphs
toppred (1.10-8)
transmembrane topology prediction
topydo (0.14-4)
advanced todo.txt terminal utility for managing tasks
tor (0.4.5.16-1)
anonymizing overlay network for TCP
tor-arm (2.1.0-2.1)
transitional package
tor-geoipdb (0.4.5.16-1)
GeoIP database for Tor
torbrowser-launcher (0.3.3-6) [contrib]
helps download and run the Tor Browser Bundle
torcs (1.3.7+dfsg-5)
3D racing cars simulator game using OpenGL
torcs-data (1.3.7+dfsg-5)
data files for TORCS
torrus-apache2
本虛擬套件由這些套件填實: torrus-common
torrus-common (3.00-1.1)
Universal front-end for Round-Robin Databases (common files)
torsocks (2.3.0-3)
use SOCKS-friendly applications with Tor
tortoisehg (5.6.1-1)
Graphical tool for working with Mercurial
tortoisehg-caja (5.6.1-1)
Graphical tool for working with Mercurial (Caja extension)
tortoisehg-nautilus (5.6.1-1)
Graphical tool for working with Mercurial (Nautilus extension)
tortoize (2.0.1-2)
Application to calculate ramachandran z-scores
torus-trooper (0.22.dfsg1-12+b1)
speeding ship sailing through barrage
torus-trooper-data (0.22.dfsg1-12)
speeding ship sailing through barrage - game data
totalopenstation (0.5.2-1)
download and process data from total station devices
totem (3.38.0-2)
Simple media player for the GNOME desktop based on GStreamer
totem-common (3.38.0-2)
Data files for the Totem media player
totem-plugins (3.38.0-2)
Plugins for the Totem media player
toulbar2 (1.1.1+dfsg-1)
Exact combinatorial optimization for Graphical Models
toulbar2-doc (1.1.1+dfsg-1)
Exact combinatorial optimization for Graphical Models - documentation
tourney-manager (20070820-6)
perl interface to run chess engine tournaments
tox (3.21.4-1)
virtualenv-based automation of test activities
toxcore-utils (0.2.12-1)
Distributed, secure messenger - DHT bootstrap tools
toxic (0.10.1-1)
curses Tox based instant messenging client
tp-smapi-dkms (0.43-1)
ThinkPad hardware/firmware access modules source - dkms version
tpb (0.6.4-11)
program to use the IBM ThinkPad(tm) special keys
tpm-quote-tools (1.0.4-1+b1 [amd64], 1.0.4-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
TPM-based attestation using the TPM quote operation (tools
tpm-tools (1.3.9.1-0.2+b1 [amd64], 1.3.9.1-0.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Management tools for the TPM hardware (tools)
tpm-tools-pkcs11 (1.3.9.1-0.2+b1 [amd64], 1.3.9.1-0.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Management tools for the TPM hardware (PKCS#11 tools)
tpm-udev (0.5)
udev rules for TPM modules
tpm2-abrmd (2.3.3-1+b2)
TPM2 Access Broker & Resource Management Daemon
tpm2-initramfs-tool (0.2.2-2)
Tool used in initramfs to seal/unseal FDE key to the TPM
tpm2-tools (5.0-2)
TPM 2.0 utilities
tpp (1.3.1-8)
text presentation program
trabucco (1.1-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 1.1-1 [armel, armhf])
This is a launcher for people that are nostalgic about katapult
trace-cmd (2.9.1-1)
Utility for retrieving and analyzing function tracing in the kernel
trace-summary (0.91-1)
tool for generating break-downs of network traffic
trace2dbest (3.0.1-2)
bulk submission of chromatogram data to dbEST
trace2dbest-doc (3.0.1-2)
Documentation and sample files for trace2dbest
traceroute (1:2.1.0-2+deb11u1)
透過IPV4或IPv6網路追蹤路由
traceroute
本虛擬套件由這些套件填實: inetutils-traceroute
traceshark (0.9.11~beta-2)
Graphical viewer for the Ftrace and Perf events
tracetuner (3.0.6~beta+dfsg-3)
interpretation of DNA Sanger sequencing data
trackballs (1.3.2-1)
OpenGL-based game of steering a marble through a labyrinth
trackballs-data (1.3.2-1)
Data files for trackballs
tracker (2.3.6-2)
metadata database, indexer and search tool
tracker-extract (2.3.5-2.1)
metadata database, indexer and search tool - metadata extractors
tracker-miner-fs (2.3.5-2.1)
metadata database, indexer and search tool - filesystem indexer
trader (7.16-3.1)
simple game of interstellar trading
trafficserver (8.1.10+ds-1~deb11u1) [security]
fast, scalable and extensible HTTP/1.1 and HTTP/2.0 caching proxy server
trafficserver-dev (8.1.10+ds-1~deb11u1) [security]
Apache Traffic Server Software Developers Kit (SDK)
trafficserver-experimental-plugins (8.1.10+ds-1~deb11u1) [security]
experimental plugins for Apache Traffic Server
trafficserver-plugin-conf-remap
本虛擬套件由這些套件填實: trafficserver
traildb-cli (0.6+dfsg1-1+b1)
library to handle series of discrete events (cli tool)
tralics (2.14.4-2+b2)
LaTeX to XML translator
tran (5-2)
transcribe between character scripts (alphabets)
trang (20181222+dfsg2-4)
XML schema converter
trans-de-en (1.9-2)
German-English translation dictionary
transcalc (0.14-7)
microwave and RF transmission line calculator
transcend (0.3.dfsg2-3+b1)
retro-style, abstract 2D shooter
transcriber (1.5.1.1-10+b1)
transcribe speech data using an integrated editor
transdecoder (5.0.1-3)
find coding regions within RNA transcript sequences
transdecoder-doc (5.0.1-3)
find coding regions within transcripts
transfermii (1:0.6.1-4)
transfer your mii from and to your wiimotes
transfermii-gui (1:0.6.1-4)
transfer your mii from and to your wiimotes -- GUI
transfig
本虛擬套件由這些套件填實: fig2dev
transfuse (0.5.7-1)
Runs formatted documents through transformations/translation
transgui (5.18.0+dfsg-1)
Front-end to remotely control Transmission
transifex-client (0.14.2-1)
Command line interface for Transifex
transit
本虛擬套件由這些套件填實: tnseq-transit
translate (0.6.0~debian0)
translates words from English into German or viceversa
translate-shell (0.9.6.12-1) [contrib]
Command-line translator using Google Translate, etc.
translate-toolkit (3.3.2-1)
Toolkit assisting in the localization of software
translate-toolkit-doc (3.3.2-1)
Toolkit assisting in the localization of software (documentation)
translation-dictionary
本虛擬套件由這些套件填實: trans-de-en
transmission (3.00-1)
lightweight BitTorrent client
transmission-cli (3.00-1)
lightweight BitTorrent client (command line programs)
transmission-common (3.00-1)
lightweight BitTorrent client (common files)
transmission-daemon (3.00-1)
lightweight BitTorrent client (daemon)
transmission-gtk (3.00-1)
lightweight BitTorrent client (GTK+ interface)
transmission-qt (3.00-1)
lightweight BitTorrent client (Qt interface)
transmission-remote-gtk (1.4.1-5)
GTK+ interface for the Transmission BitTorrent daemon
transrate-tools (1.0.0-3)
helper for transrate
transtermhp (2.09-5)
find rho-independent transcription terminators in bacterial genomes
trash-cli (0.17.1.14-5)
command line trashcan utility
traverso (0.49.6-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.49.6-1 [armel, armhf])
Multitrack audio recorder and editor
travis (200504+hf2-1)
trajectory analyzer and visualizer
trayer (1.1.8-2+b1 [amd64], 1.1.8-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Lightweight GTK2-based systray for UNIX desktop
tre-agrep (0.8.0-6+b1)
approximate grep utility based on the tre library
tree (1.8.0-1+b1 [amd64], 1.8.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
displays an indented directory tree, in color
tree-ppuzzle (5.3~rc16+dfsg-8)
Parallelized reconstruction of phylogenetic trees by maximum likelihood
tree-puzzle (5.3~rc16+dfsg-8)
Reconstruction of phylogenetic trees by maximum likelihood
tree-puzzle-doc (5.3~rc16+dfsg-8)
Reconstruction of phylogenetic trees by maximum likelihood (doc)
treeline (3.0.1-1.1)
versatile tree-like structured custom data manager
treesheets (1:1.0.2-1)
Data organizer that covers spreadsheets, mind mappers, and small databases
treetop (1.6.8-1)
Ruby-based text parsing and interpretation (command-line utility)
treeview (1.2.0+dfsg-1)
Java re-implementation of Michael Eisen's TreeView
treeviewx (0.5.1+git20100823.7e4d0e9-3)
Displays and prints phylogenetic trees
treil (1.8-2.2+b5)
tree structure into tiles
trend (1.4-2)
general-purpose, efficient trend graph
trezor (0.12.2-2)
utilities for communicating with TREZOR Bitcoin HW wallet
trf (4.09.1-4)
locate and display tandem repeats in DNA sequences
trf-examples (4.09.1-4)
locate and display tandem repeats in DNA sequences (examples)
triangle-bin (1.6-3) [non-free]
High-quality 2-D mesh generator binary programs
trickle (1.07-10.1+b3 [mips64el], 1.07-10.1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
user-space bandwidth shaper
triehash (0.3-3)
Generates perfect hash functions as native machine code
trigger-rally (0.6.6.1-3+b1)
3D rally car racing game
trigger-rally-data (0.6.6.1-3)
3D rally car racing game - data files
triggerhappy (0.5.0-1.1)
global hotkey daemon for Linux
trilinos-all-dev (12.18.1-2)
object-oriented framework for large-scale problems - development files (ALL)
trilinos-dev (12.18.1-2)
object-oriented framework for large-scale problems - development files
trilinos-doc (12.18.1-2)
object-oriented framework for large-scale problems - documentation
trim-galore (0.6.6-1)
automate quality and adapter trimming for DNA sequencing
trimage (1.0.6-1)
GUI and command-line interface to optimize image files
trimmomatic (0.39+dfsg-2)
flexible read trimming tool for Illumina NGS data
trinculo (0.96+dfsg-3)
toolkit to carry out genetic association for multi-category phenotypes
trinity (1.9+git20200331.4d2343bd18c7b-2)
system call fuzz tester
trinityrnaseq (2.11.0+dfsg-6)
RNA-Seq De novo Assembly
trinityrnaseq-examples (2.11.0+dfsg-6)
RNA-Seq De novo Assembly common example and testing files
triplane (1.0.8-3)
side-scrolling dogfighting game
triplea (1.9.0.0.7062-2)
Turn based strategy game
tripwire (2.4.3.7-3+b3)
file and directory integrity checker
trn
本虛擬套件由這些套件填實: trn4
trn4 (4.0-test77-13) [non-free]
Threaded USENET news reader, based on rn (4.0 beta test)
trnascan-se (2.0.7+ds-1) [non-free]
detection of transfer RNA genes in genomic sequence
trnascan-se-common (2.0.7+ds-1) [non-free]
detection of transfer RNA genes in genomic sequence (common files)
troffcvt (1.04-25)
Converts troff source to HTML, RTF, and plain text
trojan (1.16.0-1+b1)
fast and lightweight proxy that helps you bypass firewalls
trollsched-bin (0.5.2-2)
Scheduling satellite passes in Python - scripts
trophy (2.0.3-2)
2D car racing action game
trophy-data (2.0.3-2)
data files for trophy
trousers (0.3.14+fixed1-1.2)
open-source TCG Software Stack (daemon)
trousers-dbg (0.3.14+fixed1-1.2)
open-source TCG Software Stack (debug)
trovacap (0.2.2-1.1)
tool to find Italian ZIP codes
trovacap-data (0.2.2-1.1)
tool to find Italian ZIP codes - ZIP codes database
trscripts (1.18+nmu2)
Scripts for reencoding text files and BDF-fonts
trueprint (5.4-4)
pretty printing of source code
trufont (0.6.6+ds-2)
Font-editing application
trustedqsl (2.5.7-1)
QSL log signing for the Logbook of the World (LoTW)
trx (0.5-3+b1)
simple toolset for broadcasting live audio
trydiffoscope (67.0.5)
in-depth comparison of files, archives, etc. (try.diffoscope.org client)
tryton-client (5.0.33-1)
Tryton Application Platform (Client)
tryton-client-doc (5.0.33-1)
Tryton Application Platform (Client Documentation)
tryton-modules-account (5.0.16-1)
Tryton Application Platform (Financial and Accounting Module)
tryton-modules-account-asset (5.0.5-1)
Tryton Application Platform (Account Asset Module)
tryton-modules-account-be (5.0.3-1)
Tryton Application Platform (Financial and Accounting Module for Belgium)
tryton-modules-account-credit-limit (5.0.2-1)
Tryton Application Platform (Account Credit Limit Module)
tryton-modules-account-de-skr03 (5.0.2-1)
Tryton Application Platform (Financial and Accounting Module for Germany)
tryton-modules-account-deposit (5.0.3-1)
Tryton Application Platform (Account Deposit Module)
tryton-modules-account-dunning (5.0.3-1)
Tryton Application Platform (Account Dunning Module)
tryton-modules-account-dunning-email (5.0.2-1)
Tryton Application Platform (Account Dunning E-Mail Module)
tryton-modules-account-dunning-fee (5.0.2-1)
Tryton Application Platform (Account Dunning Fee Module)
tryton-modules-account-dunning-letter (5.0.3-1)
Tryton Application Platform (Account Dunning Letter Module)
tryton-modules-account-es (5.0.4-1)
Tryton Application Platform (Financial and Accounting Module for Spain)
tryton-modules-account-eu (5.0.3-1)
Tryton Application Platform (Account EU Module)
tryton-modules-account-fr (5.0.3-1)
Tryton Application Platform (Financial and Accounting Module for France)
tryton-modules-account-fr-chorus (5.0.3-1)
Tryton Application Platform (Account Chorus Module for France)
tryton-modules-account-invoice (5.0.11-1)
Tryton Application Platform (Account Invoice Module)
tryton-modules-account-invoice-history (5.0.4-1)
Tryton Application Platform (Account Invoice History Module)
tryton-modules-account-invoice-line-standalone (5.0.2-1)
Tryton Application Platform (Account Invoice Line Standalone Module)
tryton-modules-account-invoice-stock (5.0.3-1)
Tryton Application Platform (Account Invoice Stock Module)
tryton-modules-account-payment (5.0.5-1)
Tryton Application Platform (Account Payment Module)
tryton-modules-account-payment-clearing (5.0.6-1)
Tryton Application Platform (Account Payment Clearing Module)
tryton-modules-account-payment-sepa (5.0.5-1)
Tryton Application Platform (Account Payment SEPA Module)
tryton-modules-account-payment-sepa-cfonb (5.0.3-1)
Tryton Application Platform (Account Payment SEPA CFONB Module)
tryton-modules-account-product (5.0.4-1)
Tryton Application Platform (Account Product Module)
tryton-modules-account-statement (5.0.7-1)
Tryton Application Platform (Account Statement Module)
tryton-modules-account-stock-anglo-saxon (5.0.3-1)
Tryton Application Platform (Account Stock Anglo Saxon Module)
tryton-modules-account-stock-continental (5.0.2-1)
Tryton Application Platform (Account Stock Continental Module)
tryton-modules-account-stock-landed-cost (5.0.2-1)
Tryton Application Platform (Account Stock Landed Cost Module)
tryton-modules-account-stock-landed-cost-weight (5.0.2-1)
Tryton Application Platform (Account Stock Landed Cost Weight Module)
tryton-modules-account-tax-rule-country (5.0.2-1)
Tryton Application Platform (Account Tax Rule Country Module)
tryton-modules-all (47)
Tryton Application Platform (Tryton Modules Metapackage)
tryton-modules-analytic-account (5.0.3-1)
Tryton Application Platform (Analytic Account Module)
tryton-modules-analytic-invoice (1:5.0.3-1)
Tryton Application Platform (Analytic Invoice Module)
tryton-modules-analytic-purchase (5.0.2-1)
Tryton Application Platform (Analytic Purchase Module)
tryton-modules-analytic-sale (5.0.2-1)
Tryton Application Platform (Analytic Sale Module)
tryton-modules-authentication-sms (5.0.3-1)
Tryton Application Platform (Authentication SMS Module)
tryton-modules-bank (5.0.2-1)
Tryton Application Platform (Bank Module)
tryton-modules-carrier (5.0.3-1)
Tryton Application Platform (Carrier Module)
tryton-modules-carrier-percentage (5.0.2-1)
Tryton Application Platform (Carrier Percentage Module)
tryton-modules-carrier-weight (5.0.4-1)
Tryton Application Platform (Carrier Weight Module)
tryton-modules-commission (5.0.2-1)
Tryton Application Platform (Commission Module)
tryton-modules-commission-waiting (5.0.2-1)
Tryton Application Platform (Commission Waiting Module)
tryton-modules-company (5.0.2-1)
Tryton Application Platform (Company Module)
tryton-modules-company-work-time (5.0.2-1)
Tryton Application Platform (Company Work Time Module)
tryton-modules-country (5.0.2-1)
Tryton Application Platform (Country Module)
tryton-modules-currency (5.0.4-1)
Tryton Application Platform (Currency Module)
tryton-modules-customs (5.0.3-1)
Tryton Application Platform (Customs Module)
tryton-modules-dashboard (5.0.3-1)
Tryton Application Platform (Dashboard Module)
tryton-modules-edocument-uncefact (5.0.3-1)
Tryton Application Platform (Account Dunning E-Mail Module)
tryton-modules-edocument-unece (5.0.2-1)
Tryton Application Platform (Account Dunning E-Mail Module)
tryton-modules-google-maps (5.0.2-1)
Tryton Application Platform (Google Maps Module)
tryton-modules-ldap-authentication (5.0.3-1)
Tryton Application Platform (LDAP Authentication Module)
tryton-modules-notification-email (5.0.4-1)
Tryton Application Platform (E-Mail Notification Module)
tryton-modules-party (5.0.3-1)
Tryton Application Platform (Party Module)
tryton-modules-party-relationship (5.0.2-1)
Tryton Application Platform (Party Relationship Module)
tryton-modules-party-siret (5.0.2-1)
Tryton Application Platform (Party SIRET/SIREN Module)
tryton-modules-product (5.0.3-1)
Tryton Application Platform (Product Module)
tryton-modules-product-attribute (5.0.2-1)
Tryton Application Platform (Product Attribute Module)
tryton-modules-product-classification (5.0.2-1)
Tryton Application Platform (Product Classification Module)
tryton-modules-product-classification-taxonomic (5.0.3-1)
Tryton Application Platform (Product Classification Module)
tryton-modules-product-cost-fifo (5.0.9-1)
Tryton Application Platform (Product Cost FIFO Module)
tryton-modules-product-cost-history (5.0.2-1)
Tryton Application Platform (Product Cost History Module)
tryton-modules-product-measurements (5.0.2-1)
Tryton Application Platform (Product Measurements Module)
tryton-modules-product-price-list (5.0.2-1)
Tryton Application Platform (Product Price List Module)
tryton-modules-production (5.0.2-1)
Tryton Application Platform (Production Module)
tryton-modules-production-routing (5.0.2-1)
Tryton Application Platform (Production Routing Module)
tryton-modules-production-work (5.0.5-1)
Tryton Application Platform (Production Work Module)
tryton-modules-project (5.0.3-1)
Tryton Application Platform (Project Module)
tryton-modules-project-invoice (5.0.2-1)
Tryton Application Platform (Project Invoice Module)
tryton-modules-project-plan (5.0.3-1)
Tryton Application Platform (Project Plan Module)
tryton-modules-project-revenue (5.0.2-1)
Tryton Application Platform (Project Revenue Module)
tryton-modules-purchase (5.0.6-1)
Tryton Application Platform (Purchase Module)
tryton-modules-purchase-invoice-line-standalone (5.0.2-1)
Tryton Application Platform (Purchase Invoice Line Standalone Module)
tryton-modules-purchase-request (5.0.4-1)
Tryton Application Platform (Purchase Request Module)
tryton-modules-purchase-shipment-cost (5.0.3-1)
Tryton Application Platform (Purchase Shipment Cost Module)
tryton-modules-sale (5.0.5-1)
Tryton Application Platform (Sale Module)
tryton-modules-sale-complaint (5.0.4-1)
Tryton Application Platform (Sale Complaint Module)
tryton-modules-sale-credit-limit (5.0.2-1)
Tryton Application Platform (Sale Credit Limit Module)
tryton-modules-sale-extra (5.0.2-1)
Tryton Application Platform (Sale Extra Module)
tryton-modules-sale-invoice-grouping (5.0.3-1)
Tryton Application Platform (Sale Invoice Grouping Module)
tryton-modules-sale-opportunity (5.0.3-1)
Tryton Application Platform (Sale Opportunity Module)
tryton-modules-sale-price-list (5.0.3-1)
Tryton Application Platform (Sale Price List Module)
tryton-modules-sale-promotion (5.0.3-1)
Tryton Application Platform (Sale Promotion Module)
tryton-modules-sale-shipment-cost (5.0.5-1)
Tryton Application Platform (Sale Shipment Cost Module)
tryton-modules-sale-shipment-grouping (5.0.3-1)
Tryton Application Platform (Sale Shipment Grouping Module)
tryton-modules-sale-stock-quantity (5.0.2-1)
Tryton Application Platform (Sale Stock Quantity Module)
tryton-modules-sale-subscription (5.0.4-1)
Tryton Application Platform (Sale Subscription Module)
tryton-modules-sale-supply (5.0.3-1)
Tryton Application Platform (Sale Supply Module)
tryton-modules-sale-supply-drop-shipment (5.0.7-1)
Tryton Application Platform (Sale Supply Drop Shipment Module)
tryton-modules-stock (5.0.12-1)
Tryton Application Platform (Stock Module)
tryton-modules-stock-forecast (5.0.3-1)
Tryton Application Platform (Stock Forecast Module)
tryton-modules-stock-inventory-location (5.0.3-1)
Tryton Application Platform (Stock Inventory Location Module)
tryton-modules-stock-location-sequence (5.0.2-1)
Tryton Application Platform (Stock Location Sequence Module)
tryton-modules-stock-lot (5.0.2-1)
Tryton Application Platform (Stock Lot Module)
tryton-modules-stock-lot-sled (5.0.3-1)
Tryton Application Platform (Stock Lot SLED Module)
tryton-modules-stock-package (5.0.3-1)
Tryton Application Platform (Stock Package Module)
tryton-modules-stock-package-shipping (5.0.2-1)
Tryton Application Platform (Stock Package Shipping Module)
tryton-modules-stock-package-shipping-dpd (5.0.4-1)
Tryton Application Platform (Stock Package Shipping DPD Module)
tryton-modules-stock-package-shipping-ups (5.0.4-1)
Tryton Application Platform (Stock Package Shipping UPS Module)
tryton-modules-stock-product-location (5.0.2-1)
Tryton Application Platform (Stock Product Location Module)
tryton-modules-stock-shipment-measurements (5.0.2-1)
Tryton Application Platform (Stock Shipment Measurements Module)
tryton-modules-stock-split (5.0.3-1)
Tryton Application Platform (Stock Move Split Module)
tryton-modules-stock-supply (5.0.6-1)
Tryton Application Platform (Stock Supply Module)
tryton-modules-stock-supply-day (5.0.2-1)
Tryton Application Platform (Stock Supply Day Module)
tryton-modules-stock-supply-forecast (5.0.3-1)
Tryton Application Platform (Stock Supply Forecast Module)
tryton-modules-stock-supply-production (5.0.4-1)
Tryton Application Platform (Stock Supply Production Module)
tryton-modules-timesheet (5.0.5-1)
Tryton Application Platform (Timesheet Module)
tryton-modules-timesheet-cost (5.0.3-1)
Tryton Application Platform (Timesheet Cost Module)
tryton-proteus (5.0.8-1+deb11u1)
Tryton Application Platform (Proteus)
tryton-server (5.0.33-2+deb11u2)
Tryton Application Platform (Server)
tryton-server-doc (5.0.33-2+deb11u2)
Tryton Application Platform (Server Documentation)
ts-jest (26.5.0+~cs2.4.7-1)
TypeScript preprocessor with source map support for Jest
ts-node (9.1.1-4)
TypeScript execution and language shell
tsdecrypt (10.0-2+b3)
decrypt MPEG transport stream
tse3play (0.3.1-6)
MIDI/TSE3MDL player/converter
tshark (3.4.10-0+deb11u1)
network traffic analyzer - console version
tsmarty2c (1.6.1-1)
Smarty Gettext's translation string ripper
tsocks (1.8beta5+ds1-1+b1)
transparent network access through a SOCKS 4 or 5 proxy
tss2 (1045-1.2)
IBM's TCG Software Stack (TSS) for TPM 2.0 and related utilities
tstools (1.13~git20151030-5)
set of tools for reporting on and manipulating MPEG data
tsung (1.7.0-3.1)
distributed multi-protocol load testing tool
tt-rss (21~git20210204.b4cbc79+dfsg-1)
Tiny Tiny RSS - web-based news feed (RSS/Atom) aggregator
ttf-aenigma (0.0.20080510.dfsg-2.1)
465 free TrueType fonts by Brian Kent
ttf-ancient-fonts (2.60-1.1)
Unicode Fonts for Ancient Scripts (transitional package)
ttf-ancient-fonts
本虛擬套件由這些套件填實: fonts-ancient-scripts
ttf-ancient-fonts-symbola (2.60-1.1)
symbolic font providing emoji chars from Unicode 9.0 (transit. pkg)
ttf-ancient-fonts-symbola
本虛擬套件由這些套件填實: fonts-symbola
ttf-anonymous-pro (1.003-4)
Anonymous Pro font (transitional dummy package)
ttf-bitstream-vera (1.10-8.1)
The Bitstream Vera family of free TrueType fonts
ttf-engadget (1.001-1-5)
Modern font from John Stracke (transitional dummy package)
ttf-essays1743
本虛擬套件由這些套件填實: fonts-essays1743
ttf-installer
本虛擬套件由這些套件填實: fonts-farsiweb-udeb, fonts-tibetan-machine-udeb, fonts-sil-scheherazade-udeb, fonts-freefont-udeb, fonts-sil-abyssinica-udeb, fonts-sil-padauk-udeb
ttf-mplus
本虛擬套件由這些套件填實: fonts-mplus
ttf-mscorefonts-installer (3.8) [contrib]
Installer for Microsoft TrueType core fonts
ttf-opensymbol
本虛擬套件由這些套件填實: fonts-opensymbol
ttf-prociono
本虛擬套件由這些套件填實: fonts-prociono
ttf-radisnoir (0.9b-3.2)
transitional dummy package for fonts-radisnoir
ttf-sil-scheherazade
本虛擬套件由這些套件填實: fonts-sil-scheherazade
ttf-sjfonts (2.1-2)
Some Juicy Fonts handwriting fonts (dummy package)
ttf-staypuft (0.04-10)
Free TrueType font (dummy package)
ttf-summersby (1.007-12)
Free TrueType typeface font (dummy package)
ttf-tagbanwa (1.011)
font for the Tagbanwa script
ttf-tiresias
本虛擬套件由這些套件填實: fonts-tiresias
ttf-ubuntu-font-family (1:0.83-4) [non-free]
sans-serif font set from Ubuntu (transitional package)
ttf-unifont (1:13.0.06-1)
TrueType version of GNU Unifont (transitional dummy package)
ttf-wqy-microhei
本虛擬套件由這些套件填實: fonts-wqy-microhei
ttf-wqy-zenhei
本虛擬套件由這些套件填實: fonts-wqy-zenhei
ttf-xfree86-nonfree (4.2.1-5) [non-free]
non-free TrueType fonts from XFree86
ttf-xfree86-nonfree-syriac (4.2.1-5) [non-free]
non-free syriac OpenType fonts from XFree86
ttf-yanone-kaffeesatz
本虛擬套件由這些套件填實: fonts-yanone-kaffeesatz
ttf2ufm (3.4.4~r2+gbp-1+b1)
True Type to PostScript Type 1 Font Converter
ttfautohint (1.8.3-2+b1)
Automatic font hinter
tth (4.15+ds-1)
TeX/LaTeX to HTML converter
tth-common (4.15+ds-1)
auxiliary software material for TtH and TtM
tthsum (1.3.2-1+b1)
generates or checks TTH message digests
ttm (4.15+ds-1)
TeX/LaTeX to MathML converter
ttv (3.107-1)
television viewer - console application
tty-clock (2.3-1+b1)
simple terminal clock
tty-share (2.2.0+ds-1+b5)
Terminal sharing over the Internet
ttygif (1.5.0-1)
generate gif animations from ttyrec terminal recordings
ttyload (0.5+20131024+gitf949537-4)
console based color-coded graphs of CPU load average
ttylog (0.31-1)
serial port logger
ttyrec (1.0.8-5.1)
Terminal interaction recorder and player (for tty)
ttysnoop (0.12d-6+b2)
allows you to spy on telnet+serial connections
tua (4.3-14)
The UUCP Analyzer
tuareg-mode (1:2.2.0-1)
transitional package, tuareg-mode to elpa-tuareg
tucnak (4.25-1)
VHF/UHF/SHF Hamradio contest logging program
tudu (0.10.4-1)
Command line hierarchical ToDo list
tulip (5.4.0+dfsg-3)
System dedicated to the visualization of huge data sets as graphs
tumbler (4.16.0-1)
D-Bus thumbnailing service
tumbler-common (4.16.0-1)
D-Bus thumbnailing service (common files)
tumbler-plugins-extra (4.16.0-1)
D-Bus thumbnailing service (additional plugins)
tumiki-fighters (0.2.dfsg1-9+b1)
sticky 2D shooter
tumiki-fighters-data (0.2.dfsg1-9)
sticky 2D shooter - game data
tuna (0.15-1)
Low-level system performance tuning for realtime systems
tuned (2.15.0-1)
daemon for monitoring and adaptive tuning of system devices
tuned-gtk (2.15.0-1)
GTK+ GUI for tuned
tuned-utils (2.15.0-1)
various tuned utilities
tuned-utils-systemtap (2.15.0-1)
disk and net statistic monitoring systemtap scripts
tunnelx (20190701-1)
Cave Survey drawing software
tup (0.7.8-3)
fast build system
tupi (0.2+git08-4)
2D Animation design and authoring tool
tupi-data (0.2+git08-4)
Data files for tupi (2D Animation design and authoring tool)
tuptime (5.0.2)
report historical system real time
turba
本虛擬套件由這些套件填實: php-horde-turba
turing (0.11~beta-3)
assistant to learn algorithms and programming languages
turn-server
本虛擬套件由這些套件填實: coturn, ejabberd
tutka (1.1.3-2.1)
tracker style MIDI sequencer
tuxcmd (0.6.70+dfsg-2+b1 [amd64, arm64, armel, armhf, i386], 0.6.70+dfsg-2 [mipsel, ppc64el])
twin-panel (commander-style) file manager using GTK+ 2
tuxcmd-modules (0.6.70+ds-5+b2 [amd64, arm64, armel, armhf, i386, mipsel], 0.6.70+ds-5 [ppc64el])
VFS modules for tuxcmd file manager
tuxfootball (0.3.1-7)
great 2D soccer (sometimes called football) game
tuxguitar (1.2-25)
Multitrack guitar tablature editor and player (gp3 to gp5)
tuxguitar-alsa (1.2-25)
tuxguitar plugin for sound playback using ALSA
tuxguitar-fluidsynth (1.2-25)
tuxguitar plugin for sound playback using fluidsynth
tuxguitar-jack (1.2-25)
tuxguitar plugin for sound playback using JACKD
tuxguitar-jsa (1.2-25)
tuxguitar plugin for sound playback using Java Sound API
tuxguitar-oss (1.2-25)
tuxguitar plugin for sound playback using OSS
tuxmath (2.0.3-8)
math game for kids with Tux
tuxmath-data (2.0.3-8)
math game for kids with Tux -- data files
tuxonice-userui (1.1+dfsg1.gc3bdd83-4+b2) [contrib]
user-space interfaces for TuxOnIce
tuxpaint (1:0.9.23-1.1)
Paint program for young children
tuxpaint-config (0.0.14-1+b1 [amd64], 0.0.14-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Configuration tool for Tux Paint
tuxpaint-data (1:0.9.23-1.1)
Data files for Tux Paint, a paint program for children
tuxpaint-dev (1:0.9.23-1.1)
Development files for Tux Paint
tuxpaint-plugins
本虛擬套件由這些套件填實: tuxpaint-plugins-default
tuxpaint-plugins-default (1:0.9.23-1.1)
Magic tool plugins for Tux Paint
tuxpaint-stamps
本虛擬套件由這些套件填實: tuxpaint-stamps-default
tuxpaint-stamps-default (2014.08.23-3.1)
Stamp files for Tux Paint, a paint program for children
tuxpuck (0.8.2-11)
air hockey game for single players
tuxtype (1.8.3-5)
Educational Typing Tutor Game Starring Tux
tuxtype-data (1.8.3-5)
Data files for the Educational Typing Tutor Game Starring Tux
tv-fonts (1.1-9.1)
X11 fonts for TV applications
tvc (5.0.3+git20151221.80e144e+dfsg-3+b2)
genetic variant caller for Ion Torrent sequencing platforms
tvnamer (3.0.2-1)
utility to rename TV-show episodes files
tvoe (0.1-1+b4 [mips64el], 0.1-1+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Lightweight DVB-S/S2 TV streaming server
tvtime (1.0.11-6)
analog television display application
tvtool
本虛擬套件由這些套件填實: nvtv
twatch (0.0.7-1.1)
watch torrent trackers and automatically download new torrents
twclock (3.5-1+b1 [amd64], 3.5-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
World clock for ham radio operators
tweak (3.02-6)
Efficient text-mode hex editor
tweeper (1.4.3-1)
web scraper to convert supported websites like Twitter.com to RSS
twiggy (0.1026+dfsg-1)
AnyEvent HTTP server for PSGI (like Thin)
twine (3.3.0-1)
utility for interacting with PyPI
twinkle (1:1.10.2+dfsg-1)
Voice over Internet Protocol (VoIP) SIP Phone (GUI)
twinkle-common (1:1.10.2+dfsg-1)
Voice over Internet Protocol (VoIP) SIP Phone (common files)
twinkle-console (1:1.10.2+dfsg-1)
Voice over Internet Protocol (VoIP) SIP Phone (console)
twinvoicerecalc (0.0.20170711-1.1)
Taiwan invoice reverse calculator
twisted-doc (20.3.0-7+deb11u1)
Official documentation of Twisted
twittering-mode (3.1.0-1.2)
Twitter client for Emacs
twitterwatch (0.1-1.1)
Simple Twitter bot detecting if no tweet was posted recently on a timeline
twm (1:1.0.10-1)
Tab 視窗管理程式
twms (0.07z+git20201202+bb7c3f8-1)
tiny web map service
twoftpd (1.42-1.2)
a simple secure efficient FTP server (programs)
twoftpd-run (1.42-1.2)
a simple secure efficient FTP server
twolame (0.4.0-2)
MPEG Audio Layer 2 encoder (command line frontend)
tworld (1.3.2-3.1)
Chip's Challenge Game Engine Emulation
tworld-data (1.3.2-3.1)
Chip's Challenge Game Engine Emulation - level data files
twpsk (4.3-1+b1 [amd64], 4.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Soundcard-based X program for operating PSK31
txt2html (1:2.53-2)
Text to HTML converter
txt2man (1.7.1-1+deb11u1)
convert flat ASCII text to manpage format
txt2pdbdoc (1.4.4-8+b1)
convert plain text files to Palm DOC (for PalmOS) and back
txt2regex (0.9-3)
A Regular Expression "wizard", all written with bash2 builtins
txt2tags (3.4-2)
conversion tool to generating several file formats
typecatcher (0.3-1.2)
Download Google webfonts for off-line use
typespeed (0.6.5-3)
Zap words flying across the screen by typing them correctly
tz-converter (1.0.1-1)
Convert the time and date across time zones
tzc (2.6.15-5.4+b1)
Trivial Zephyr Client
tzdata (2024a-0+deb11u1)
有關時區與日光節約時間的資料
tzdata-bullseye
本虛擬套件由這些套件填實: tzdata
tzdiff (1.1.1-2)
Show Timezone differences with local time in command-line interface
tzsetup-udeb (1:0.115)
choose time zone
tzwatch (1.4.4-11)
Displays time and date in specified time zones on console
u-boot (2021.01+dfsg-5)
A boot loader for embedded systems
u-boot-amlogic (2021.01+dfsg-5)
A boot loader for amlogic systems
u-boot-exynos (2021.01+dfsg-5)
A boot loader for exynos systems
u-boot-imx (2021.01+dfsg-5)
A boot loader for imx systems
u-boot-menu (4.0.3)
u-boot menu update
u-boot-mvebu (2021.01+dfsg-5)
A boot loader for marvell systems
u-boot-omap (2021.01+dfsg-5)
A boot loader for omap systems
u-boot-qcom (2021.01+dfsg-5)
A boot loader for qcom systems
u-boot-qemu (2021.01+dfsg-5)
A boot loader for qemu
u-boot-rockchip (2021.01+dfsg-5)
A boot loader for rockchip systems
u-boot-rpi (2021.01+dfsg-5)
A boot loader for Raspberry PI systems
u-boot-sunxi (2021.01+dfsg-5)
A boot loader for sunxi systems
u-boot-tegra (2021.01+dfsg-5)
A boot loader for NVIDIA Tegra systems
u-boot-tools (2021.01+dfsg-5)
companion tools for Das U-Boot bootloader
u2f-host (1.1.10-3)
Command line tool to do Universal 2nd Factor (U2F) operations
u2f-server (1.1.0-4)
Command line tool to do Universal 2nd Factor (U2F) operations
u3-tool (0.3-3+b1 [armhf], 0.3-3 [amd64, arm64, armel, i386, mips64el, mipsel, ppc64el])
tool for controlling the special features of a U3 USB flash disk
uacme (1.7.1-1)
Lightweight client for the RFC8555 ACMEv2 protocol
uanytun (0.3.7-2)
tiny implementation of the secure anycast tunneling protocol
uap-core (1:0.12.0-1)
User Agent Parser core - collection of regular expressions
uapevent (1.4-2+b2)
event monitoring tool for Marvell uAP wireless driver
uaputl (1.12-2.1+b1)
admin utility for Marvell uAP wireless driver
ubertooth (2018.12.R1-5)
2.4 GHz wireless development platform for Bluetooth experimentation
ubertooth-firmware (2018.12.R1-5)
Firmware for Ubertooth
ubertooth-firmware-source (2018.12.R1-5)
Source code for the Ubertooth firmware
ublock-origin-doc (1.42.0+dfsg-1~deb11u1)
lightweight and efficient ads, malware, trackers blocker (docs)
ubuntu-app-launch-tools
本虛擬套件由這些套件填實: lomiri-app-launch-tools
ubuntu-core-launcher (2.49-1+deb11u2)
Transitional package for snapd
ubuntu-dev-tools (0.183)
useful tools for Ubuntu developers
ubuntu-packaging-guide (1.0.2)
Ubuntu Packaging Guide - metapackage
ubuntu-packaging-guide-common (1.0.2)
Ubuntu Packaging Guide - common files
ubuntu-packaging-guide-epub (1.0.2)
Ubuntu Packaging Guide - EPUB guide
ubuntu-packaging-guide-epub-de (1.0.2)
Ubuntu Packaging Guide - EPUB guide - German version
ubuntu-packaging-guide-epub-es (1.0.2)
Ubuntu Packaging Guide - EPUB guide - Spanish version
ubuntu-packaging-guide-epub-fr (1.0.2)
Ubuntu Packaging Guide - EPUB guide - French version
ubuntu-packaging-guide-epub-pt-br (1.0.2)
Ubuntu Packaging Guide - EPUB guide - Brazilian Portuguese version
ubuntu-packaging-guide-epub-ru (1.0.2)
Ubuntu Packaging Guide - EPUB guide - Russian version
ubuntu-packaging-guide-epub-uk (1.0.2)
Ubuntu Packaging Guide - EPUB guide - Ukrainian version
ubuntu-packaging-guide-html (1.0.2)
Ubuntu Packaging Guide - HTML guide
ubuntu-packaging-guide-html-de (1.0.2)
Ubuntu Packaging Guide - HTML guide - German version
ubuntu-packaging-guide-html-es (1.0.2)
Ubuntu Packaging Guide - HTML guide - Spanish version
ubuntu-packaging-guide-html-fr (1.0.2)
Ubuntu Packaging Guide - HTML guide - French version
ubuntu-packaging-guide-html-pt-br (1.0.2)
Ubuntu Packaging Guide - HTML guide - Brazilian Portuguese version
ubuntu-packaging-guide-html-ru (1.0.2)
Ubuntu Packaging Guide - HTML guide - Russian version
ubuntu-packaging-guide-html-uk (1.0.2)
Ubuntu Packaging Guide - HTML guide - Ukrainian version
ubuntu-packaging-guide-pdf (1.0.2)
Ubuntu Packaging Guide - PDF guide
ubuntu-packaging-guide-pdf-de (1.0.2)
Ubuntu Packaging Guide - PDF guide - German version
ubuntu-packaging-guide-pdf-es (1.0.2)
Ubuntu Packaging Guide - PDF guide - Spanish version
ubuntu-packaging-guide-pdf-fr (1.0.2)
Ubuntu Packaging Guide - PDF guide - French version
ubuntu-packaging-guide-pdf-pt-br (1.0.2)
Ubuntu Packaging Guide - PDF guide - Brazilian Portuguese version
ubuntu-packaging-guide-pdf-ru (1.0.2)
Ubuntu Packaging Guide - PDF guide - Russian version
ubuntu-packaging-guide-pdf-uk (1.0.2)
Ubuntu Packaging Guide - PDF guide - Ukrainian version
uc-echo (1.12-15+b1)
error correction algorithm designed for short-reads from NGS
(6.2.1-2)
dialect of lisp using turtle graphics famous for teaching kids
ucd-generate (0.2.3-1+b1)
Generate searchable packs of the Unicode character database
ucf (3.0043)
Update Configuration File(s): preserve user changes to config files
uchardet (0.0.7-1)
universal charset detection library - cli utility
uchime
本虛擬套件由這些套件填實: mothur
uci2wb (4.1+git200714-1)
protocol adapter between XBoard/CECP and UCI/USI/UCCI engines
ucimf (2.3.8-10)
Unicode console input method framework
ucimf-chewing (0.3-3)
ucimf wrapper for Chewing input method
ucimf-openvanilla (2.10.11-4)
openvanilla input method collection for ucimf
ucimf-sunpinyin (0.5-1)
ucimf wrapper for Sunpinyin IM engine
uclibc-source (1.0.35-1)
Small C library implementation - source
ucommon-doc (7.0.0-19)
lightweight C++ threading and sockets - documentation
ucommon-utils (7.0.0-19)
lightweight C++ threading and sockets - utilities
ucpp (1.3.2-2+b1)
embeddable, quick and light C preprocessor
ucrpf1host (0.0.20181108-1.1)
host program for Panowin F1 3D printer
ucspi-proxy (0.99-1.1)
Connection proxy for UCSPI tools
ucspi-tcp (1:0.88-6)
command-line tools for building TCP client-server applications
ucspi-tcp
本虛擬套件由這些套件填實: ucspi-tcp-ipv6
ucspi-tcp-ipv6 (1:0.88-6)
command-line tools for building TCP client-server applications (IPv6)
ucspi-unix (1.0-1)
UNIX-domain socket client-server command-line tools
ucto (0.21.1-2+b1)
Unicode Tokenizer
uctodata (0.8-2)
Data files for Ucto
ucx-utils (1.10.1~rc1+really.1.10.0-1)
Utilities for the UCX messaging library
udav (2.4.4-7)
library for scientific graphs (window interface)
udev (247.3-7+deb11u4)
/dev/ 及 熱插拔管理伺服程式
udev-udeb (247.3-7+deb11u4)
/dev/ and hotplug management daemon
udevil (0.4.4-3)
Alternative storage media interface
udf-modules
本虛擬套件由這些套件填實: udf-modules-5.10.0-28-686-di, udf-modules-5.10.0-25-amd64-di, udf-modules-5.10.0-26-powerpc64le-di, udf-modules-5.10.0-27-686-di, udf-modules-5.10.0-27-amd64-di, udf-modules-5.10.0-25-octeon-di, udf-modules-5.10.0-25-686-di, udf-modules-5.10.0-25-s390x-di, udf-modules-5.10.0-27-4kc-malta-di, udf-modules-5.10.0-25-loongson-3-di, udf-modules-5.10.0-27-686-pae-di, udf-modules-5.10.0-27-octeon-di, udf-modules-5.10.0-25-powerpc64le-di, udf-modules-5.10.0-27-armmp-di, udf-modules-5.10.0-28-loongson-3-di, udf-modules-5.10.0-25-686-pae-di, udf-modules-5.10.0-25-4kc-malta-di, udf-modules-5.10.0-26-amd64-di, udf-modules-5.10.0-28-amd64-di, udf-modules-5.10.0-26-armmp-di, udf-modules-5.10.0-27-marvell-di, udf-modules-5.10.0-25-arm64-di, udf-modules-5.10.0-26-arm64-di, udf-modules-5.10.0-26-5kc-malta-di, udf-modules-5.10.0-28-5kc-malta-di, udf-modules-5.10.0-26-loongson-3-di, udf-modules-5.10.0-28-octeon-di, udf-modules-5.10.0-28-powerpc64le-di, udf-modules-5.10.0-26-marvell-di, udf-modules-5.10.0-26-octeon-di, udf-modules-5.10.0-27-5kc-malta-di, udf-modules-5.10.0-26-686-pae-di, udf-modules-5.10.0-27-s390x-di, udf-modules-5.10.0-25-marvell-di, udf-modules-5.10.0-28-s390x-di, udf-modules-5.10.0-25-5kc-malta-di, udf-modules-5.10.0-26-s390x-di, udf-modules-5.10.0-26-4kc-malta-di, udf-modules-5.10.0-27-loongson-3-di, udf-modules-5.10.0-26-686-di, udf-modules-5.10.0-28-marvell-di, udf-modules-5.10.0-25-armmp-di, udf-modules-5.10.0-28-arm64-di, udf-modules-5.10.0-28-armmp-di, udf-modules-5.10.0-27-arm64-di, udf-modules-5.10.0-28-4kc-malta-di, udf-modules-5.10.0-27-powerpc64le-di, udf-modules-5.10.0-28-686-pae-di
udf-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-686-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
UDF modules
udf-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-686-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-686-pae-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-amd64-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-arm64-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-armmp-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-loongson-3-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-marvell-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-octeon-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-26-s390x-di (5.10.197-1)
UDF modules
udf-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-686-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
UDF modules
udf-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-686-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-686-pae-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-amd64-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-arm64-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-armmp-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-loongson-3-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-marvell-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-octeon-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
UDF modules
udf-modules-5.10.0-28-s390x-di (5.10.209-2)
UDF modules
udfclient (0.8.11-2)
userland implementation of the UDF filesystem
udftools (2.3-1)
tools for UDF filesystems and DVD/CD-R(W) drives
udhcpc (1:1.30.1-6+b3)
Provides the busybox DHCP client implementation
udhcpd (1:1.30.1-6+b3)
Provides the busybox DHCP server implementation
udiskie (2.3.2-2)
automounter for removable media for Python
udisks2 (2.9.2-2+deb11u1)
D-Bus service to access and manipulate storage devices
udisks2-bcache (2.9.2-2+deb11u1)
bcache support for udisks2
udisks2-btrfs (2.9.2-2+deb11u1)
BTRFS support for udisks2
udisks2-doc (2.9.2-2+deb11u1)
udisks2 documentation
udisks2-lvm2 (2.9.2-2+deb11u1)
LVM2 support for udisks2
udisks2-zram (2.9.2-2+deb11u1)
zram support for udisks2
udns-utils (0.4-1+b1)
Several DNS-related utilities built on top of udns library
udo (6.4.1-6)
universal document - text processing utility
udo-doc-de (6.4.1-6)
universal document - German documentation
udo-doc-en (6.4.1-6)
universal document - English documentation
udpcast (20120424-2+b1)
multicast file transfer tool
udpkg (1.20)
tiny dpkg clone
udptunnel (1.1-5+b2)
tunnel UDP packets over a TCP connection
udunits-bin (2.2.28-3)
Utility for handling units of physical quantities
uefitool (0.28.0-1)
UEFI firmware image viewer and editor
uefitool-cli (0.28.0-1)
UEFI firmware image viewer and editor - CLI version
ufiformat (0.9.9-1+b1)
disk formatter for USB floppy drives
ufo-core-doc (0.16.0.52.gbd831ab-1)
Library for high-performance, GPU-based computing - documentation
ufo-filters (0.16.0.159.g483ce16-1)
Set of plugins for ufo-core - runtime
ufo-filters-data (0.16.0.159.g483ce16-1)
Library for high-performance, GPU-based computing - data
ufo-filters-doc (0.16.0.159.g483ce16-1)
Library for high-performance, GPU-based computing - documentation
ufo2otf (0.2.2-1.2)
Take UFO font sources and generate OTF’s and webfonts
ufoai (2.5-6)
UFO: Alien Invasion -- build your team and stop the aliens
ufoai-common (2.5-6)
UFO: Alien Invasion -- scripts and configuration files
ufoai-data (2.5-2)
UFO: Alien Invasion -- data files
ufoai-maps (2.5-2)
UFO: Alien Invasion -- maps
ufoai-misc (2.5-6)
UFO: Alien Invasion -- miscellaneous files and documentation
ufoai-music (2.5-2)
UFO: Alien Invasion -- music files
ufoai-server (2.5-6)
UFO: Alien Invasion -- dedicated server
ufoai-sound (2.5-2)
UFO: Alien Invasion -- sound files
ufoai-textures (2.5-2)
UFO: Alien Invasion -- textures
ufoai-tools (2.5-6)
UFO: Alien Invasion -- developer tools
ufonormalizer (0.5.3-1)
Normalize the XML and other data inside of a UFO
uftp (4.10.2-1.1)
Encrypted multicast file transfer program
ufw (0.36-7.1)
program for managing a Netfilter firewall
ugene (34.0+dfsg-2) [non-free]
integrated bioinformatics toolkit
ugene-data (34.0+dfsg-2) [non-free]
required data for UGENE - integrated bioinformatics toolkit
uget (2.2.3-2)
easy-to-use download manager written in GTK+
uglifyjs (3.12.8-1)
JavaScript parser, mangler/compressor and beautifier - CLI tool
uglifyjs
本虛擬套件由這些套件填實: uglifyjs, node-uglify
uglifyjs.terser (4.1.2-8)
parser/mangler/compressor for ES6+ - CLI tool
ugrep (3.3.3+dfsg-1)
faster grep with an interactive query UI
uhd-host (3.15.0.0-4+b1)
universal hardware driver for Ettus Research products - host apps
uhd-soapysdr (0.4.1-1)
SoapySDR device support for libuhd
uhexen2 (1.5.9+dfsg-1) [contrib]
Hexen II - engine package
uhexen2-common (1.5.9+dfsg-1) [contrib]
Hexen II engine - data package
uhub (0.4.1-3.1)
High performance Advanced Direct Connect p2p hub
uhubctl (2.3.0-1)
USB hub per-port power control
ui-auto (1.2.14-1)
Autotools library and development tools
uidmap (1:4.8.1-1)
programs to help use subuids
uif (1.1.9-5)
Advanced iptables-firewall script
uil (2.3.8-3)
Motif - UIL (User Interface Language) compiler
uim (1:1.8.8-9)
Universal Input Method - main binary package
uim-anthy (1:1.8.8-9)
Universal Input Method - Anthy support metapackage
uim-byeoru (1:1.8.8-9)
Universal Input Method - byeoru hangul input support metapackage
uim-chewing (0.1.0-6)
Universal Input Method - Chewing plugin
uim-data (1:1.8.8-9)
Universal Input Method - data files
uim-el (1:1.8.8-9)
Universal Input Method - Emacs front end
uim-fep (1:1.8.8-9)
Universal Input Method - front end processor
uim-gtk2.0 (1:1.8.8-9)
Universal Input Method - GTK+2.x front end
uim-gtk2.0-immodule (1:1.8.8-9)
Universal Input Method - GTK+2.x IM-module
uim-gtk3 (1:1.8.8-9)
Universal Input Method - GTK+3.x front end
uim-gtk3-immodule (1:1.8.8-9)
Universal Input Method - GTK+3.x IM module
uim-ipa-x-sampa (1:1.8.8-9)
Universal Input Method - X-SAMPA IPA input support metapackage
uim-latin (1:1.8.8-9)
Universal Input Method - Latin script input support metapackage
uim-look (1:1.8.8-9)
Universal Input Method - Dictionary-based completion input support metapackage
uim-m17nlib (1:1.8.8-9)
Universal Input Method - m17nlib plugin metapackage
uim-mozc (2.26.4220.100+dfsg-4)
Mozc engine for uim - Client of the Mozc input method
uim-pinyin (1:1.8.8-9)
Universal Input Method - pinyin input support metapackage
uim-plugins (1:1.8.8-9)
Universal Input Method - plugin files
uim-qt5 (1:1.8.8-9)
Universal Input Method - Qt 5.x front end
uim-qt5-immodule (1:1.8.8-9)
Universal Input Method - Qt 5.x IM module
uim-skk (1:1.8.8-9)
Universal Input Method - SKK support metapackage
uim-tcode (1:1.8.8-9)
Universal Input Method - T-Code support metapackage
uim-viqr (1:1.8.8-9)
Universal Input Method - Vietnamese Quoted-Readable support metapackage
uim-xim (1:1.8.8-9)
Universal Input Method - XIM compatibility interface
uima-doc (2.10.2-4)
Documentation for the Apache UIMA framework
uima-examples (2.10.2-4)
Examples of UIMA components
uima-utils (2.10.2-4)
UIMA tools
uinput-modules
本虛擬套件由這些套件填實: uinput-modules-5.10.0-28-686-pae-di, uinput-modules-5.10.0-28-powerpc64le-di, uinput-modules-5.10.0-27-arm64-di, uinput-modules-5.10.0-26-686-pae-di, uinput-modules-5.10.0-28-686-di, uinput-modules-5.10.0-25-686-di, uinput-modules-5.10.0-26-amd64-di, uinput-modules-5.10.0-26-arm64-di, uinput-modules-5.10.0-27-686-pae-di, uinput-modules-5.10.0-26-686-di, uinput-modules-5.10.0-25-armmp-di, uinput-modules-5.10.0-28-amd64-di, uinput-modules-5.10.0-27-armmp-di, uinput-modules-5.10.0-26-marvell-di, uinput-modules-5.10.0-25-686-pae-di, uinput-modules-5.10.0-27-686-di, uinput-modules-5.10.0-25-amd64-di, uinput-modules-5.10.0-28-marvell-di, uinput-modules-5.10.0-25-powerpc64le-di, uinput-modules-5.10.0-25-marvell-di, uinput-modules-5.10.0-28-arm64-di, uinput-modules-5.10.0-27-marvell-di, uinput-modules-5.10.0-25-arm64-di, uinput-modules-5.10.0-26-powerpc64le-di, uinput-modules-5.10.0-28-armmp-di, uinput-modules-5.10.0-27-amd64-di, uinput-modules-5.10.0-26-armmp-di, uinput-modules-5.10.0-27-powerpc64le-di
uinput-modules-5.10.0-25-686-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
uinput support
uinput-modules-5.10.0-26-686-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-26-686-pae-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-26-amd64-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-26-arm64-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-26-armmp-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-26-marvell-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
uinput support
uinput-modules-5.10.0-27-686-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
uinput support
uinput-modules-5.10.0-28-686-di (5.10.209-2)
uinput support
uinput-modules-5.10.0-28-686-pae-di (5.10.209-2)
uinput support
uinput-modules-5.10.0-28-amd64-di (5.10.209-2)
uinput support
uinput-modules-5.10.0-28-arm64-di (5.10.209-2)
uinput support
uinput-modules-5.10.0-28-armmp-di (5.10.209-2)
uinput support
uinput-modules-5.10.0-28-marvell-di (5.10.209-2)
uinput support
uinput-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
uinput support
uisp (20050207-4.3)
Micro In-System Programmer for Atmel's AVR MCUs
ukopp (4.9-1+b1)
Full and incremental backup to disk or disk-like device
ukui-backgroundserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
background settings service process
ukui-biometric-manager (1.0.1-1+b1)
Manager for biometric authentication
ukui-control-center (3.0.2-2)
utilities to configure the UKUI desktop
ukui-desktopserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
desktop settings service process
ukui-fontserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
font settings service process
ukui-greeter (3.0.1-1)
Lightdm greeter for UKUI
ukui-indicators
本虛擬套件由這些套件填實: ukui-panel
ukui-interfaceserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
interface settings service process
ukui-keyboardserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
keyboard settings service process
ukui-marcogeneralserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
marcogeneral settings service process
ukui-media (3.0.2-1)
UKUI media utilities
ukui-media-common (3.0.2-1)
UKUI media utilities (common files)
ukui-menu (3.0.1-1)
Advanced ukui menu
ukui-menus (1.1.4-1+b2)
implementation of the freedesktop menu specification for UKUI
ukui-mouseserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
mouse settings service process
ukui-notification-daemon (1.0.1-1)
daemon to display passive popup notifications
ukui-panel (3.0.3-1)
ukui desktop panel
ukui-polkit (1.2.1-1)
UKUI authentication agent for PolicyKit-1
ukui-power-manager (3.0.0-1)
power management tool for the UKUI desktop
ukui-power-manager-common (3.0.0-1)
power management tool for the UKUI desktop (common files)
ukui-powerserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
power settings service process
ukui-screensaver (3.0.1-1)
Screensaver for UKUI desktop environment
ukui-screensaverserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
screensaver settings service process
ukui-session-manager (3.0.2-1.1)
Session manager of the UKUI desktop environment
ukui-sessionserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
session settings service process
ukui-settings-daemon (3.0.3-1)
daemon handling the UKUI session settings
ukui-settings-daemon-common (3.0.3-1)
daemon handling the UKUI session settings (common files)
ukui-sidebar (3.0.1-1)
parallels toolbox for UKUI
ukui-system-monitor (1.0.4-1)
Monitor for UKUI desktop environment
ukui-themes (1.4.1-1)
Official themes for the UKUI desktop
ukui-touchpadserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
touchpad settings service process
ukui-wallpapers (20.04.3-1.1)
Wallpapers for UKUI desktop environment
ukui-window-switch (3.0.0-1)
Front of the window switch
ukui-xkbgeneralserver (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
xkbgeneral settings service process
ukwm (1.2.0-1+b1)
lightweight GTK+ window manager
ukwm-common (1.2.0-1)
shared files for the Ukwm window manager
ulcc (1.0.2-2)
teaching children by pictures
ulogd2 (2.0.7-1+b1)
Netfilter Userspace Logging Daemon
ulogd2-dbi (2.0.7-1+b1)
DBI extension to ulogd
ulogd2-json (2.0.7-1+b1)
JSON extension to ulogd
ulogd2-mysql (2.0.7-1+b1)
MySQL extension to ulogd
ulogd2-pcap (2.0.7-1+b1)
pcap extension to ulogd
ulogd2-pgsql (2.0.7-1+b1)
PostgreSQL extension to ulogd
ulogd2-sqlite3 (2.0.7-1+b1)
SQLite 3 extension to ulogd
ultracopier (2.2.4.7-1)
advanced graphical file copy system
umap-learn (0.4.5+dfsg-2)
Uniform Manifold Approximation and Projection
umbrello (4:20.12.2-1)
UML modelling tool and code generator
umegaya (1.0+nmu1)
Umegaya is a MEtadata GAtherer using YAml
umis (1.0.7-1+b1)
tools for processing UMI RNA-tag data
umis-examples (1.0.7-1)
tools for processing UMI RNA-tag data (examples)
uml-utilities (20070815.4-1)
User-mode Linux (utility programs)
umlet (13.3-1.3)
simple, text driven UML drawing tool
umoci (0.4.7+ds-2+b3)
Open Container Images manipulation tool
umockdev (0.15.4-1)
record/mock hardware devices for bug reports and regression tests
umps3 (3.0.4-1)
Virtual machine simulator based around the MIPS R2/3000 microprocessor
ums2net (0.1.3-1+b1 [amd64], 0.1.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
USB Mass Storage to Network Proxy
umtp-responder (1.3.10-1+b1 [amd64], 1.3.10-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Lightweight USB Media Transfer Protocol (MTP) responder daemon
umview (0.8.2-3)
View-OS in user space - Core and base modules
umview-mod-umdevtap (0.8.2-3)
View-OS in user space - Virtual TUN/TAP module
umview-mod-umfuseext2 (0.4-1.2+b1 [amd64], 0.4-1.2 [i386])
View-OS in user space - ext2 module for UMFUSE
umview-mod-umfusefat (0.1a-3)
View-OS in user space - FAT module for UMFUSE
umview-mod-umfuseiso9660 (0.3-2)
View-OS in user space - ISO9660 module for UMFUSE
umview-mod-umlwip (0.8.2-3)
View-OS in user space - LWIPv6 gateway module
umview-mod-viewfs (0.8.2-3)
View-OS in user space - Filesystem mangling modules
unaccent (1.8.0-8)
Replace accented letters by their unaccented equivalent
unace (1.2b-19)
extract, test and view .ace archives
unace-nonfree (2.5-9) [non-free]
extract, test and view .ace archives (non-free version)
unadf (0.7.11a-4+deb11u1)
Extract files from an Amiga Disk File dump (.adf)
unagi (0.3.4-1+b3)
Modular X compositing manager
unagi-dbg (0.3.4-1+b3)
Modular X compositing manager - debugging symbols
unagi-dev (0.3.4-1+b3)
Modular X compositing manager - development files
unalz (0.65-8)
De-archiver for .alz files
unar (1.10.1-2+b6)
Unarchiver for a variety of file formats
unattended-upgrades (2.8)
automatic installation of security upgrades
unbound (1.13.1-1+deb11u2) [security]
validating, recursive, caching DNS resolver
unbound-anchor (1.13.1-1+deb11u2) [security]
utility to securely fetch the root DNS trust anchor
unbound-host (1.13.1-1+deb11u2) [security]
reimplementation of the 'host' command
unburden-home-dir (0.4.1.2)
Remove or move cache files automatically from user's home
unburden-home-dir-doc (0.4.1.2)
HTML documentation for unburden-home-dir
uncalled (2.2+ds-1)
Utility for Nanopore Current Alignment to Large Expanses of DNA
unclutter (8-25)
hides the mouse cursor in X after a period of inactivity
unclutter-startup (8-25)
autostart infrastructure for unclutter and unclutter-xfixes
unclutter-xfixes (1.5-3)
hide the X mouse cursor after a period of inactivity, using XFixes
uncommons-watchmaker-doc (0.7.1-1.1)
Framework for Evolutionary Computation - Documentations
uncrustify (0.72.0+dfsg1-2)
C, C++, ObjectiveC, C#, D, Java, Pawn and VALA source code beautifier
undbx (0.21-3)
tool to extract, recover and undelete e-mail messages from .dbx files
undertime (2.4.0)
pick a meeting time using a table of world clocks
undistract-me (0.1.0+git20130402+3a9144bc1f-1.1)
Notifies user when long-running terminal commands complete via libnotify
unhide (20130526-4)
Forensic tool to find hidden processes and ports
unhide.rb (22-5)
Forensics tool to find processes hidden by rootkits
unhtml (2.3.9-4+b1 [amd64], 2.3.9-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Remove the markup tags from an HTML file
uni2ascii (4.18-5)
UTF-8 to 7-bit ASCII and vice versa converter
unibetacode (1.2-2+b1 [amd64], 1.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
convert classical Greek and Coptic between Beta Code and Unicode
unicode (2.8-1.1)
display unicode character properties
unicode-cldr-core (32.0.1-1.1)
Common data from Unicode CLDR (core)
unicode-data (13.0.0-2)
Property data for the Unicode character set
unicode-screensaver (0.5.2-3)
screensaver displaying unicode characters
unicon-im
本虛擬套件由這些套件填實: unicon-imc2
unicon-imc2 (3.0.4+dfsg1-3)
Chinese Input Method Library
uniconf-tools (4.6.1-15)
Tools to interface with UniConf
uniconfd (4.6.1-15)
Server that manages UniConf elements
unicorn (5.5.3-1+b3)
Rack HTTP server for fast clients
unicycler (0.4.8+dfsg-2)
hybrid assembly pipeline for bacterial genomes
unicycler-data (0.4.8+dfsg-2)
hybrid assembly pipeline for bacterial genomes (data package)
unidesc
本虛擬套件由這些套件填實: uniutils
unidic-mecab (2.3.0+dfsg-6)
Dictionary for Mecab (Corpus of Contemporary Written Japanese)
unifdef (2.10-1.1)
Remove cpp '#ifdef' lines from files
unifont (1:13.0.06-1)
font with a glyph for each visible Unicode Plane 0 character
unifont-bin (1:13.0.06-1)
utilities for manipulating GNU Unifont
unionfs-fuse (1.0-1+b1)
Fuse implementation of unionfs
unison (2.51.3+1)
file-synchronization tool for Unix and Windows
unison-2.51+4.11.1 (2.51.3-1)
file-synchronization tool for Unix and Windows
unison-2.51+4.11.1-gtk (2.51.3-1)
file-synchronization tool for Unix and Windows with GTK+ interface
unison-gtk (2.51.3+1)
file-synchronization tool for Unix and Windows with GTK+ interface
units (2.21-1)
converts between different systems of units
units-filter (4.0-1)
Parser for expressions concerning physical values
unity-scopes-json-def
本虛擬套件由這些套件填實: libunity-scopes-json-def-phone, libunity-scopes-json-def-desktop
unity-scopes-runner (7.1.4+19.04.20190319-5)
desktop runner for misceallenous scopes
uniutils (2.27-2+b1)
Tools for finding out what is in a Unicode file
universal-ctags (0+git20200824-1.1)
build tag file indexes of source code definitions
unixodbc (2.3.6-0.1+b1)
Basic ODBC tools
unixodbc-dev (2.3.6-0.1+b1)
ODBC libraries for UNIX (development files)
unknown-horizons (2019.1-3)
2D realtime strategy simulation
unlambda (0.1.4.2-6+b2 [s390x], 0.1.4.2-6+b1 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
interpreter for the Unlambda language
unmass (0.9-4)
Extract game archive files
unmo3 (0.6-2)
Uncompress and extract samples from MO3 modules
uno-libs-private (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment -- private libraries used by public ones
unoconv (0.7-2)
converter between LibreOffice document formats
unp (2.0~pre9)
unpack (almost) everything with one command
unpaper (6.1-2+b2)
post-processing tool for scanned pages
unrar (1:6.0.3-1+deb11u3) [non-free]
Unarchiver for .rar files (non-free version)
unrar-free (1:0.0.1+cvs20140707-4+b1 [amd64], 1:0.0.1+cvs20140707-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Unarchiver for .rar files
unrtf (0.21.10-clean-1)
RTF to other formats converter
unscd (0.54-1)
Micro Name Service Caching Daemon
unshield (1.4.2-1)
extracts CAB files from InstallShield installers
unsort (1.2.1-1+b1)
reorders lines in a file in semirandom ways
untex (1:1.2-7)
remove LaTeX commands from input
unworkable (0.53-5+b1)
efficient, simple and secure bittorrent client
unyaffs (0.9.7-0.2)
Extracts files from a YAFFS2 filesystem image
unzip (6.0-26+deb11u1)
De-archiver for .zip files
upass (0.3.0-1.1)
console UI for pass
update-glx (1.2.1~deb11u1) [contrib]
utility for switching the GLX implementation
update-inetd (4.51)
inetd configuration file updater
update-notifier
本虛擬套件由這些套件填實: gnome-packagekit
upgrade-system (1.8.2.2)
command for upgrading and sanitizing a Debian system
uphpmvault (0.8+b2)
upload recovery images to HP MediaVault2 via Ethernet
upower (0.99.11-2)
abstraction for power management
upower-doc (0.99.11-2)
abstraction for power management - documentation
uprightdiff (1.4.0-1+b2)
examine differences between two images
ups-monitor
本虛擬套件由這些套件填實: apcupsd, nut-client
upse123 (1.0.0-2+b1)
commandline player based on libupse
upstart-app-launch-tools
本虛擬套件由這些套件填實: lomiri-app-launch-tools
uptimed (1:0.4.3-1)
daemon to track uptimes, especially the high ones
upx
本虛擬套件由這些套件填實: upx-ucl
upx-ucl (3.96-2)
efficient live-compressor for executables
uqm (0.6.2.dfsg-9.5) [contrib]
The Ur-Quan Masters - An inter-galactic adventure game
uqm-content (0.6.0+deb1-6) [non-free]
The Ur-Quan Masters - Game data files
uqm-music (0.6.0+deb1-6) [non-free]
The Ur-Quan Masters - Game music files
uqm-russian (1.0.2-5) [contrib]
Russian addon for 'The Ur-Quan Masters' game
uqm-voice (0.6.0+deb1-6) [non-free]
The Ur-Quan Masters - Voice files
uranium-plugins (4.8-1)
application framework for Cura (plugins)
uranium-tools (4.8-1)
application framework for Cura (development tools)
ure (1:7.0.4-4+deb11u8)
LibreOffice UNO runtime environment
urfkill (0.5.0-7)
wireless killswitch management daemon for laptops
urjtag (0.10+r2007-1.2+b3)
JTAG programmer for various flash parts and boards
urlscan (0.9.5-1)
Extract and browse the URLs contained in an email (urlview replacement)
urlview (0.9-21+b1 [amd64], 0.9-21 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Extracts URLs from text
urlwatch (2.22-1)
monitors webpages for you
uronode (2.13-2)
Node front end for AX.25, NET/ROM, Rose and TCP
uruk (20190121-1.1)
Very small firewall script, for configuring iptables
usb-discover (1.13)
Discover USB and load kernel modules
usb-modeswitch (2.6.1-1)
mode switching tool for controlling "flip flop" USB devices
usb-modeswitch-data (20191128-3)
mode switching data for usb-modeswitch
usb-modeswitch-data-packed
本虛擬套件由這些套件填實: usb-modeswitch-data
usb-modules
本虛擬套件由這些套件填實: usb-modules-5.10.0-26-armmp-di, usb-modules-5.10.0-25-marvell-di, usb-modules-5.10.0-27-amd64-di, usb-modules-5.10.0-26-arm64-di, usb-modules-5.10.0-25-loongson-3-di, usb-modules-5.10.0-26-powerpc64le-di, usb-modules-5.10.0-28-arm64-di, usb-modules-5.10.0-28-5kc-malta-di, usb-modules-5.10.0-28-powerpc64le-di, usb-modules-5.10.0-27-marvell-di, usb-modules-5.10.0-25-powerpc64le-di, usb-modules-5.10.0-27-4kc-malta-di, usb-modules-5.10.0-25-octeon-di, usb-modules-5.10.0-26-686-pae-di, usb-modules-5.10.0-26-marvell-di, usb-modules-5.10.0-25-amd64-di, usb-modules-5.10.0-28-octeon-di, usb-modules-5.10.0-28-4kc-malta-di, usb-modules-5.10.0-28-686-di, usb-modules-5.10.0-26-loongson-3-di, usb-modules-5.10.0-26-amd64-di, usb-modules-5.10.0-27-686-pae-di, usb-modules-5.10.0-28-loongson-3-di, usb-modules-5.10.0-27-powerpc64le-di, usb-modules-5.10.0-28-686-pae-di, usb-modules-5.10.0-27-octeon-di, usb-modules-5.10.0-25-5kc-malta-di, usb-modules-5.10.0-26-4kc-malta-di, usb-modules-5.10.0-27-armmp-di, usb-modules-5.10.0-28-marvell-di, usb-modules-5.10.0-27-5kc-malta-di, usb-modules-5.10.0-25-686-pae-di, usb-modules-5.10.0-25-686-di, usb-modules-5.10.0-26-686-di, usb-modules-5.10.0-26-octeon-di, usb-modules-5.10.0-27-loongson-3-di, usb-modules-5.10.0-26-5kc-malta-di, usb-modules-5.10.0-28-amd64-di, usb-modules-5.10.0-25-arm64-di, usb-modules-5.10.0-27-686-di, usb-modules-5.10.0-25-4kc-malta-di, usb-modules-5.10.0-28-armmp-di, usb-modules-5.10.0-25-armmp-di, usb-modules-5.10.0-27-arm64-di
usb-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-686-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
USB support
usb-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-686-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-686-pae-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-amd64-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-arm64-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-armmp-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-loongson-3-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-marvell-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-octeon-di (5.10.197-1)
USB support
usb-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
USB support
usb-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-686-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
USB support
usb-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-686-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-686-pae-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-amd64-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-arm64-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-armmp-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-loongson-3-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-marvell-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-octeon-di (5.10.209-2)
USB support
usb-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
USB support
usb-serial-modules
本虛擬套件由這些套件填實: usb-serial-modules-5.10.0-26-5kc-malta-di, usb-serial-modules-5.10.0-28-4kc-malta-di, usb-serial-modules-5.10.0-25-686-di, usb-serial-modules-5.10.0-27-4kc-malta-di, usb-serial-modules-5.10.0-28-686-di, usb-serial-modules-5.10.0-26-arm64-di, usb-serial-modules-5.10.0-27-loongson-3-di, usb-serial-modules-5.10.0-25-arm64-di, usb-serial-modules-5.10.0-25-5kc-malta-di, usb-serial-modules-5.10.0-25-octeon-di, usb-serial-modules-5.10.0-25-loongson-3-di, usb-serial-modules-5.10.0-28-686-pae-di, usb-serial-modules-5.10.0-27-686-pae-di, usb-serial-modules-5.10.0-28-powerpc64le-di, usb-serial-modules-5.10.0-26-powerpc64le-di, usb-serial-modules-5.10.0-26-amd64-di, usb-serial-modules-5.10.0-28-loongson-3-di, usb-serial-modules-5.10.0-27-armmp-di, usb-serial-modules-5.10.0-26-686-pae-di, usb-serial-modules-5.10.0-28-marvell-di, usb-serial-modules-5.10.0-26-686-di, usb-serial-modules-5.10.0-27-686-di, usb-serial-modules-5.10.0-27-powerpc64le-di, usb-serial-modules-5.10.0-28-octeon-di, usb-serial-modules-5.10.0-27-amd64-di, usb-serial-modules-5.10.0-28-amd64-di, usb-serial-modules-5.10.0-27-arm64-di, usb-serial-modules-5.10.0-28-5kc-malta-di, usb-serial-modules-5.10.0-26-octeon-di, usb-serial-modules-5.10.0-27-octeon-di, usb-serial-modules-5.10.0-26-armmp-di, usb-serial-modules-5.10.0-25-amd64-di, usb-serial-modules-5.10.0-26-loongson-3-di, usb-serial-modules-5.10.0-25-marvell-di, usb-serial-modules-5.10.0-26-marvell-di, usb-serial-modules-5.10.0-25-powerpc64le-di, usb-serial-modules-5.10.0-25-armmp-di, usb-serial-modules-5.10.0-25-4kc-malta-di, usb-serial-modules-5.10.0-27-marvell-di, usb-serial-modules-5.10.0-28-armmp-di, usb-serial-modules-5.10.0-26-4kc-malta-di, usb-serial-modules-5.10.0-27-5kc-malta-di, usb-serial-modules-5.10.0-25-686-pae-di, usb-serial-modules-5.10.0-28-arm64-di
usb-serial-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-686-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
USB serial drivers
usb-serial-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-686-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-686-pae-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-amd64-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-arm64-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-armmp-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-loongson-3-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-marvell-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-octeon-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
USB serial drivers
usb-serial-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-686-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
USB serial drivers
usb-serial-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-686-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-686-pae-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-amd64-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-arm64-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-armmp-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-loongson-3-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-marvell-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-octeon-di (5.10.209-2)
USB serial drivers
usb-serial-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
USB serial drivers
usb-storage-modules
本虛擬套件由這些套件填實: usb-storage-modules-5.10.0-26-amd64-di, usb-storage-modules-5.10.0-25-marvell-di, usb-storage-modules-5.10.0-28-4kc-malta-di, usb-storage-modules-5.10.0-25-amd64-di, usb-storage-modules-5.10.0-26-arm64-di, usb-storage-modules-5.10.0-27-powerpc64le-di, usb-storage-modules-5.10.0-25-4kc-malta-di, usb-storage-modules-5.10.0-25-loongson-3-di, usb-storage-modules-5.10.0-25-686-pae-di, usb-storage-modules-5.10.0-25-powerpc64le-di, usb-storage-modules-5.10.0-27-5kc-malta-di, usb-storage-modules-5.10.0-27-loongson-3-di, usb-storage-modules-5.10.0-28-686-di, usb-storage-modules-5.10.0-26-loongson-3-di, usb-storage-modules-5.10.0-28-5kc-malta-di, usb-storage-modules-5.10.0-26-octeon-di, usb-storage-modules-5.10.0-27-armmp-di, usb-storage-modules-5.10.0-28-686-pae-di, usb-storage-modules-5.10.0-28-loongson-3-di, usb-storage-modules-5.10.0-28-arm64-di, usb-storage-modules-5.10.0-25-armmp-di, usb-storage-modules-5.10.0-25-686-di, usb-storage-modules-5.10.0-27-4kc-malta-di, usb-storage-modules-5.10.0-26-686-pae-di, usb-storage-modules-5.10.0-26-armmp-di, usb-storage-modules-5.10.0-26-4kc-malta-di, usb-storage-modules-5.10.0-28-amd64-di, usb-storage-modules-5.10.0-26-marvell-di, usb-storage-modules-5.10.0-28-armmp-di, usb-storage-modules-5.10.0-26-686-di, usb-storage-modules-5.10.0-26-powerpc64le-di, usb-storage-modules-5.10.0-26-5kc-malta-di, usb-storage-modules-5.10.0-28-marvell-di, usb-storage-modules-5.10.0-27-arm64-di, usb-storage-modules-5.10.0-25-5kc-malta-di, usb-storage-modules-5.10.0-28-powerpc64le-di, usb-storage-modules-5.10.0-28-octeon-di, usb-storage-modules-5.10.0-27-marvell-di, usb-storage-modules-5.10.0-27-686-pae-di, usb-storage-modules-5.10.0-27-686-di, usb-storage-modules-5.10.0-27-amd64-di, usb-storage-modules-5.10.0-27-octeon-di, usb-storage-modules-5.10.0-25-arm64-di, usb-storage-modules-5.10.0-25-octeon-di
usb-storage-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-686-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-armmp-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-marvell-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
USB storage support
usb-storage-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-686-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-686-pae-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-amd64-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-arm64-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-armmp-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-loongson-3-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-marvell-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-octeon-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
USB storage support
usb-storage-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-686-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-armmp-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-marvell-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
USB storage support
usb-storage-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-686-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-686-pae-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-amd64-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-arm64-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-armmp-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-loongson-3-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-marvell-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-octeon-di (5.10.209-2)
USB storage support
usb-storage-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
USB storage support
usb.ids (2024.01.20-0+deb11u1)
USB ID Repository
usbauth (1.0.3-1)
USB firewall against BadUSB attacks
usbauth-notifier (1.0.4-1)
Notifier for USB Firewall to use with desktop environments
usbguard (1.0.0+ds-2)
USB device authorization policy framework
usbip (2.0+5.10.209-2)
USB device sharing system over IP network
usbmuxd (1.1.1-2)
USB multiplexor daemon for iPhone and iPod Touch devices
usbredirserver (0.8.0-1+b1)
Simple USB host TCP server
usbrelay (0.4-2)
USB HID relay driver
usbtop (1.0+dfsg-1)
utility to show bandwidth on USB buses and devices
usbutils (1:013-3)
Linux USB utilities
usbview (2.0-21-g6fe2f4f-2+deb11u1)
USB device viewer
usemod-wiki (1.2.1-2)
Perl-based Wiki clone
usepackage (1.14-1)
environment management program
user-mode-linux (5.10um3+b1)
User-mode Linux (kernel)
user-mode-linux-doc (20060501-3.1)
User-mode Linux (Documentation)
user-setup (1.88)
Set up initial user and password
user-setup-udeb (1.88)
Set up users and passwords
userbindmount (0.1-2+b1 [amd64], 0.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
bind-mount in user namespaces (command)
userinfo (2.5-4+b1)
display information about local users
usermode (1.113-4)
Graphical tools for certain user account management tasks
userpath (1.4.2-1)
tool for adding locations to the user PATH - CLI tool
userv (1.2.0)
`user services' - program call across trust boundaries
usrmerge (25)
Convert the system to the merged /usr directories scheme
ussp-push (0.11-4+b1)
Client for OBEX PUSH
ussp-push-dbg (0.11-4+b1)
Client for OBEX PUSH (debugging information)
ustreamer (3.16-1)
Lightweight and fast MJPG-HTTP streamer
usvg (0.8.0-4)
SVG simplification tool
utalk (1.0.1.beta-9+b1 [amd64], 1.0.1.beta-9 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
talk-like program with additional features
utf8gen (1.1-3+b1 [amd64], 1.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
convert ASCII hexadecimal Unicode code points to UTF-8
utfcheck (1.2-2+b1 [amd64], 1.2-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
check validity of UTF-8 and ASCII files
utfout (0.0.1-1+b1)
Utility to produce UTF-8 (Unicode) output
uthash-dev (2.1.0-1)
hash table and linked list for C structures
util-linux (2.36.1-8+deb11u2) [security]
miscellaneous system utilities
util-linux-locales (2.36.1-8+deb11u2) [security]
locales files for util-linux
util-linux-udeb (2.36.1-8+deb11u2) [security]
stripped down miscellaneous system utilities, for debian-installer
utop (2.7.0-2)
improved OCaml toplevel
utox (0.18.1-1)
lightest and fluffiest Tox client
uucp (1.07-27+b1)
Unix to Unix Copy Program
uucpsend (1.1-5)
Alternative Frontend for UUCP Batching with INN
uudeview (0.5.20-12)
Smart multi-file multi-part decoder (command line)
uuid (1.6.2-1.5+b9)
Universally Unique Identifier Command-Line Tool
uuid-dev (2.36.1-8+deb11u2) [security]
Universally Unique ID library - headers and static libraries
uuid-runtime (2.36.1-8+deb11u2) [security]
runtime components for the Universally Unique ID library
uuidcdef (0.3.13-10)
Universally Unique Identifier (UUID) generator
uuu (1.4.77-1)
Freescale/NXP I.MX Chip image deploy tools
uvccapture (0.5-5+b1 [amd64], 0.5-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
USB UVC Video Class snapshot software
uvicorn (0.13.3-1)
ASGI server implementation, using uvloop and httptools
uw-mailutils (8:2007f~dfsg-7+b1 [amd64], 8:2007f~dfsg-7 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
c-client support programs
uwsc (2.7.1-1+deb11u2)
Ulfius WebSocket Client
uwsgi (2.0.19.1-7.1)
fast, self-healing application container server
uwsgi-abi-6a95c6d9e13ad7a0ee8fcc7b4c5eefab
本虛擬套件由這些套件填實: uwsgi-core
uwsgi-app-integration-plugins (2.0.19.1-7.1)
plugins for integration of uWSGI and application
uwsgi-core (2.0.19.1-7.1)
fast, self-healing application container server (core)
uwsgi-dbg (2.0.19.1-7.1)
debugging symbols for uWSGI server and it's plugins
uwsgi-dev (2.0.19.1-7.1)
fast, self-healing application container server (headers)
uwsgi-emperor (2.0.19.1-7.1)
fast, self-healing application container server (emperor scripts)
uwsgi-extra (2.0.19.1-7.1)
fast, self-healing application container server (extra files)
uwsgi-infrastructure-plugins (2.0.19.1-7.1)
infrastructure plugins for uWSGI
uwsgi-mongodb-plugins
本虛擬套件由這些套件填實: uwsgi-plugin-mongo
uwsgi-plugin-alarm-curl (2.0.19.1-7.1)
cURL alarm plugin for uWSGI
uwsgi-plugin-alarm-xmpp (2.0.19.1-7.1)
XMPP alarm plugin for uWSGI
uwsgi-plugin-apparmor (0.0.0+git.2014.09.15.7d6d7bd7eb-3)
apparmor plugin for uwsgi
uwsgi-plugin-asyncio-python3 (2.0.19.1-7.1)
asyncio plugin for uWSGI (Python 3)
uwsgi-plugin-curl-cron (2.0.19.1-7.1)
cron cURL plugin for uWSGI
uwsgi-plugin-emperor-pg (2.0.19.1-7.1)
Emperor PostgreSQL plugin for uWSGI
uwsgi-plugin-fiber (2.0.19.1-7.1)
Fiber plugin for uWSGI
uwsgi-plugin-gccgo (2.0.19.1-7.1)
GNU Go plugin for uWSGI
uwsgi-plugin-geoip (2.0.19.1-7.1)
GeoIP plugin for uWSGI
uwsgi-plugin-gevent-python3 (2.0.19.1-7.1)
gevent plugin for uWSGI (Python 3)
uwsgi-plugin-glusterfs (2.0.19.1-7.1)
GlusterFS storage plugin for uWSGI
uwsgi-plugin-graylog2 (2.0.19.1-7.1)
graylog2 plugin for uWSGI
uwsgi-plugin-greenlet-python3 (2.0.19.1-7.1)
greenlet plugin for uWSGI (Python 3)
uwsgi-plugin-jvm-openjdk-11 (2.0.19.1-7.1)
Java plugin for uWSGI (OpenJDK 11)
uwsgi-plugin-jwsgi-openjdk-11 (2.0.19.1-7.1)
JWSGI plugin for uWSGI (OpenJDK 11)
uwsgi-plugin-ldap (2.0.19.1-7.1)
LDAP plugin for uWSGI
uwsgi-plugin-lua5.1 (2.0.19.1-7.1)
Lua WSAPI plugin for uWSGI (Lua 5.1)
uwsgi-plugin-lua5.2 (2.0.19.1-7.1)
Lua WSAPI plugin for uWSGI (Lua 5.2)
uwsgi-plugin-luajit (2.0.19.1+7.1+0.0.6+b1)
Lua WSAPI plugin for uWSGI (LuaJIT)
uwsgi-plugin-mongo (2.0.19.1+7.1+0.0.7+b1)
MongoDB/GridFS plugins for uWSGI
uwsgi-plugin-mono (2.0.19.1-7.1)
Mono/ASP.NET plugin for uWSGI
uwsgi-plugin-php (2.0.19.1+7.1+0.0.12+b1)
PHP plugin for uWSGI
uwsgi-plugin-psgi (2.0.19.1-7.1)
Perl PSGI plugin for uWSGI
uwsgi-plugin-python3 (2.0.19.1-7.1)
WSGI plugin for uWSGI (Python 3)
uwsgi-plugin-rack-ruby2.7 (2.0.19.1-7.1)
Rack plugin for uWSGI (ruby2.7)
uwsgi-plugin-rados (2.0.19.1-7.1)
Ceph/RADOS storage plugin for uWSGI
uwsgi-plugin-rbthreads (2.0.19.1-7.1)
Ruby native threads plugin for uWSGI (ruby2.7)
uwsgi-plugin-ring-openjdk-11 (2.0.19.1-7.1)
Closure/Ring plugin for uWSGI (OpenJDK 11)
uwsgi-plugin-router-access (2.0.19.1-7.1)
Access router plugin for uWSGI
uwsgi-plugin-servlet-openjdk-11 (2.0.19.1-7.1)
JWSGI plugin for uWSGI (OpenJDK 11)
uwsgi-plugin-sqlite3 (2.0.19.1-7.1)
SQLite 3 configurations plugin for uWSGI
uwsgi-plugin-tornado-python3 (2.0.19.1-7.1)
tornado plugin for uWSGI (Python 3)
uwsgi-plugin-xslt (2.0.19.1-7.1)
XSLT request plugin for uWSGI
uwsgi-plugins-all (2.0.19.1-7.1)
all available plugins for uWSGI
uwsgi-src (2.0.19.1-7.1)
sources for uWSGI plugins
v-sim (3.7.2-8+b4)
Visualize atomic structures
v-sim-common (3.7.2-8)
Visualize atomic structures (support files)
v-sim-doc (3.7.2-8)
Visualize atomic structures (example files)
v-sim-plugins (3.7.2-8+b4)
Plugins for V_Sim (a 3D visualization package)
v2ray (4.34.0-1+b5)
Command line tool for golang-v2ray-core
v4l-conf (3.107-1)
tool to configure video4linux drivers
v4l-utils (1.20.0-2)
Collection of command line video4linux utilities
v4l2loopback-dkms (0.12.5-1)
Source for the v4l2loopback driver (DKMS)
v4l2loopback-source (0.12.5-1)
Source for the v4l2loopback driver
v4l2loopback-utils (0.12.5-1)
Commandline utilities for the for the v4l2-loopback module
v86d (0.1.10-1+b1)
daemon to run x86 code in an emulated environment
va-driver
本虛擬套件由這些套件填實: i965-va-driver, i965-va-driver-shaders, intel-media-va-driver-non-free, mesa-va-drivers, intel-media-va-driver
va-driver-all (2.10.0-1)
Video Acceleration (VA) API -- driver metapackage
vacation (3.3.3)
email autoresponder
vagalume (0.8.6-3)
GTK+-based client for Last.fm-compatible radio services
vagrant (2.2.14+dfsg-2)
Tool for building and distributing virtualized development environments
vagrant-cachier (1.2.1-3.1)
share a common package cache among similar VM instances
vagrant-hostmanager (1.8.9-1.1)
Vagrant plugin for managing /etc/hosts on guests and host
vagrant-libvirt (0.3.0-1)
Vagrant plugin that adds an Libvirt provider to Vagrant
vagrant-lxc (1.4.3-2)
Linux Containers provider for Vagrant
vagrant-mutate (1.2.0-4.1)
convert vagrant boxes to work with different providers
vagrant-sshfs (1.3.5-1)
vagrant plugin that adds synced folder support with sshfs
vainfo (2.10.0+ds1-1)
Video Acceleration (VA) API for Linux -- info program
val-and-rick (0.1a.dfsg1-6+b1)
shooter game
val-and-rick-data (0.1a.dfsg1-6)
shooter game - game data
vala-0.48-doc (0.48.17-1)
C# like language for the GObject system - documentation
vala-doc
本虛擬套件由這些套件填實: vala-0.48-doc
vala-mode-el (0.1-8)
Transition Package, vala-mode-el to elpa-vala-mode
vala-mode-el
本虛擬套件由這些套件填實: elpa-vala-mode
vala-panel (0.5.0-1)
Desktop panel written in Vala and GTK+ 3
vala-panel-appmenu (0.7.6+dfsg1-3)
Application Menu plugin for vala-panel
vala-panel-appmenu-common (0.7.6+dfsg1-3)
Common files for Application Menu plugins
vala-panel-common (0.5.0-1)
Vala Panel - common files
vala-panel-plugins-base (0.5.0-1)
Plugins for vala-panel - non-X11 plugins
vala-panel-plugins-wnck (0.5.0-1)
Plugins for vala-panel - X11 plugins
vala-sntray-plugin (0.4.13.1-1)
plugin for xfce4-panel to show StatusNotifierItems - Vala
valabind (1.8.0-1)
converts vapi files to SWIG, C++, GIR or GEAR files
valac (0.48.17-1)
C# like language for the GObject system
valac-0.48-vapi (0.48.17-1)
C# like language for the GObject system - vapi files
valac-bin (0.48.17-1)
internal package for C# like language for the GObject system
valac-vapi
本虛擬套件由這些套件填實: valac-0.48-vapi
valadoc (0.48.17-1)
API documentation generator for vala
valentina (0.7.47~dfsg-1)
pattern making program
valentina-l10n (0.7.47~dfsg-1)
pattern making program - locale data
valgrind (1:3.16.1-1)
instrumentation framework for building dynamic analysis tools
valgrind-dbg (1:3.16.1-1)
instrumentation framework for building dynamic analysis tools (debug)
valgrind-mpi (1:3.16.1-1)
instrumentation framework for building dynamic analysis tools (MPI module)
validns (0.8+git20160720-3.1)
high performance DNS/DNSSEC zone validator
valinor (1.1.4-6)
generate IDE project files to debug ELF files
vamp-examples (2.10.0-1)
example Vamp plugins, host and RDF generator
vamp-plugin-sdk (2.10.0-1)
audio analysis and feature extraction plugins (SDK)
vamp-plugin-sdk-doc (2.10.0-1)
audio analysis and feature extraction plugins (API documentation)
vamps (0.99.2-4+b4)
Tool to recompress and modify the structure of a DVD
vanguards (0.3.1-2.1)
Additional protections for Tor Onion Services
variety (0.8.5-1)
Wallpaper changer, downloader and manager
varlink-go (0.4.0-1+b6)
Varlink interface generator utility
varmon (1.2.1-2)
VA RAID monitor
varna (3-93+ds-3)
Visualization Applet for RNA
varnish (6.5.1-1+deb11u3)
state of the art, high-performance web accelerator
varnish-doc (6.5.1-1+deb11u3)
documentation for Varnish Cache
varnish-modules (0.16.0-2.1)
Varnish module collection
varnishabi-12.0
本虛擬套件由這些套件填實: varnish
varnishabi-strict-1dae23376bb5ea7a6b8e9e4b9ed95cdc9469fb64
本虛擬套件由這些套件填實: varnish
varscan (2.4.3+dfsg-3) [non-free]
variant detection in next-generation sequencing data
vast (2021.02.24-1)
network telemetry engine for data-driven security investigations
vbackup (1.0.1-1.1)
modular backup utility
vbetool (1.1-5)
run real-mode video BIOS code to alter hardware state
vbindiff (3.0-beta5-1+b1)
visual binary diff, visually compare binary files
vblade (25-1)
virtual AoE blade emulator
vboot-kernel-utils (0~R88-13597.B-1)
Chrome OS verified boot utils required to sign kernels
vboot-utils (0~R88-13597.B-1)
Chrome OS verified u-boot utilities
vbrfix (0.24+dfsg-1)
corrects MP3 files that have incorrect VBR information
vc-dev (1.3.3-4)
Library to ease explicit vectorization of C++ code
vcdimager (2.0.1+dfsg-5)
VideoCD (VCD) image mastering and ripping tool
vcfanno (0.3.2+ds-2+b6)
annotate a VCF with other VCFs/BEDs/tabixed files
vcfanno-examples (0.3.2+ds-2)
examples for vcfanno: annotate a VCF with other VCFs/BEDs/tabixed files
vcftools (0.1.16-2)
Collection of tools to work with VCF files
vcheck (1.2.1-7.1)
Utility to check and download the most recent program version
vclt-tools (0.1.4-8)
Collection of tools to create and manipulate VCLT playlists
vcmi (0.99+dfsg+git20190113.f06c8a87-2+b1) [contrib]
Rewrite of the Heroes of Might and Magic 3 game engine
vco-plugins (0.3.0-5+b1 [amd64], 0.3.0-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LADSPA plugin sporting anti-aliased oscillators
vcsh (1.20190621-5)
Version Control System for $HOME - multiple Git repositories in $HOME
vde-switch (2.3.2+r586-7)
Virtual Distributed Ethernet. Virtual Switch command.
vde-wirefilter (2.3.2+r586-7)
Virtual Distributed Ethernet. wirefilter command.
vde2 (2.3.2+r586-7)
Virtual Distributed Ethernet
vde2-cryptcab (2.3.2+r586-7)
Virtual Distributed Ethernet - CryptCab
vdens (0.2-1)
Create User Namespaces.
vdeplug (4.0.1-2)
Virtual Distributed Ethernet: A modular virtual plug to the VDE
vdesk (1.2-5+b1)
manages virtual desktops for minimal window managers
vdetelweb (1.2.1-3)
Telnet and Web interface for VDE 2.x
vdirsyncer (0.16.8-2)
Synchronize calendars and contacts
vdirsyncer-doc (0.16.8-2)
Synchronize calendars and contacts - documentation
vdjtools (1.2.1+git20190311-5) [non-free]
framework for post-analysis of B/T cell repertoires
vdk2-tutorial (1.1-3.1)
Tutorial for the Visual Development Kit C++ library 2
vdpau-driver
本虛擬套件由這些套件填實: nvidia-tesla-418-vdpau-driver, mesa-vdpau-drivers, nvidia-vdpau-driver, nvidia-tesla-470-vdpau-driver, nvidia-tesla-450-vdpau-driver, libvdpau-va-gl1, nvidia-legacy-390xx-vdpau-driver
vdpau-driver-all (1.4-3)
Video Decode and Presentation API for Unix (driver metapackage)
vdpauinfo (1.4-2)
Video Decode and Presentation API for Unix (vdpauinfo utility)
vdr (2.4.1-4.1)
Video Disk Recorder for DVB cards
vdr-abi-2.4.1-debian
本虛擬套件由這些套件填實: vdr
vdr-dev (2.4.1-4.1)
Video Disk Recorder plugin development files
vdr-plugin-dvbhddevice (2.2.0-13)
Plugin that adds support for full featured HD-DVB cards to VDR
vdr-plugin-dvbsddevice (2.2.0-13)
Plugin that adds support for full featured SD-DVB cards to VDR
vdr-plugin-dvd (0.3.6~b03+cvs20090426.0013-28)
DVD playback plugin for VDR
vdr-plugin-epgsearch (2.4.0+git20191101-1)
VDR plugin that provides extensive EPG searching capabilities
vdr-plugin-epgsync (1.0.1-6)
VDR plugin for EPG synchronization between VDR systems
vdr-plugin-examples (2.4.1-4.1)
Plugins for vdr to show some possible features
vdr-plugin-femon (2.4.0-3)
DVB frontend status monitor plugin for VDR
vdr-plugin-fritzbox (1.5.3-9+b2)
VDR plugin to access certain functions of an AVM Fritz!Box
vdr-plugin-games (0.6.3-46.1)
VDR plugin providing OSD games like tetris, snake and more
vdr-plugin-live (2.3.1-5)
Web administration plugin for VDR
vdr-plugin-mp3 (0.10.2-25)
MP3 playback plugin for VDR
vdr-plugin-mplayer (0.10.2-25)
MPlayer playback plugin for VDR
vdr-plugin-osdserver (0.1.3-20)
VDR plugin to provide other programs access to the OSD
vdr-plugin-osdteletext (0.9.7-4)
Teletext plugin for VDR
vdr-plugin-remote (0.7.0-4)
VDR Plugin to support the built-in remote control port of DVB-Cards
vdr-plugin-satip (2.4.0-4)
SAT>IP plugin for VDR
vdr-plugin-skinenigmang (0.1.2+git20190720-2)
Skin plugin for VDR
vdr-plugin-streamdev-client (0.6.1+git20180514-3)
VDR Plugin to stream Live-TV to other VDR's - client part
vdr-plugin-streamdev-server (0.6.1+git20180514-3)
VDR Plugin to stream Live-TV to other VDR's - server part
vdr-plugin-svdrpext (1.0.0-9)
Transitional dummy package
vdr-plugin-svdrpext
本虛擬套件由這些套件填實: vdr-plugin-svdrposd
vdr-plugin-svdrposd (1.0.0-9)
VDR plugin that extends the SVDRP command set of VDR
vdr-plugin-svdrpservice (1.0.0-8)
VDR plugin that provides a SVDRP service for other plugins
vdr-plugin-vcd (0.9-28)
VDR Plugin for playing (S)VCD's
vdr-plugin-vnsiserver (1:1.8.0-3)
VDR plugin to provide PVR backend services for Kodi
vdr-plugin-weather (0.2.1e-70)
Weather plugin for VDR
vdr-plugin-xine (0.9.4-17)
allows xine to be a display for vdr, the Video Disk Recorder
vdr-plugin-xineliboutput (2.1.0+git20191101-1.1)
VDR plugin for Xine based sofdevice frontends
vdradmin
本虛擬套件由這些套件填實: vdradmin-am
vdradmin-am (3.6.10-4.1)
Web-based administration tool for vdr
vectoroids (1.1.0-15+b1 [amd64], 1.1.0-15 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
vector-based rock-shooting
velocity (1.7-6)
Java-based template engine for web application
velocity-doc (1.7-6)
Documentation for velocity
velvet (1.2.10+dfsg1-7)
Nucleic acid sequence assembler for very short reads
velvet-example (1.2.10+dfsg1-7)
Example data for the Velvet sequence assembler
velvet-long (1.2.10+dfsg1-7)
Nucleic acid sequence assembler for very short reads, long version
velvet-tests (1.2.10+dfsg1-7)
Test data for the Velvet sequence assembler
velvetoptimiser (2.2.6-3)
automatically optimise Velvet do novo assembly parameters
vera (1.24-1)
Dictionary of computer related acronyms -- info format
vera++ (1.2.1-2+b7 [amd64, armel, armhf, i386, mipsel, s390x], 1.2.1-2+b6 [arm64, ppc64el], 1.2.1-2+b5 [mips64el])
Programmable verification and analysis tool for C++
verbiste (0.1.47-1)
French and Italian conjugator
verbiste-el (0.1.47-1)
transitional package, verbiste-el to elpa-verbiste
verbiste-gnome (0.1.47-1)
French and Italian conjugator - GNOME interface
verbiste-gtk (0.1.47-1)
French and Italian conjugator - GTK app
verilator (4.038-1)
fast free Verilog simulator
verilog
本虛擬套件由這些套件填實: iverilog
veroroute (2.06-1)
Veroboard, Perfboard, and PCB layout and routing application
veroroute-data (2.06-1)
Veroboard, Perfboard, and PCB layout and routing application (data package)
verse (0.22.8)
Daily Devotional Verse from KJV Bible
veusz (3.3.1-1)
2D and 3D scientific plotting application with graphical interface
veyon-configurator (4.5.3+repack1-1)
Computer Monitoring and Classroom Management Software - configurator
veyon-master (4.5.3+repack1-1)
Computer Monitoring and Classroom Management Software - master
veyon-plugins (4.5.3+repack1-1)
Computer Monitoring and Classroom Management Software - plugins
veyon-service (4.5.3+repack1-1)
Computer Monitoring and Classroom Management Software - client service
vf1 (0.0.11-2)
command-line gopher client
vfdata-morisawa5
本虛擬套件由這些套件填實: texlive-lang-japanese
vflib3 (3.6.14.dfsg-3+nmu5)
Versatile Font Library
vflib3-bin (3.6.14.dfsg-3+nmu5)
Sample programs using VFlib3
vflib3-dev (3.6.14.dfsg-3+nmu5)
Development files for VFlib3
vflib3-doc (3.6.14.dfsg-3+nmu5)
Documentation for VFlib3
vfu (4.21-1)
Versatile text-based file-manager
vg (1.30.0+ds-1+b1)
tools for working with genome variation graphs
vg-docs (1.30.0+ds-1)
tools for working with genome variation graphs -- docs
vgabios (0.7b+ds-1)
VGA BIOS software for the Bochs emulated VGA card
vgrabbj (0.9.9-3+b1 [amd64], 0.9.9-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
grabs an image from a camera and puts it in jpg/png format
vice (3.5.0.dfsg-3) [contrib]
Versatile Commodore Emulator
victoria-metrics (1.53.1+ds-1+b5)
fast, cost-effective and scalable time series database
videogen (0.33-8)
Create arbitrary-res modelines using hardware parameters
videotrans (1.6.1-8)
DVD authoring utilities
vienna-rna (2.4.17+dfsg-2) [non-free]
RNA sequence analysis
view3dscene (3.18.0-4)
VRML / X3D browser, and a viewer for other 3D model formats
viewnior (1.6-1+b2)
simple, fast and elegant image viewer
viewpdf.app (1:0.2dfsg1-7+b1)
Portable Document Format (PDF) viewer for GNUstep
vifm (0.10.1-4)
flexible vi-like file manager using ncurses
vigor (0.016-28)
nvi with the evil paperclip
viking (1.8-4+b1)
GPS data editor, analyzer and viewer
vile (9.8u-2)
VI Like Emacs - vi work-alike
vile-common (9.8u-2)
VI Like Emacs - support files for vile/xvile
vile-filters (9.8u-2)
VI Like Emacs - highlighting filters for vile/xvile
vilistextum (2.6.9-1.3)
a HTML to text converter
vim (2:8.2.2434-3+deb11u1)
Vi IMproved - 加強版 vi 編輯器
vim (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-gtk3, vim-nox, vim-athena
vim-addon-manager (0.5.10)
manager of addons for the Vim editor
vim-addon-mw-utils (0.2-4)
Vim funcref library
vim-airline (0.11-1)
Lean & mean status/tabline for vim that's light as air
vim-airline-themes (0+git.20180730-6e798f9-1.1)
official theme collection for vim-airline
vim-ale (3.0.0-1)
Asynchronous Lint Engine for Vim 8 and NeoVim
vim-asciidoc (9.0.0~rc2-1)
Vim syntax highlighting files for asciidoc
vim-athena (2:8.2.2434-3+deb11u1)
Vi IMproved - enhanced vi editor - with Athena GUI
vim-autopep8 (1.2.0-2)
vim plugin to apply autopep8
vim-bitbake (0~git20200915-1)
Vim plugin to interact with Yocto bitbake-based recipes
vim-command-t (5.0.2-5-g7147ba9-1+b3 [amd64], 5.0.2-5-g7147ba9-1+b2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
open files with a minimum number of keystrokes
vim-common (2:8.2.2434-3+deb11u1)
Vi IMproved - Common files
vim-ctrlp (1.81-1)
fuzzy file, buffer, mru, tag, etc. finder for Vim
vim-doc (2:8.2.2434-3+deb11u1)
Vi IMproved - HTML documentation
vim-editorconfig (0.3.3+dfsg-2.1)
EditorConfig Plugin for Vim
vim-erlang
本虛擬套件由這些套件填實: vim-vimerl
vim-erlang-syntax
本虛擬套件由這些套件填實: vim-vimerl-syntax
vim-fugitive (3.2-1)
Vim plugin to work with Git
vim-gitgutter (0~20200414-2)
Vim plugin which shows a git diff in the sign column
vim-gtk (2:8.2.2434-3+deb11u1)
Vi IMproved - enhanced vi editor (dummy package)
vim-gtk3 (2:8.2.2434-3+deb11u1)
Vi IMproved - enhanced vi editor - with GTK3 GUI
vim-gui-common (2:8.2.2434-3+deb11u1)
Vi IMproved - Common GUI files
vim-haproxy (2.2.9-2+deb11u6)
syntax highlighting for HAProxy configuration files
vim-icinga2 (2.12.3-1)
syntax highlighting for Icinga 2 config files in VIM
vim-julia (0.0~git20201014.a4bc8a2-1)
Vim support for Julia language
vim-khuno (1.0.3-3)
Python flakes Vim plugin
vim-lastplace (3.1.1-2)
Vim script to reopen files at your last edit position
vim-latexsuite (1:1.10.0-1)
view, edit and compile LaTeX documents from within Vim
vim-ledger (1.2.0-1)
Vim plugin for Ledger
vim-lua (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-gtk3, vim-nox, vim-athena
vim-migemo (1:1.2+gh0.20150404-7.1)
VIM plugin for C/Migemo
vim-nox (2:8.2.2434-3+deb11u1)
Vi IMproved - enhanced vi editor - with scripting languages support
vim-pathogen (2.4-5)
Manage your runtimepath with ease
vim-perl (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-gtk3, vim-athena, vim-nox
vim-puppet (4~20181115+git4793b074-1.1)
syntax highlighting for puppet manifests in vim
vim-python-jedi (0.18.0-1)
autocompletion tool for Python - VIM addon files
vim-python3
本虛擬套件由這些套件填實: python3-pynvim
vim-python3 (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-gtk3, vim-athena, vim-nox
vim-rails (4.5~20110829-2)
vim development tools for Rails development
vim-ruby (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-athena, vim-nox, vim-gtk3
vim-runtime (2:8.2.2434-3+deb11u1)
Vi IMproved - Runtime files
vim-scripts (20210124.1)
plugins for vim, adding bells and whistles
vim-snipmate (0.87-6)
Vim script that implements some of TextMate's snippets features.
vim-snippets (1.0.0-7)
Snippets files for various programming languages.
vim-solarized (0~git110509-3)
Solarized Colorscheme for Vim
vim-syntastic (3.10.0-2)
Syntax checking hacks for vim
vim-syntax-gtk (20110314-1.1)
Syntax files to highlight GTK+ keywords in vim
vim-tabular (1.0-6)
Vim script for text filtering and alignment
vim-tcl (= 2:8.2.2434-3+deb11u1)
本虛擬套件由這些套件填實: vim-nox, vim-athena, vim-gtk3
vim-textobj-user (0.7.6-2)
Vim plugin for user-defined text objects
vim-tiny (2:8.2.2434-3+deb11u1)
Vi IMproved - enhanced vi editor - compact version
vim-tjp (3.7.1-1)
vim addon for TaskJuggler .tjp files
vim-tlib (1.27-5)
Some vim utility functions
vim-ultisnips (3.1-3.1)
snippet solution for Vim
vim-vader (0.3.0+git20200213.6fff477-2)
simple vimscript test framework
vim-vimerl (1.4.1+git20120509.89111c7-2.1)
Erlang plugin for Vim
vim-vimerl-syntax (1.4.1+git20120509.89111c7-2.1)
Erlang syntax for Vim
vim-voom (5.3-8)
Vim two-pane outliner
vim-youcompleteme (0+20200825+git2afee9d+ds-2)
fast, as-you-type, fuzzy-search code completion engine for Vim
vinagre (3.22.0-8.1)
remote desktop client for the GNOME Desktop
vinetto (1:0.8.0-3)
forensics tool to examine Thumbs.db files
vino (3.22.0-6)
VNC server for GNOME
vip-manager (1.0.1-4)
Patroni virtual IP manager
virgl-server (0.8.2-5+deb11u1)
virtual GPU for KVM virtualization - vtest server used
virt-manager (1:3.2.0-3)
desktop application for managing virtual machines
virt-p2v (1.42.0-2)
physical-to-virtual machine converter
virt-viewer (7.0-2)
Displaying the graphical console of a virtual machine
virt-what (1.19-1)
detect if we are running in a virtual machine
virtaal (0.7.1+git20191021+ds1-2)
graphical localisation editor and multi-format translation tool
virtinst (1:3.2.0-3)
utilities to create and edit virtual machines
virtual-mysql-client
本虛擬套件由這些套件填實: mariadb-client-10.5
virtual-mysql-client-core
本虛擬套件由這些套件填實: mariadb-client-core-10.5
virtual-mysql-server
本虛擬套件由這些套件填實: mariadb-server-10.5
virtual-mysql-server-core
本虛擬套件由這些套件填實: mariadb-server-core-10.5
virtual-mysql-testsuite
本虛擬套件由這些套件填實: mariadb-test
virtualbox-guest-additions-iso (6.1.22-1) [non-free]
guest additions iso image for VirtualBox
virtualbox-guest-modules
本虛擬套件由這些套件填實: linux-image-686-pae, linux-image-cloud-amd64, linux-image-rt-686-pae, linux-image-amd64, linux-image-rt-amd64, linux-image-686
virtualenv (20.4.0+ds-2+deb11u1)
Python virtual environment creator (Dependency package)
virtualenvwrapper (4.8.4-4)
extension to virtualenv for managing multiple environments
virtualenvwrapper-doc (4.8.4-4)
extension to virtualenv for managing multiple environments (docs)
virtualjaguar (2.1.3-2+b3)
Cross-platform Atari Jaguar emulator
virtuoso-minimal (7.2.5.1+dfsg1-0.1)
high-performance database - core dependency package
virtuoso-opensource (7.2.5.1+dfsg1-0.1)
high-performance database
virtuoso-opensource-7 (7.2.5.1+dfsg1-0.1)
high-performance database - support files
virtuoso-opensource-7-bin (7.2.5.1+dfsg1-0.1)
high-performance database - binaries
virtuoso-opensource-7-common (7.2.5.1+dfsg1-0.1)
high-performance database - common files
virtuoso-server (7.2.5.1+dfsg1-0.1)
high-performance database - server dependency package
virtuoso-vad-bpel (7.2.5.1+dfsg1-0.1)
high-performance database - BPEL module
virtuoso-vad-conductor (7.2.5.1+dfsg1-0.1)
high-performance database - conductor module
virtuoso-vad-demo (7.2.5.1+dfsg1-0.1)
high-performance database - demo module
virtuoso-vad-doc (7.2.5.1+dfsg1-0.1)
high-performance database - documentation module
virtuoso-vad-isparql (7.2.5.1+dfsg1-0.1)
high-performance database - iSPARQL module
virtuoso-vad-ods (7.2.5.1+dfsg1-0.1)
high-performance database - Open Data Spaces module
virtuoso-vad-rdfmappers (7.2.5.1+dfsg1-0.1)
high-performance database - RDF mappers module
virtuoso-vad-sparqldemo (7.2.5.1+dfsg1-0.1)
high-performance database - SPARQL demo module
virtuoso-vad-syncml (7.2.5.1+dfsg1-0.1)
high-performance database - SyncML module
virtuoso-vad-tutorial (7.2.5.1+dfsg1-0.1)
high-performance database - tutorial module
virtuoso-vsp-startpage (7.2.5.1+dfsg1-0.1)
high-performance database - web interface files
virulencefinder (2.0.3+git20190809.dde157a-3)
identify virulence genes in total or partial sequenced isolates of bacteria
virulencefinder-examples (2.0.3+git20190809.dde157a-3)
example data for virulencefinder
viruskiller (1.03-1+dfsg1-2)
Game about viruses invading your computer
vis (0.7-2)
Modern, legacy free, simple yet efficient vim-like editor
vish (0.0.20130812-1+b1 [amd64, mips64el, ppc64el], 0.0.20130812-1 [arm64, armel, armhf, i386, mipsel, s390x])
Commandline interface for Virtual Instrument Software Architecture
visidata (2.2.1-1)
rapidly explore columnar data in the terminal
vislcg3
本虛擬套件由這些套件填實: cg3
visolate (2.1.6~svn8+dfsg1-1.1)
tool for engraving PCBs using CNCs
visp-images-data (3.3.0-1)
visual servoing library - dataset reference files
vistrails (3.0~git+9dc22bd-2)
Science visualisation workflow toolkit
visual-regexp (3.1-5.1)
Interactively debug regular expressions
visualboyadvance (1.8.0.dfsg-5+b1 [amd64], 1.8.0.dfsg-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
full featured Game Boy Advance emulator
visualvm (2.0.6+dfsg-1)
All-in-One Java Troubleshooting Tool
vit (2.0.0-3)
full-screen terminal interface for Taskwarrior
vitables (3.0.0-1.1)
graphical tool to browse and edit PyTables and HDF5 files
vite (1.2+svn+git4.c6c0ce7-3)
Efficient visual trace explorer
vitetris (0.59.1-1)
Virtual terminal *tris clone
vitrage-api (7.3.0-2)
OpenStack RCA as a Service - API server
vitrage-collector (7.3.0-2)
OpenStack RCA as a Service - Collector service
vitrage-common (7.3.0-2)
OpenStack RCA as a Service - metapackage
vitrage-doc (7.3.0-2)
OpenStack RCA as a Service - documentation
vitrage-graph (7.3.0-2)
OpenStack RCA as a Service - Graph service
vitrage-ml (7.3.0-2)
OpenStack RCA as a Service - Machine Learning Service
vitrage-notifier (7.3.0-2)
OpenStack RCA as a Service - Notifier Service
vitrage-persistor (7.3.0-2)
OpenStack RCA as a Service - Persistor Service
vitrage-snmp-parsing (7.3.0-2)
OpenStack RCA as a Service - SNMP Parsing Service
vitrage-tempest-plugin (5.1.0-2)
OpenStack Integration Test Suite - Vitrage plugin
vkbasalt (0.3.2.4-1)
Vulkan post processing layer to enhance the visual graphics of games
vkd3d-demos (1.1-5)
Direct3D 12 to Vulkan translation - demos
vkeybd (1:0.1.18d-3)
Virtual MIDI Keyboard
vlan (2.0.5)
ifupdown integration for vlan configuration
vlc (3.0.20-0+deb11u1)
multimedia player and streamer
vlc-bin (3.0.20-0+deb11u1)
binaries from VLC
vlc-data (3.0.20-0+deb11u1)
common data for VLC
vlc-l10n (3.0.20-0+deb11u1)
translations for VLC
vlc-plugin-abi-3-0-0f
本虛擬套件由這些套件填實: libvlccore9
vlc-plugin-access-extra (3.0.20-0+deb11u1)
multimedia player and streamer (extra access plugins)
vlc-plugin-base (3.0.20-0+deb11u1)
multimedia player and streamer (base plugins)
vlc-plugin-bittorrent (2.12-2)
Bittorrent plugin for VLC
vlc-plugin-fluidsynth (3.0.20-0+deb11u1)
FluidSynth plugin for VLC
vlc-plugin-jack (3.0.20-0+deb11u1)
JACK audio plugins for VLC
vlc-plugin-notify (3.0.20-0+deb11u1)
LibNotify plugin for VLC
vlc-plugin-qt (3.0.20-0+deb11u1)
multimedia player and streamer (Qt plugin)
vlc-plugin-samba (3.0.20-0+deb11u1)
Samba plugin for VLC
vlc-plugin-skins2 (3.0.20-0+deb11u1)
multimedia player and streamer (Skins2 plugin)
vlc-plugin-svg (3.0.20-0+deb11u1)
SVG plugin for VLC
vlc-plugin-video-output (3.0.20-0+deb11u1)
multimedia player and streamer (video output plugins)
vlc-plugin-video-splitter (3.0.20-0+deb11u1)
multimedia player and streamer (video splitter plugins)
vlc-plugin-visualization (3.0.20-0+deb11u1)
multimedia player and streamer (visualization plugins)
vlevel (0.5.1-3+b1 [amd64], 0.5.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Levelling audio filter as command-line tool and LADSPA/JACK plugin
vlock (2.2.2-10)
Virtual Console locking program
vlogger (1.3-4.1)
virtual web logfile rotater/parser
vm (8.2.0b-7)
mail user agent for Emacs
vmatch (2.3.1+dfsg-6)
large scale sequence analysis software
vmdb2 (0.22-1)
creator of disk images with Debian installed
vmdk-stream-converter (0.2-7)
transitional dummy package
vmfs-tools (0.2.5-1+b2)
Tools to access VMFS filesystems
vmfs6-tools (0.1.0-3)
Tools to access VMFS6 filesystems
vmg (3.7.1-5)
Virtual Magnifying Glass
vmpk (0.7.2-1+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.7.2-1 [armel, armhf])
Virtual MIDI Piano Keyboard
vmtouch (1.3.1-2)
Portable file system cache diagnostics and control
vmware-manager (0.2.0-4) [contrib]
utility to manage VMware virtual machines
vnc-server
本虛擬套件由這些套件填實: tigervnc-standalone-server, tigervnc-xorg-extension, tigervnc-scraping-server, tightvncserver
vnc-viewer
本虛擬套件由這些套件填實: xtightvncviewer, tightvnc-java, tigervnc-viewer
vnc-xorg-extension
本虛擬套件由這些套件填實: tigervnc-xorg-extension
vncsnapshot (1.2a-5.1+b2)
A utility that takes JPEG snapshots from VNC servers
vncviewer
本虛擬套件由這些套件填實: xtightvncviewer, tigervnc-viewer
vnlog (1.31-1)
Toolkit to read/write/manipulate whitespace-separated ASCII logs
vnstat (2.6-3)
console-based network traffic monitor
vnstati (2.6-3)
image output support for vnStat
vobcopy (1.2.0-10)
Tool to copy DVD VOBs to hard disk
vocproc (0.2.1-2+b1 [amd64], 0.2.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
LV2 plugin for pitch shifting and vocoding
voctomix (1.3-5)
Full-HD Software Live-Video-Mixer
voctomix-core (1.3-5)
Full-HD Software Live-Video-Mixer (Core)
voctomix-gui (1.3-5)
Full-HD Software Live-Video-Mixer (GUI)
voctomix-outcasts (0.9.3-2)
Additional scripts to be used with Voctomix
vodovod (1.10-5)
puzzle game, you must lead the water to the storage tank
voikko-fi (2.4-1)
Description of Finnish morphology written for libvoikko
vokoscreen (3.0.7-1)
easy to use screencast creator (transitional package)
vokoscreen
本虛擬套件由這些套件填實: vokoscreen-ng
vokoscreen-ng (3.0.7-1)
easy to use screencast creator
voltron (0.1.7+git20200109-1.1)
Hacky debugger UI for hackers
volume-key (0.3.12-3.1+b1)
Utility for manipulating storage encryption keys and passphrases
volumecontrol.app (0.8-1+b1)
Audio mixer for GNUstep
volumeicon-alsa (0.5.1+git20170117-1+b1 [amd64], 0.5.1+git20170117-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
systray volume icon for alsa
voms-clients (2.1.0~rc0-6+b2)
Virtual Organization Membership Service Clients
voms-clients-java (3.3.2-1)
Virtual Organization Membership Service Java clients
voms-dev (2.1.0~rc0-6+b2)
Virtual Organization Membership Service C++ API Development Files
voms-doc (2.1.0~rc0-6)
Virtual Organization Membership Service Documentation Files
voms-mysql-plugin (3.1.7-2+b1)
VOMS server plugin for MySQL
voms-server (2.1.0~rc0-6+b2)
Virtual Organization Membership Service Server
vonsh (1.0+b1 [amd64], 1.0 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Snake-like game with line of people running around to get more food
vor (0.5.8-1)
2D action game about dodging rocks in space
vorbis-tools (1.4.0-11+b1)
several Ogg Vorbis tools
vorbisgain (0.37-2+b1)
add Replay Gain volume tags to Ogg Vorbis files
voro++ (0.5+revert-to-0.4.6+dfsg1-1)
library for the computation of the Voronoi diagram
voro++-dev (0.5+revert-to-0.4.6+dfsg1-1)
library for the computation of the Voronoi diagram (dev files)
voro++-examples (0.5+revert-to-0.4.6+dfsg1-1)
library for the computation of the Voronoi diagram (examples)
voronota (1.22.3149-1)
Voronoi diagram-based tool to find atom contacts
vorta (0.7.5-1)
Desktop Client for Borg Backup
votca-csg (1.6.4-1)
VOTCA's coarse-graining engine
votca-csg-scripts (1.6.4-1)
VOTCA's coarse-graining scripts
votca-csg-tutorials (1.6.4-1)
VOTCA's coarse-graining tutorials
votca-tools (1.6.4-1)
VOTCA's tools library, helper binaries
votca-xtp (1.6.4-1)
VOTCA's exciton transport engine
votca-xtp-tutorials (1.6.4-1)
VOTCA's coarse-graining tutorials
vpb-driver-source (4.2.61-1.2)
Voicetronix telephony hardware driver source
vpb-utils (4.2.61-1.2)
Voicetronix telephony hardware userspace tools
vpcs (0.5b2-2.2)
virtual PC simulator for Dynamips
vpnc (0.5.3+git20210125-1)
Cisco-compatible VPN client
vpnc-scripts (0.1~git20200930-1)
Network configuration scripts for VPNC and OpenConnect
vpoll-dkms (0.1-2)
vpoll device: generate events for poll/select/ppoll/pselect/epoll
vprerex (1:6.5.1-1+b2 [amd64], 1:6.5.1-1+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 1:6.5.1-1 [armel, armhf])
Qt interface to prerex, a course prerequisite chart editor
vpx-tools (1.9.0-1+deb11u2)
VP8 and VP9 video codec encoding/decoding tools
vramsteg (1.1.0-1+b1)
provide shell scripts with a full-featured progress indicator
vrfy (990522-11)
verify electronic mail addresses
vrfydmn (0.11.0-1)
Milter for ensuring email message from matches mail from
vrms (1.27)
virtual Richard M. Stallman
vrrender (20.2.0-2)
DICOM viewer
vrrpd (1.0-2+b2)
Virtual Router Redundancy Protocol user-space implementation
vsd2odg (0.9.6-2)
Visio to OpenDocument converter
vsdump (0.0.45-1+b2)
Convert Microsoft Visio diagrams .vsd, .vss
vsearch (2.15.2-3)
tool for processing metagenomic sequences
vsearch-examples (2.15.2-3)
Test Data for vsearch tool for processing metagenomic sequences
vsftpd (3.0.3-12+b1 [amd64], 3.0.3-12 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lightweight, efficient FTP server written for security
vsftpd-dbg (3.0.3-12+b1 [amd64], 3.0.3-12 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
lightweight, efficient FTP server written for security (debug)
vsmartcard-vpcd (3.3+dfsg-2)
Smart card reader driver for PCSC-lite
vsmartcard-vpicc (3.3+dfsg-2)
Smartcard emulator in Python
vspline-dev (1.0.2-1)
header-only C++ template library for b-spline processing
vst-plugin
本虛擬套件由這些套件填實: amsynth
vstream-client (1.2-6.1+b2)
library and utility to fetch media streams from a TIVO
vstream-client-dev (1.2-6.1+b2)
Development headers for vstream-client
vt (0.57721+ds-3)
toolset for short variant discovery in genetic sequence data
vt-examples (0.57721+ds-3)
toolset for short variant discovery in genetic sequence data (examples)
vtable-dumper (1.2-1)
tool to list content of virtual tables in a C++ shared library
vtgamma (0.4-2)
gamma correction for terminals
vtgrab (0.1.8-3.2)
A VNC like console monitoring
vtk-dicom-tools (0.8.12-4)
DICOM for VTK - tools
vtk7 (7.1.1+dfsg2-8)
Binaries for VTK7
vtk7-doc (7.1.1+dfsg2-8)
VTK class reference documentation
vtk7-examples (7.1.1+dfsg2-8)
VTK examples
vtk9 (9.0.1+dfsg1-8)
Binaries for VTK9
vtk9-doc (9.0.1+dfsg1-8)
VTK class reference documentation
vtk9-examples (9.0.1+dfsg1-8)
VTK examples
vtprint (2.0.2-14)
Prints to term emulator via ANSI codes
vttest (2.7+20201225-1)
tool for testing VT100 compatibility of terminals
vtun (3.0.4-2)
virtual tunnel over TCP/IP networks
vtwm (5.4.7-5+b1 [amd64], 5.4.7-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Virtual Tab Window Manager
vulkan-icd
本虛擬套件由這些套件填實: mesa-vulkan-drivers, nvidia-legacy-390xx-nonglvnd-vulkan-icd, nvidia-tesla-418-nonglvnd-vulkan-icd, nvidia-tesla-418-vulkan-icd, nvidia-tesla-470-vulkan-icd, nvidia-tesla-450-vulkan-icd, nvidia-legacy-390xx-vulkan-icd, nvidia-vulkan-icd
vulkan-tools (1.2.162.0+dfsg1-1)
Miscellaneous Vulkan utilities
vulkan-validationlayers (1.2.162.0-1)
Vulkan validation layers
vulkan-validationlayers-dev (1.2.162.0-1)
Vulkan validation layers -- development files
vuls (0.6.1-3+b4)
Vulnerability scanner for Linux/FreeBSD, agentless, written in Go
vulture (2.3-1)
scans for unused ("dead") code in a Python program
vuze
本虛擬套件由這些套件填實: biglybt
vxi-dev (0.0.20121221-2+b1)
Development files for the VXI-11 RPC protocol
vym (2.6.11-3+b2 [amd64], 2.6.11-3+b1 [arm64, i386, mips64el, mipsel, ppc64el, s390x], 2.6.11-3 [armel, armhf])
mindmapping tool
vzstats (0.5.3-2.1)
OpenVZ component to gather statistics to improve the project
w-scan (20170107-2.1)
Channel scanning tool for DVB and ATSC channels
w1retap (1.4.4-4)
Data logger for 1-Wire weather sensors
w1retap-doc (1.4.4-4)
Data logger for 1-Wire weather sensors (docs)
w1retap-mongo (1.4.4-4)
Data logger for 1-Wire weather sensors (MongoDB plugin)
w1retap-mysql (1.4.4-4)
Data logger for 1-Wire weather sensors (MySQL plugin)
w1retap-odbc (1.4.4-4)
Data logger for 1-Wire weather sensors (ODBC plugin)
w1retap-pgsql (1.4.4-4)
Data logger for 1-Wire weather sensors (PostgreSQL plugin)
w1retap-sqlite (1.4.4-4)
Data logger for 1-Wire weather sensors (SQLite plugin)
w2do (2.3.1-8)
simple text-based todo manager
w3-dtd-mathml (2.0.0.0-5.1)
Mathematical Markup Language V2.0 DTD
w3-recs (20110107-1) [non-free]
Recommendations of the World Wide Web Consortium (W3C)
w3c-linkchecker (4.81-10)
tool to verify the links in a web page are still valid
w3c-markup-validator (1.3+dfsg-4)
W3C Markup Validator
w3c-sgml-lib (1.3-2)
w3.org DTD and catalog files
w3cam (0.7.2-6.2+b3)
a simple CGI to retrieve images from video4linux device
w3m (0.5.3+git20210102-6+deb11u1)
WWW browsable pager with excellent tables/frames support
w3m-el (1.4.632+0.20181112-9)
simple Emacs interface of w3m
w3m-el
本虛擬套件由這些套件填實: w3m-el-snapshot
w3m-el-snapshot (1.4.632+0.20210201.2305.54c3ccd-1)
simple Emacs interface of w3m (development version)
w3m-img (0.5.3+git20210102-6+deb11u1)
inline image extension support utilities for w3m
w9wm (0.4.2-8+b1)
enhanced window manager based on 9wm
waagent (2.2.47-2)
Windows Azure Linux Agent
wabt (1.0.20-1)
WebAssembly Binary Toolkit
wadc (3.0-1.1)
programming environment for creating Doom maps
waffle-utils (1.6.3-3)
Waffle library (utilities)
wafw00f (2.1.0-1)
identify and fingerprint Web Application Firewall products
wah-plugins (0.1.0-5)
auto-wah LADSPA plugin
wait-for-it (0.0~git20180723-1)
script that will wait on the availability of a host and TCP port
wakeonlan (0.41-12.1)
Sends 'magic packets' to wake-on-LAN enabled ethernet adapters
walldns (1:1.05-13+deb11u1)
reverse DNS wall
wallstreet (1.21-1)
fill your console with Wall Street-like news and stats
wamerican (2019.10.06-1)
/usr/share/dict 的美式英文字典語彙
wamerican-huge (2019.10.06-1)
American English dictionary words for /usr/share/dict
wamerican-insane (2019.10.06-1)
American English dictionary words for /usr/share/dict
wamerican-large (2019.10.06-1)
American English dictionary words for /usr/share/dict
wamerican-small (2019.10.06-1)
American English dictionary words for /usr/share/dict
wand-doc (0.6.5-1)
Python interface for ImageMagick library (documentation)
wannier90 (3.1.0+ds-4)
Maximally Localized Wannier Functions - executables
wannier90-data (3.1.0+ds-4)
Maximally Localized Wannier Functions - documentation and examples
wap-wml-tools (0.0.4-7+b1) [non-free]
Wireless Markup Language development and test tools
wapiti (3.0.4+dfsg-1)
web application vulnerability scanner
wapua (0.06.3-2)
Web browser for WAP WML pages
warmux (1:11.04.1+repack2-4)
turn-based artillery game on 2D maps
warmux-data (1:11.04.1+repack2-4)
data files for the WarMUX game
warmux-servers (1:11.04.1+repack2-4)
stand alone server and game index server for WarMUX
warzone2100 (3.3.0-4)
3D real time strategy game
warzone2100-data (3.3.0-4)
data files for warzone2100
warzone2100-music (3.3.0-4)
official music for warzone2100
wasi-libc (0.0~git20200731.215adc8-2)
WASI libc implementation for WebAssembly
watch
本虛擬套件由這些套件填實: procps
watch-maildirs (1.2.0-2.2+b1)
mswatch helper tools to watch maildirs and trigger on-demand syncs
watchcatd (1.2.1-3.1+b2 [mips64el], 1.2.1-3.1+b1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Process monitoring daemon
watchdog (5.16-1+b1 [amd64], 5.16-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
system health checker and software/hardware watchdog handler
watcher-api (5.0.0-1)
OpenStack Cloud Optimization as a Service - API server
watcher-applier (5.0.0-1)
OpenStack Cloud Optimization as a Service - Applier
watcher-common (5.0.0-1)
OpenStack Cloud Optimization as a Service - common files
watcher-decision-engine (5.0.0-1)
OpenStack Cloud Optimization as a Service - Decision Engine
watcher-doc (5.0.0-1)
OpenStack Cloud Optimization as a Service - doc
watcher-tempest-plugin (2.1.0-2)
OpenStack Integration Test Suite - Watcher plugin
watchman (4.9.0-5+b1)
File watching service
watson (1.8.0-0.1)
Helps you monitoring your time (Python 3)
wav2cdr (2.3.4-4)
Converts wav files into CD-ROM audio file format
wavbreaker (0.13-2)
tool to split wave files into multiple chunks
wavemon (0.9.1-1+b1 [amd64], 0.9.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Wireless Device Monitoring Application
wavesurfer (1.8.8p5-1.1)
Sound Manipulation Program
wavpack (5.4.0-1)
audio codec (lossy and lossless) - encoder and decoder
wavtool-pl (0.20150501-1+b1)
tool to concatenate wav files
waybar (0.9.5-2)
Highly customizable Wayland bar for Sway and Wlroots based compositors
wayland-protocols (1.20-1)
wayland compositor protocols
wayland-scanner++ (0.2.8-1)
wayland compositor infrastructure - C++ protocol code generator
waylandpp-dev (0.2.8-1)
wayland compositor infrastructure - C++ development files
wbar (2.3.4-10)
light and fast launch bar
wbar-config (2.3.4-10)
GUI tool to configure wbar
wbox (5-1+b2)
HTTP testing tool and configuration-less HTTP server
wbrazilian (3.0~beta4-23)
Brazilian Portuguese wordlist
wbritish (2019.10.06-1)
British English dictionary words for /usr/share/dict
wbritish-huge (2019.10.06-1)
British English dictionary words for /usr/share/dict
wbritish-insane (2019.10.06-1)
British English dictionary words for /usr/share/dict
wbritish-large (2019.10.06-1)
British English dictionary words for /usr/share/dict
wbritish-small (2019.10.06-1)
British English dictionary words for /usr/share/dict
wbulgarian (4.1-7)
Bulgarian dictionary words for /usr/share/dict
wcalc (2.5-3+b1)
Flexible command-line scientific calculator
wcanadian (2019.10.06-1)
Canadian English dictionary words for /usr/share/dict
wcanadian-huge (2019.10.06-1)
Canadian English dictionary words for /usr/share/dict
wcanadian-insane (2019.10.06-1)
Canadian English dictionary words for /usr/share/dict
wcanadian-large (2019.10.06-1)
Canadian English dictionary words for /usr/share/dict
wcanadian-small (2019.10.06-1)
Canadian English dictionary words for /usr/share/dict
wcatalan (0.20111230b-13)
Catalan dictionary words for /usr/share/dict
wcc (0.0.2+dfsg-4.1+b1)
Collection of tools to manipulate binaries and shared objects
wcd (6.0.3-2)
saves time typing when you want to change directories
wcslib-dev (7.4+ds-2)
Header files and static library for wcslib and pgsbox
wcslib-doc (7.4+ds-2)
API documentation for wcslib
wcslib-tools (7.4+ds-2)
Command line tools utilizing wcslib
wcstools (3.9.6-1)
Handle the WCS of a FITS image
wdanish (1.6.36-11.1)
The Comprehensive Danish Dictionary (DSDO) - wordlist
wdiff (1.2.2-2+b1)
Compares two files word by word
wdiff-doc (1.2.2-2)
Documentation for GNU wdiff
wdisplays (1.0-2)
graphical application for configuring displays in Wayland compositors
wdm (1.28-25)
WINGs Display Manager - an xdm replacement with a WindowMaker look
wdq2wav (1.0.0-1.1+b1) [contrib]
Converts a WinDAQ channel to sound .wav file
wdutch (1:2.20.19-2)
list of Dutch words
weasyprint (51-2)
Document factory for creating PDF files from HTML
weather-util (2.4.1-1)
command-line tool to obtain weather conditions and forecasts
weather-util-data (2.4.1-1)
optional correlation data for weather-util search feature
webapps-metainfo (0.1+nmu1)
Metadata for web applications
webauth-tests (4.7.0-8)
Tests for the WebAuth authentication modules
webauth-utils (4.7.0-8)
Command-line utilities for WebAuth authentication
webauth-weblogin (4.7.0-8)
Central login server for WebAuth authentication
webcam (3.107-1)
image grabber and uploader
webcamd (0.7.6+repack0-2)
Capture images from video devices
webcamoid (8.6.1+dfsg-2.1)
full featured webcam capture application
webcamoid-data (8.6.1+dfsg-2.1)
icons and locale files for webcamoid
webcamoid-plugins (8.6.1+dfsg-2.1)
full featured webcam capture application - plugins
webdeploy (1.0-2)
Deploy files using FTP
webdis (0.1.9+dfsg-1)
simple web server providing an HTTP interface to Redis
webdruid (0.5.4-16)
Web server log file analysis tool
webext-browserpass (3.7.2-1+b4)
web extension for the password manager pass
webext-bulk-media-downloader (0.2.1-3.1)
Cross-browser extension to detect and download media resources
webext-dav4tbsync (1.23-1)
Provide CalDAV & CardDAV for TbSync
webext-debianbuttons (2.3-2)
Buttons for querying Debian-related pages with Firefox
webext-eas4tbsync (1.20-2)
Provide Exchange ActiveSync (EAS v2.5 & v14.0) synchronization capabilities
webext-form-history-control (2.5.1.0-1)
extension to manage form history
webext-https-everywhere (2021.1.27-1)
Extension to force the use of HTTPS on many sites
webext-keepassxc-browser (1.7.4+repack1-2)
Web browser extension to organize web site credentials in KeePassXC
webext-lightbeam (3.0.1-1)
visualize sites that may be tracking you around the internet
webext-privacy-badger (2020.10.7-1)
Privacy Badger automatically learns to block invisible trackers
webext-proxy-switcher (0.3.9-1.1)
Modify Proxy Settings for your Browser
webext-quicktext (3.5-1)
Create templates for Thunderbird
webext-tbsync (2.19-1)
Thunderbird/Lightning Add-On to support MS Exchange Calendar etc.
webext-treestyletab (3.5.20-1)
Show browser tabs like a tree
webext-ublock-origin (1.42.0+dfsg-1~deb11u1)
transitional package
webext-ublock-origin-chromium (1.42.0+dfsg-1~deb11u1)
lightweight and efficient ads, malware, trackers blocker (Chromium)
webext-ublock-origin-firefox (1.42.0+dfsg-1~deb11u1)
lightweight and efficient ads, malware, trackers blocker (Firefox)
webfs (1.21+ds1-12+b1)
lightweight HTTP server for static content
webhook (2.6.9-1+b7)
Small server for creating HTTP endpoints (hooks)
webhttrack (3.49.2-1.1)
Copy websites to your computer, httrack with a Web interface
webhttrack-common (3.49.2-1.1)
webhttrack common files
webissues (1.1.5-7)
network system supporting team collaboration
webkit2gtk-driver (2.42.5-1~deb11u1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 2.42.2-1~deb11u1 [mipsel]) [security]
WebKitGTK WebDriver support
weblint
本虛擬套件由這些套件填實: weblint-perl
weblint-perl (2.32+dfsg-1.1)
syntax and minimal style checker for HTML
webmagick (2.02-11.1)
create gallery thumbnails for website
webmail
本虛擬套件由這些套件填實: php-horde-webmail
weborf (0.17-3+deb11u1)
Fast and small webserver meant to be run without root privileges
weborf-daemon (0.17-3+deb11u1)
init script for weborf
webp (0.6.1-2.1+deb11u2)
Lossy compression of digital photographic images.
webpack (4.43.0-6+deb11u1)
Packs CommonJs/AMD modules for the browser
websimba (0.8.4-6)
web interface for simba
websocketd (0.4.1-1+b5)
Turn any program that uses STDIN/STDOUT into a WebSocket server
websockify (0.9.0+dfsg1-3)
WebSockets support for any application/server
websploit (4.0.4-2)
Web exploitation framework
webvtt (0.4.5-1)
Read, write and segment WebVTT caption files
weechat (3.0-1+deb11u1)
Fast, light and extensible chat client (metapackage)
weechat-core (3.0-1+deb11u1)
Fast, light and extensible chat client - core files
weechat-curses (3.0-1+deb11u1)
Fast, light and extensible chat client - console client
weechat-dev (3.0-1+deb11u1)
Fast, light and extensible chat client - development headers
weechat-doc (3.0-1+deb11u1)
Fast, light and extensible chat client - documentation
weechat-guile (3.0-1+deb11u1)
Fast, light and extensible chat client - Guile plugin
weechat-headless (3.0-1+deb11u1)
Fast, light and extensible chat client - headless client
weechat-lua (3.0-1+deb11u1)
Fast, light and extensible chat client - Lua plugin
weechat-perl (3.0-1+deb11u1)
Fast, light and extensible chat client - Perl plugin
weechat-php (3.0-1+deb11u1)
Fast, light and extensible chat client - PHP plugin
weechat-plugins (3.0-1+deb11u1)
Fast, light and extensible chat client - plugins
weechat-python (3.0-1+deb11u1)
Fast, light and extensible chat client - Python 3 plugin
weechat-ruby (3.0-1+deb11u1)
Fast, light and extensible chat client - Ruby plugin
weechat-scripts (20200815-1)
script collection for the WeeChat IRC client
weechat-tcl (3.0-1+deb11u1)
Fast, light and extensible chat client - Tcl plugin
weevely (4.0.1-1)
Stealth tiny web shell
weex (2.8.3+b2)
Non-interactive FTP and FTPS client for updating web pages
weightwatcher (1.12+dfsg-2)
Combine maps and polygon data for astronomical image processing
weirdx (1.0.32-8)
X server in Java
weka (3.6.14-2)
Machine learning algorithms for data mining tasks
weka-doc (3.6.14-2)
documentation for the Weka machine learning suite
welcome2l (3.04-28)
Linux ANSI boot logo
welle.io (2.2-1)
DAB/DAB+ Software Radio
weplab (0.1.5-6)
tool designed to break WEP keys
weresync (1.0.9-1)
incrementally clones running drives
weresync-doc (1.0.9-1)
incrementally clones running drives (documentation package)
wesnoth (1:1.14.15-1)
fantasy turn-based strategy game - complete suite (metapackage)
wesnoth-1.14 (1:1.14.15-1)
fantasy turn-based strategy game - complete suite (branch 1.14)
wesnoth-1.14-aoi (1:1.14.15-1)
"An Orcish Incursion" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-core (1:1.14.15-1)
fantasy turn-based strategy game (branch 1.14)
wesnoth-1.14-data (1:1.14.15-1)
data files for Wesnoth (branch 1.14)
wesnoth-1.14-did (1:1.14.15-1)
"Descent Into Darkness" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-dm (1:1.14.15-1)
"Delfador's Memoirs" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-dw (1:1.14.15-1)
"Dead Water" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-ei (1:1.14.15-1)
"The Eastern Invasion" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-httt (1:1.14.15-1)
"Heir to the Throne" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-l (1:1.14.15-1)
"Liberty" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-low (1:1.14.15-1)
"Legend of Wesmere" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-music (1:1.14.15-1)
music files for Wesnoth (branch 1.14)
wesnoth-1.14-nr (1:1.14.15-1)
"Northern Rebirth" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-server (1:1.14.15-1)
multiplayer network server for Wesnoth (branch 1.14)
wesnoth-1.14-sof (1:1.14.15-1)
"The Sceptre of Fire" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-sota (1:1.14.15-1)
"Secrets of the Ancients" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-sotbe (1:1.14.15-1)
"Son of the Black-Eye" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-thot (1:1.14.15-1)
"The Hammer of Thursagan" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-tools (1:1.14.15-1)
tools for campaign developers for Wesnoth (branch 1.14)
wesnoth-1.14-trow (1:1.14.15-1)
"The Rise of Wesnoth" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-tsg (1:1.14.15-1)
"The South Guard" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-ttb (1:1.14.15-1)
"A Tale of Two Brothers" official campaign for Wesnoth (branch 1.14)
wesnoth-1.14-utbs (1:1.14.15-1)
"Under the Burning Suns" official campaign for Wesnoth (branch 1.14)
wesnoth-core (1:1.14.15-1)
fantasy turn-based strategy game (metapackage)
wesnoth-music (1:1.14.15-1)
music files for Wesnoth (metapackage)
wesperanto (2.1.2000.02.25-58)
Esperanto dictionary words for /usr/share/dict
west-chamber-common (20100405+svn20111107.r124-13)
iptable extension for bypassing content filtering firewall
west-chamber-dkms (20100405+svn20111107.r124-13)
iptable extension for bypassing content filtering firewall (dkms)
west-chamber-source (20100405+svn20111107.r124-13)
iptable extension for bypassing content filtering firewall (module source)
weston (9.0.0-2)
reference implementation of a wayland compositor
wev (1.0.0-2)
tool for debugging events on a Wayland window
wf-recorder (0.2.1-3)
Utility program for screen recording of wlroots-based compositors
wfaroese (0.4.2+repack1-2)
Faroese dictionary / wordlist
wfrench (1.2.6-1)
French dictionary words for /usr/share/dict
wfuzz (3.1.0-1)
Web application bruteforcer
wgaelic (0.50-13.1)
Scots Gaelic word list
wgalician-minimos (0.5-47)
Wordlist for Galician (minimos)
wgerman-medical (20160103-5)
German medical dictionary words for /usr/share/dict
wget (1.21-1+deb11u1)
retrieves files from the web
wget-udeb (1.21-1+deb11u1)
retrieves files from the web
wget2 (1.99.1-2.2)
file and recursive website downloader
wget2-dev (1.99.1-2.2)
development file for libwget2
whalebuilder (0.8)
Debian package builder using Docker
wham-align (0.1.5-8)
Wisconsin's High-Throughput Alignment Method
whatmaps (0.0.12-3)
tool to find processes mapping shared objects
whatweb (0.5.5-1)
Next generation web scanner
when (1.1.38-2)
tiny personal calendar
whereami (0.3.34-0.4)
Automatically reconfigure your (laptop) system for a new location
whichman (2.4-10)
Fault tolerant search utilities: whichman, ftff, ftwhich
whichwayisup (0.7.9-8)
2D platform game with a slight rotational twist
whiff (0.005-1.1)
helper to find the first executable of a series of alternatives
whipper (0.9.0-7)
CD ripping utility focusing on accuracy over speed
whiptail (0.52.21-4+b3)
由介殼腳本顯示友善的對話方塊
whitakers-words (0.2020.10.27-1.1)
Latin word parser and translation aid
whitakers-words-data (0.2020.10.27-1.1)
Latin word parser and translation aid - data
whitedb (0.7.3+git200711-1)
lightweight NoSQL database library
whitedune (0.30.10-2.2)
graphical VRML97/X3D viewer, editor, 3D modeller and animation tool
whitedune-docs (0.30.10-2.2)
documentation for whitedune
whizzytex (1.3.7-1)
WYSIWYG emacs environment for LaTeX
whohas (0.29.1-1.1)
query multiple distributions' package archives
whois (5.5.10)
intelligent WHOIS client
whowatch (1.8.6-1+b1)
Real-time user logins monitoring tool
why3 (1.3.3-1+b4)
Software verification platform
why3-coq (1.3.3-1+b4)
Coq support for the why3 verification platform
why3-doc-html (1.3.3-1)
HTML Documentation of the why3 verification platform
why3-doc-pdf (1.3.3-1)
PDF Documentation of the why3 verification platform
why3-examples (1.3.3-1)
Examples for the why3 verification platform
whysynth (20170701-2)
DSSI Soft Synth Interface
wide-dhcpv6-client (20080615-23)
DHCPv6 client for automatic IPv6 hosts configuration
wide-dhcpv6-client-udeb (20080615-23)
DHCPv6 client for automatic IPv6 hosts configuration
wide-dhcpv6-relay (20080615-23)
DHCPv6 relay for automatic IPv6 hosts configuration
wide-dhcpv6-server (20080615-23)
DHCPv6 server for automatic IPv6 hosts configuration
widelands (1:21-1+b1)
fantasy real-time strategy game
widelands-data (1:21-1)
fantasy real-time strategy game (data files)
widemargin (1.1.13-3.1)
bible reading and study application
wifi-qr (0.2-1)
WiFi Share and Connect with QR
wifite (2.5.8-1)
Python script to automate wireless auditing using aircrack-ng tools
wig (0.6-2)
WebApp Information Gatherer
wigeon (20101212+dfsg1-4)
reimplementation of the Pintail 16S DNA anomaly detection utility
wiggle (1.1-1)
apply patches with conflicting changes
wiipdf (1.4-3)
present a PDF file using your wiimote
wiki2beamer (0.10.0-4)
Tool to create LaTeX beamer presentations in wiki syntax
wikipedia2text (0.14-2)
displays Wikipedia articles on the command line
wikitrans (1.3-1)
MediaWiki markup translator (utility)
wildmidi (0.4.3-1)
software MIDI player
wiliki (0.6.2-1.1)
Yet another Wiki clone written in Scheme
wily (0.13.41-10)
work-alike of the Acme programming environment for Plan 9
wims (1:4.17b+svn13454~dfsg1-6)
server for educational content: courses, exercises, and exams
wims-help (4.01-5)
help files for wims
wims-java-applets (1:4.17b+svn13454~dfsg1-6)
applets for modules used by WIMS
wims-lti (0.4.4-4)
gateway server that links LMSs to WIMS servers, using LTI
wims-modules (1:4.17b+svn13454~dfsg1-6)
minimal set of modules used by WIMS
wims-moodle (4.0-18.1)
module for Moodle featuring Wims mini-classes
wimtools (1.13.3-1)
Tools for manipulating Windows Imaging files
win32-loader (0.10.4)
Debian-Installer loader for win32
winbind (2:4.13.13+dfsg-1~deb11u6) [security]
service to resolve user and group information from Windows NT servers
window-size (1.1.1-1)
get the height and width of the terminal
windowlab (1.40-3+b1)
small and simple Amiga-like window manager
windows-el (2.55-1)
window manager for GNU Emacs
wine (5.0.3-3)
Windows API implementation - standard suite
wine
本虛擬套件由這些套件填實: wine
wine-binfmt (5.0.3-3)
Register Wine as the interpreter for Windows executables
wine32 (5.0.3-3)
Windows API implementation - 32-bit binary loader
wine32-preloader (5.0.3-3)
Windows API implementation - prelinked 32-bit binary loader
wine32-tools (5.0.3-3)
Windows API implementation - 32-bit developer tools
wine64 (5.0.3-3)
Windows API implementation - 64-bit binary loader
wine64-preloader (5.0.3-3)
Windows API implementation - prelinked 64-bit binary loader
wine64-tools (5.0.3-3)
Windows API implementation - 64-bit developer tools
winetricks (0.0+20210206-2) [contrib]
simple tool to work around common problems in Wine
winff (1.5.5-8)
graphical video and audio batch converter using ffmpeg or avconv
winff-data (1.5.5-8)
winff data files
winff-doc (1.5.5-8)
winff documentation
winff-gtk2 (1.5.5-8)
GTK+ variant of winff
winff-qt (1.5.5-8)
Qt variant of winff
wing (0.7-31)
Galaga-like arcade game
wing-data (0.7-31)
graphics and audio data for wing
wings3d (2.2.5-1)
Nendo-inspired 3D polygon mesh modeller
wininfo (0.7-8)
dynamic window information viewer
winpr-utils (2.3.0+dfsg1-2+deb11u1)
Windows Portable Runtime library command line utilities
winregfs (0.7-4)
Windows registry FUSE filesystem
winrmcp (0.0~git20180921.c76d91c-1+b6)
tool for copying files to remote Windows machines using WinRM
winwrangler (0.2.4-5+b1)
Daemon for manipulating windows (tiled layout, etc.)
wipe (0.24-7)
secure file deletion
wiredtiger (3.2.1-1)
high performance, scalable, NoSQL, extensible platform for data management
wireguard (1.0.20210223-1)
fast, modern, secure kernel VPN tunnel (metapackage)
wireguard-dkms (1.0.20210219-1)
fast, modern, secure kernel VPN tunnel (DKMS version)
wireguard-modules (= 1.0.0)
本虛擬套件由這些套件填實: linux-image-armmp, linux-image-s390x, linux-image-5kc-malta, linux-image-rt-amd64, linux-image-686, linux-image-4kc-malta, linux-image-rpi, linux-image-amd64, linux-image-rt-686-pae, linux-image-686-pae, linux-image-cloud-amd64, linux-image-marvell, linux-image-loongson-3, linux-image-octeon, linux-image-cloud-arm64, linux-image-rt-armmp, linux-image-armmp-lpae, linux-image-powerpc64le, linux-image-arm64, linux-image-rt-arm64
wireguard-tools (1.0.20210223-1)
fast, modern, secure kernel VPN tunnel (userland utilities)
wireless-regdb (2022.04.08-2~deb11u1)
wireless regulatory database for Linux
wireless-regdb-udeb (2022.04.08-2~deb11u1)
wireless regulatory database for Linux - udeb
wireless-tools (30~pre9-13.1)
Tools for manipulating Linux Wireless Extensions
wireless-tools-udeb (30~pre9-13.1)
Tools for manipulating Linux Wireless Extensions
wireshark (3.4.10-0+deb11u1)
network traffic analyzer - meta-package
wireshark-common (3.4.10-0+deb11u1)
network traffic analyzer - common files
wireshark-dev (3.4.10-0+deb11u1)
network traffic analyzer - development tools
wireshark-doc (3.4.10-0+deb11u1)
network traffic analyzer - documentation
wireshark-gtk (3.4.10-0+deb11u1)
transitional dummy package
wireshark-qt (3.4.10-0+deb11u1)
network traffic analyzer - Qt version
wirish (2.0-27.1)
Irish (Gaeilge) dictionary words for /usr/share/dict
wise (2.4.1-23)
comparison of biopolymers, like DNA and protein sequences
wise-data (2.4.1-23)
data files for the wise package
wise-doc (2.4.1-23)
documentation for the wise package
wish
本虛擬套件由這些套件填實: tk
wit (3.01a-2)
manipulate Wii and GameCube ISO images and WBFS containers
witalian (1.10)
Italian dictionary words for /usr/share/dict/
wixl (0.101+repack-1)
Windows Installer creation tool
wixl-data (0.101+repack-1)
Windows Installer creation tool — data files
wizznic (0.9.9+dfsg-1)
implementation of the arcade classic Puzznic
wizznic-data (0.9.9+dfsg-1)
implementation of the arcade classic Puzznic (data)
wkhtmltopdf (0.12.6-1)
Command line utilities to convert html to pdf or image using WebKit
wks2ods (0.9.6-2)
Works spreadsheet documents to OpenDocument converter
wl (2.15.9+0.20190205-7)
mail/news reader supporting IMAP for emacsen
wl
本虛擬套件由這些套件填實: wl-beta
wl-beta (2.15.9+0.20210131-2)
mail/news reader supporting IMAP for emacsen (development version)
wl-clipboard (2.0.0-1)
command line interface to the wayland clipboard
wlc (1.2-1)
Command line utility for Weblate
wlcs (1.2.1-1)
Wayland Conformance Suites
wlogout (1.1.1-1+b1 [amd64], 1.1.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
logout menu for wayland environments
wlr-randr (0.1.0-1)
Utility to manage outputs of a Wayland compositor
wm-icons (0.4.0-11)
Themed icon set that is Window Manager agnostic
wm2 (4+svn20090216-4+b1 [amd64], 4+svn20090216-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
small, unconfigurable window manager
wmacpi (2.4-1)
ACPI battery monitor for WindowMaker
wmail (2.3-1)
WindowMaker docklet watching your inbox
wmaker (0.95.9-2)
NeXTSTEP-like window manager for X
wmaker-common (0.95.9-2)
Window Maker - Architecture independent files
wmaker-data (0.9~3-4.1)
several free icons for use with WindowMaker and others
wmaker-utils (0.95.9-2)
Window Maker - Utilities
wmanager (0.3.0-2)
window-manager selection tool used at X startup
wmanx (0.50-16.1)
Manx Gaelic dictionary words for /usr/share/dict
wmauda (0.9-3)
remote-control dockapp for Audacious
wmbattery (2.54-1)
display laptop battery info, dockable in WindowMaker
wmbiff (0.4.36-1)
Dockable app that displays information about mailboxes
wmbubble (1.54-1)
system-load meter for Window Maker that features a duck
wmbutton (0.7.1-1)
dockapp displaying nine configurable buttons
wmcalc (0.7-1)
dockable calculator application
wmcalclock (1.25-16.1)
dock.app which simply tells time and date
wmcdplay (1.1-2+b1)
CD player based on ascd designed for WindowMaker
wmcliphist (2.1-3)
Dockapp which provides a history for X11 selections
wmclock (1.0.16-1+b1)
dockable clock applet for Window Maker
wmclockmon (0.8.1-4)
Displays a clock in 12/24h mode with alarm mode
wmcoincoin (2.6.4-git-2)
Humourous dockapp for browsing DaCode sites' news and board
wmcore (0.0.4-1)
Dockapp that shows the usage of each core in the system
wmcpu (1.4-4+b2)
Window Maker docking app similar to xosview
wmcpuload (1.1.1-2)
Dockapp that displays the current CPU usage
wmctrl (1.07-7+b1)
control an EWMH/NetWM compatible X Window Manager
wmcube (1.0.2-3)
spinning 3D object that shows the current CPU load
wmdate (0.7-4.1+b1)
Calendar for window manager docking bars
wmdiskmon (0.0.2-3+b1)
dockapp to display disk usage
wmdocker (1.5-2)
System tray for KDE3/GNOME2 docklet applications
wmdrawer (0.10.5-5)
Window Maker dockapp providing a drawer to launch applications
wmf (1.0.5-8.1)
Web Mail Folder
wmfire (1.2.4-4)
very cool fiery way of showing your CPU usage
wmforecast (1.4-2)
weather dockapp for Window Maker using libgweather
wmforkplop (0.9.3-2.2)
monitors forking activity and displays top CPU consuming processes
wmfrog (0.3.1+git20161115-3)
dockapp for graphically indicating the weather
wmfsm (0.36-1+b1)
WindowMaker dock app for monitoring filesystem usage
wmget (0.6.1-1+b1)
Background download manager in a Window Maker dock app
wmgtemp (1.2-2)
Temperature sensor dockapp for Window Maker
wmgui (0.6.91-2+b1)
GUI interface to the wiimote
wmhdplop (0.9.11-1)
hard drive activity monitor dockapp
wmifinfo (0.11-1)
Dockapp that shows information for all interfaces
wmifs (1.9-1)
Window Maker dockapp for monitoring network traffic
wminput (0.6.91-2+b1)
Userspace driver for the wiimote
wmitime (0.5-2+b1)
clock dock app showing time and internet time
wmix (3.5-1)
Dockapp mixer for OSS
wml (2.32.0~ds1-1)
off-line HTML generation toolkit
wmload (0.9.7-1+b1)
system load monitor designed for Window Maker
wmlongrun (0.3.1-1+b1 [amd64], 0.3.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
program to monitor longrun status
wmmatrix (0.2-12.1)
View The Matrix in a Window Maker dock application
wmmemload (0.1.8-2+b1)
WindowMaker dockapp to monitor memory and swap usage
wmmisc (1.2-1+b1 [amd64], 1.2-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dockapp that monitors your system
wmmixer (1.8-1)
mixer application designed for WindowMaker
wmmon (1.4-1)
Window Maker dockapp for monitoring system information
wmmoonclock (1.30-1)
Window Maker moon phase dockapp
wmname
本虛擬套件由這些套件填實: suckless-tools
wmnd (0.4.18-1+b1)
Dockapp monitoring network interfaces
wmnd-snmp (0.4.18-1+b1)
Dockapp monitoring network interfaces with SNMP support
wmnet (1.06-1+b2)
network monitor for WindowMaker
wmnut (0.66-2)
WindowMaker dock app that displays UPS statistics from NUT's upsd
wmpinboard (1.0.1-2)
Window Maker dock applet resembling a miniature pinboard
wmpomme (1.39~dfsg-5.1)
WindowMaker dockapp client for pommed
wmppp.app (1.3.2-1+b1)
PPP dial control and network load monitor w/ NeXTStep look
wmpuzzle (0.5.2-4)
WindowMaker dock app 4x4 puzzle
wmrack (1.4-5+b1)
Combined CD Player + Mixer designed for WindowMaker
wmressel (0.9-1+b1 [mips64el], 0.9-1 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Dock app to change X11 resolutions
wmshutdown (1.6-1)
dockapp to shutdown or reboot your machine
wmstickynotes (0.7-2+b1)
Window Maker dockapp to create sticky notes on desktop
wmsun (1.05-1+b1)
Dockable WindowMaker SunRise/SunSet App
wmsysmon (0.7.8-1)
Window Maker dockapp for monitoring your system
wmsystemtray (1.4+git20150508-2+b1)
Freedesktop.org system tray as a Window Maker dock app
wmtemp (0.0.6-3.3+b3)
WM dock applet displaying lm_sensors temperature values
wmtime (1.4-1+b1)
Window Maker dockapp that displays the time and date
wmtop (0.85-1+b1)
dockapp that displays 3 top memory or CPU using processes
wmtv (0.6.7-1)
Dockable video4linux TV player for WindowMaker
wmweather (2.4.7-3)
WindowMaker dockapp that shows your current weather
wmweather+ (2.18-1)
WindowMaker dock app that shows your current weather
wmwork (0.2.6-4)
Keep track of time worked on projects
wmxmms2 (0.6+repack-3)
remote-control dockapp for XMMS2
wmxres (1.4-2)
dock application to select your display mode among those possible
wngerman (20161207-9)
New German orthography wordlist
wnn7egg (1.02-9) [contrib]
Wnn-nana-tamago -- EGG Input Method with Wnn7 for Emacsen
wnorwegian (2.2-4)
Norwegian word list
wob (0.11-1)
lightweight overlay volume/backlight/progress bar for wayland
wodim (9:1.1.11-3.2)
command line CD/DVD writing tool
woff-tools (0:2009.10.04-2+b1)
tools to convert between OpenType and WOFF formats
woff2 (1.0.2-1+b1)
utilities for converting fonts to WOFF 2.0
wofi (1.2.4-1)
application launcher for wlroots based wayland compositors
wogerman (1:2-37)
Traditional German wordlist
wolf4sdl (1.7+svn262+dfsg1-5) [contrib]
SDL-Port of Wolfenstein 3-D and Spear of Destiny
wondershaper (1.1a-10.1)
Easy to use traffic shaping script
wordgrinder (0.8-1)
simple word processor for writing first drafts
wordgrinder-doc (0.8-1)
simple word processor for writing first drafts (documentation)
wordgrinder-ncurses (0.8-1)
simple word processor for writing first drafts (terminal version)
wordgrinder-x11 (0.8-1)
simple word processor for writing first drafts (X11 version)
wordlist
本虛擬套件由這些套件填實: wamerican-insane, wcatalan, wamerican-huge, wbritish-insane, wamerican-large, wbritish-small, wdutch, miscfiles, wbrazilian, wbulgarian, wcanadian-large, witalian, wbritish-large, wspanish, wcanadian-insane, wcanadian-huge, wswedish, wbritish-huge, wcanadian-small, wfrench, wfaroese, wogerman, wamerican, wdanish, wswiss, wngerman, wportuguese, wnorwegian, wukrainian, wbritish, wesperanto, wpolish, wamerican-small, wgerman-medical, wgalician-minimos, wcanadian
wordnet (1:3.0-36)
electronic lexical database of English language
wordnet-base (1:3.0-36)
electronic lexical database of English language (base data)
wordnet-dev (1:3.0-36)
electronic lexical database of English language (devel)
wordnet-grind (1:3.0-36)
WordNet lexicographer files processor
wordnet-gui (1:3.0-36)
electronic lexical database of English language (GUI)
wordnet-sense-index (1:3.0-36)
electronic lexical database of English language (index)
wordplay (8.0-1)
anagram generator
wordpress (5.7.8+dfsg1-0+deb11u2)
weblog manager
wordpress-civicrm (5.33.2+dfsg1-1)
CiviCRM plugin for WordPress
wordpress-l10n (5.7.8+dfsg1-0+deb11u2)
weblog manager - language files
wordpress-shibboleth (1.8-1.1)
Shibboleth plugin for WordPress
wordpress-theme-twentynineteen (5.7.8+dfsg1-0+deb11u2)
weblog manager - twentynineteen theme files
wordpress-theme-twentytwenty (5.7.8+dfsg1-0+deb11u2)
weblog manager - twentytwenty theme files
wordpress-theme-twentytwentyone (5.7.8+dfsg1-0+deb11u2)
weblog manager - twentytwentyone theme files
wordpress-xrds-simple (1.2-1.1)
XRDS-Simple plugin for WordPress
wordwarvi (1.0.4-1)
retro-styled side-scrolling shoot'em up arcade game
wordwarvi-sound (1.0.4-1)
retro-styled side-scrolling shoot'em up arcade game [Sound Files]
worker (4.4.0-1)
highly configurable two-paned file manager for X
worker-data (4.4.0-1)
data files for worker
worklog (2.1-1)
Keep Track of Time worked on Projects
workrave (1.10.44-7.1)
Repetitive Strain Injury prevention tool
workrave-data (1.10.44-7.1)
Repetitive Strain Injury prevention tool (data files)
wormhole
本虛擬套件由這些套件填實: magic-wormhole
wp2latex (3.97+ds-1)
Conversion of WordPerfect documents to LaTeX: the converter
wp2latex-styles (3.97+ds-1)
Conversion of WordPerfect documents to LaTeX: the LaTeX style files
wp2x (2.5-mhi-13+b1)
WordPerfect 5.x documents to whatever converter
wpagui (2:2.9.0-21)
graphical user interface for wpa_supplicant
wpan-tools (0.9-1)
Configure and test 802.15.4 devices
wpasupplicant (2:2.9.0-21)
client support for WPA and WPA2 (IEEE 802.11i)
wpasupplicant-udeb (2:2.9.0-21)
client support for WPA and WPA2 (IEEE 802.11i)
wpd2epub (0.9.6-2)
WordPerfect document to EPUB converter
wpd2odt (0.9.6-2)
WordPerfect to OpenDocument converter
wpewebkit-driver (2.38.6-1~deb11u1)
WPE WebKit WebDriver support
wpg2odg (0.9.6-2)
WordPerfect Graphics to OpenDocument converter
wpolish (20210105-1)
Polish dictionary words for /usr/share/dict
wportuguese (20210112-1)
European Portuguese wordlist
wps2epub (0.9.6-2)
Works text document to EPUB converter
wps2odt (0.9.6-2)
Works text documents to OpenDocument converter
wput (0.6.2+git20130413-11)
tiny wget-like ftp-client for uploading files
wraplinux (1.7-10)
Utility to wrap a Linux kernel and initrd into an ELF or NBI file
wrapperfactory.app (0.1.0-5+b2)
Application wrappers configuration tool for GNUstep
wrapsrv (1.0.0-1+b2)
DNS SRV record command line wrapper
wreport-common (3.23-2+b4)
common data files for libwreport
writeboost (1.20170616-1.1)
utility to manage mappings of dm-writeboost devices
writer2latex (1.4-10)
OpenOffice.org Writer/Calc to LaTeX/XHTML converter
writer2latex-manual (1.4-10)
OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- manual
wrk (4.1.0-3)
HTTP benchmarking tool
wsclean (2.10.1-1+b2)
Fast generic widefield interferometric imager
wsclean-dev (2.10.1-1+b2)
Fast generic widefield interferometric imager (development files)
wsjtx (2.3.0+repack-2)
Weak-signal amateur radio communications
wsjtx-data (2.3.0+repack-2)
Weak-signal amateur radio communications (data files)
wsjtx-doc (2.3.0+repack-2)
Documentation and examples for the WSJT-X package
wsl (0.2.1-2)
Wsman Shell Command Line "whistle"
wspanish (1.0.29)
Spanish dictionary words for /usr/share/dict
wsrep
本虛擬套件由這些套件填實: galera-3, galera-4
wswedish (1.4.5-2.3)
Swedish dictionary words for /usr/share/dict
wswiss (20161207-9)
Swiss (German) orthography wordlist
wsynth-dssi (0.1.3-4+b2)
hack on Xsynth-DSSI to allow wavetable synthesis
wtdbg2 (2.5-7+b1)
de novo sequence assembler for long noisy reads
wtdbg2-examples (2.5-7)
Examples for wtdbg - de novo sequence assembler
wtype (0.3-1)
xdotool type for wayland
wukrainian (1.7.1-2)
Ukrainian dictionary words for /usr/share/dict
wuzz (0.4.0-2+b5)
Interactive console tool for HTTP inspection
wuzzah (0.53-3.1)
inobtrusively monitor your friends
wv (1.2.9-4.2+b2)
Programs for accessing Microsoft Word documents
wvdial (1.61-5)
intelligent Point-to-Point Protocol dialer
wwl (1.3+db-3)
Calculates distance and azimuth between two Maidenhead locators
wwsympa
本虛擬套件由這些套件填實: sympa
www-browser
本虛擬套件由這些套件填實: netsurf-fb, w3m, falkon, xemacs21-mule, xemacs21-mule-canna-wnn, chromium, konqueror, netrik, links2, epiphany-browser, edbrowse, elinks, links, lynx, dillo, hv3, midori, netsurf-gtk, xemacs21-nomule, firefox-esr, sugar-browse-activity, surf, qutebrowser
wwwconfig-common (0.3.0+nmu1)
Debian web auto configuration
wx-common (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (common support files)
wx-i18n
本虛擬套件由這些套件填實: wx3.0-i18n
wx3.0-doc (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (documentation)
wx3.0-examples (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (examples)
wx3.0-headers (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (header files)
wx3.0-i18n (3.0.5.1+dfsg-2)
wxWidgets Cross-platform C++ GUI toolkit (i18n support)
wxastrocapture (1.8.1+git20140821.796e1a1+dfsg-1)
Windows linuX Astronomy Capture
wxedid (0.0.21-2)
Graphical editor for monitor resolution and timing data (EDID)
wxglade (1.0.1-1)
GUI designer written in Python with wxPython
wxhexeditor (0.24+repack-1)
hexadecimal editor for massive files
wxmaxima (20.12.1-1)
GUI for the computer algebra system Maxima
wxperl-gtk-3-0-5-uni-gcc-3-4
本虛擬套件由這些套件填實: libalien-wxwidgets-perl
wxpython-tools (4.0.7+dfsg-10)
Tools from the wxPython distribution
wxsqlite3-doc (3.4.1~dfsg-5)
Documentation files for wxSQLite3
wyrd (1.4.6-6)
text-based calendar application
wys (0.1.11-1)
daemon to start/stop PulseAudio loopbacks for phone call audio
wzip (1.1.5+b1 [amd64], 1.1.5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Lossy compression and denoising
x-audio-mixer
本虛擬套件由這些套件填實: kmix, alsamixergui, aumix-gtk, opencubicplayer
x-display-manager
本虛擬套件由這些套件填實: wdm, gdm3, lxdm, lightdm, slim, xdm, sddm, nodm
x-face-el (1.3.6.24-18)
utility for displaying X-Face on emacsen
x-loader-omap3-beagle (1.5.1+git20110715+fca7cd2-2+b1)
Board initialization helper for TI OMAP 3 Beagle boards
x-loader-omap3-igepv2 (1.5.1+git20110715+fca7cd2-2+b1)
Board initialization helper for IGEP v2 and v3 boards
x-loader-omap3-overo (1.5.1+git20110715+fca7cd2-2+b1)
Board initialization helper for Gumstix Overo boards
x-loader-omap4-panda (1.5.1+git20110715+fca7cd2-2+b1)
Board initialization helper for TI OMAP 4 Panda boards
x-session-manager
本虛擬套件由這些套件填實: lxqt-session, openbox, openbox-lxde-session, xfce4-session, ukui-session-manager, gnome-session-flashback, lxsession, gnome-session, plasma-workspace, cinnamon-session, sugar-session, mate-session-manager
x-terminal-emulator
本虛擬套件由這些套件填實: mlterm, gnome-terminal, rxvt-unicode, sakura, eterm, termit, qterminal, xterm, tilix, stterm, deepin-terminal, kitty, xiterm+thai, terminology, cool-retro-term, konsole, lxterminal, mlterm-tiny, pterm, xfce4-terminal, terminator, mate-terminal
x-window-manager
本虛擬套件由這些套件填實: oroborus, cinnamon, wmaker, miwm, wm2, xfwm4, afterstep, muffin, 9wm, lwm, fluxbox, dwm, spectrwm, metacity, marco, amiwm, aewm++, twm, clfswm, icewm, vtwm, subtle, windowlab, mwm, openbox, xmonad, icewm-experimental, w9wm, sapphire, fvwm-crystal, awesome, pekwm, matchbox-window-manager, ratpoison, notion, stumpwm, blackbox, fvwm, evilwm, ukwm, mutter, cwm, sawfish, jwm, fvwm1, ctwm, herbstluftwm, kwin-x11, flwm, i3-wm, bspwm, enlightenment
x-window-system
本虛擬套件由這些套件填實: xorg
x-window-system-core
本虛擬套件由這些套件填實: xorg
x11-apps (7.7+8)
X applications
x11-common (1:7.7+22)
X Window System (X.Org) infrastructure
x11-session-utils (7.7+4)
X session utilities
x11-touchscreen-calibrator (0.2-2+b1)
X Window System's Touchscreen Calibrator
x11-utils (7.7+5)
X11 utilities
x11-xfs-utils (7.7+2+b1)
X font server utilities
x11-xkb-utils (7.7+5)
X11 XKB utilities
x11-xkb-utils-udeb (7.7+5)
X11 XKB utilities
x11-xserver-utils (7.7+8)
X server utilities
x11proto-bigreqs-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-composite-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-core-dev (2020.1-1)
transitional dummy package
x11proto-core-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-damage-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-dev (2020.1-1)
X11 extension protocols and auxiliary headers
x11proto-dmx-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-dri2-dev (2020.1-1)
transitional dummy package
x11proto-dri2-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-dri3-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-fixes-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-fonts-dev (2020.1-1)
transitional dummy package
x11proto-fonts-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-gl-dev (2020.1-1)
transitional dummy package
x11proto-gl-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-input-dev (2020.1-1)
transitional dummy package
x11proto-input-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-kb-dev (2020.1-1)
transitional dummy package
x11proto-kb-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-present-dev (2020.1-1)
transitional dummy package
x11proto-present-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-randr-dev (2020.1-1)
transitional dummy package
x11proto-randr-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-record-dev (2020.1-1)
transitional dummy package
x11proto-record-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-render-dev (2:2020.1-1)
transitional dummy package
x11proto-render-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-resource-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-scrnsaver-dev (2020.1-1)
transitional dummy package
x11proto-scrnsaver-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-video-dev (2020.1-1)
transitional dummy package
x11proto-video-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xcmisc-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xext-dev (2020.1-1)
transitional dummy package
x11proto-xext-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xf86bigfont-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xf86dga-dev (2020.1-1)
transitional dummy package
x11proto-xf86dga-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xf86dri-dev (2020.1-1)
transitional dummy package
x11proto-xf86dri-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xf86vidmode-dev (2020.1-1)
transitional dummy package
x11proto-xf86vidmode-dev
本虛擬套件由這些套件填實: x11proto-dev
x11proto-xinerama-dev (2020.1-1)
transitional dummy package
x11proto-xinerama-dev
本虛擬套件由這些套件填實: x11proto-dev
x11vnc (0.9.16-7)
VNC server to allow remote access to an existing X session
x13as (1.1-B39-2) [non-free]
seasonal adjustment software for modeling time series
x264 (2:0.160.3011+gitcde9a93-2.1)
video encoder for the H.264/MPEG-4 AVC standard
x265 (3.4-2)
H.265/HEVC video stream encoder
x2gobroker (0.0.4.3-1)
X2Go Session Broker (executable)
x2gobroker-agent (0.0.4.3-1)
X2Go Session Broker (remote agent)
x2gobroker-authservice (0.0.4.3-1)
X2Go Session Broker (PAM authentication service)
x2gobroker-common (0.0.4.3-1)
X2Go Session Broker (common files)
x2gobroker-daemon (0.0.4.3-1)
X2Go Session Broker (standalone daemon)
x2gobroker-loadchecker (0.0.4.3-1)
X2Go Session Broker (load checker service)
x2gobroker-ssh (0.0.4.3-1)
X2Go Session Broker (SSH broker)
x2gobroker-wsgi (0.0.4.3-1)
X2Go Session Broker (WSGI)
x2goclient (4.1.2.2-2)
X2Go Client application (Qt5)
x2godesktopsharing (3.2.0.0-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 3.2.0.0-2 [armel, armhf])
Share X11 desktops with other users via X2Go
x2goserver (4.1.0.3-5)
X2Go Server
x2goserver-common (4.1.0.3-5)
X2Go Server (common files)
x2goserver-desktopsharing (4.1.0.3-5)
Share X11 desktops with other users via X2Go
x2goserver-extensions (4.1.0.3-5)
X2Go Server (extension support)
x2goserver-fmbindings (4.1.0.3-5)
X2Go Server (file manager bindings)
x2goserver-printing (4.1.0.3-5)
X2Go Server (printing support)
x2goserver-x2goagent (4.1.0.3-5)
X2Go Server's X2Go Agent
x2goserver-xsession (4.1.0.3-5)
X2Go Server (Xsession runner)
x2gothinclient
本虛擬套件由這些套件填實: x2gothinclient-displaymanager
x2gothinclient-cdmanager (1.5.0.1-6+deb11u1)
clientside daemon enabling automatic CD-Rom mounting
x2gothinclient-chroot (1.5.0.1-6+deb11u1)
Install X2Go Thin Client chroot (metapackage)
x2gothinclient-common (1.5.0.1-6+deb11u1)
X2Go thin client environment (common files)
x2gothinclient-displaymanager (1.5.0.1-6+deb11u1)
login daemon starting x2goclient in displaymanager mode
x2gothinclient-management (1.5.0.1-6+deb11u1)
Management tools for X2Go Thin Client chroot server
x2gothinclient-minidesktop (1.5.0.1-6+deb11u1)
Minimal desktop for X2Go Thin Client chroot (based on MATE)
x2gothinclient-smartcardrules (1.5.0.1-6+deb11u1)
UDEV rules for smartcard readers
x2gothinclient-usbmount (1.5.0.1-6+deb11u1)
clientside usb mass-storage device mounting
x2vnc (1.7.2-6+b1)
Link a VNC and an X display together, simulating a multiheaded display
x2x (1.30-8)
Link two X displays together, simulating a multiheaded display
x3270 (4.0ga12-3)
X11 program for telnet sessions to IBM mainframes
x3270-doc (4.0ga12-3)
X11 program for telnet sessions to IBM mainframes - documentation
x42-plugins (20210114-1)
Collection of LV2 plugins
x4d-icons (1.2-2+deb11u1)
X4D Icon set for various online document types
x509-util (1.6.5-1)
utility for X.509 certificates and chains
x86dis (0.23-6+b1)
Frontend to libdisasm
x86info (1.31~pre0.8052aabdd159bc9050e7dc264f33782c5acce05f-1.1)
Display diagnostic information about i386 compatible CPUs
xa65 (2.3.11-0.1)
cross-assembler and utility suite for 65xx/65816 processors
xabacus (8.3.4-1)
simulation of the ancient calculator (plain X version)
xabacus
本虛擬套件由這些套件填實: xmabacus, xabacus
xalan (1.12-6)
XSLT processor utility
xandikos (0.2.2-1)
Git-backed CalDAV/CardDAV server
xaos (4.2.1-2)
real-time interactive fractal zoomer
xapers (0.9.0-1)
Personal journal article management and indexing system
xapian-doc (1.4.18-3+deb11u1)
Core Xapian documentation
xapian-examples (1.4.18-3+deb11u1)
Xapian simple example programs
xapian-omega (1.4.18-2)
CGI search interface and indexers using Xapian
xapian-tools (1.4.18-3+deb11u1)
Basic tools for Xapian search engine library
xapps-common (2.0.7-1)
Files that are common across Xapp desktop applications
xapps-doc (2.0.7-1)
Libxapp documentation
xarchiver (1:0.5.4.17-2)
GTK+ frontend for most used compression formats
xarclock (1.0-17)
reversed xclock
xastir (2.1.6-3)
X Amateur Station Tracking and Information Reporting
xastir-data (2.1.6-3)
X Amateur Station Tracking and Information Reporting
xattr (0.9.7-1+b1)
tool for manipulating filesystem extended attributes
xauth (1:1.1-1)
X authentication utility
xautolock (1:2.2-7)
Program launcher for idle X sessions
xautomation (1.09-4)
Control X from the command line, and find things on the screen
xavante (2.3.0-1.1)
Lua HTTP 1.1 Web server
xavante-doc (2.3.0-1.1)
Documentation files for the Xavante web server
xaw3dg (1.5+F-1)
Xaw3d widget set
xaw3dg-dev (1.5+F-1)
Xaw3d widget set development package
xawtv (3.107-1)
television viewer - X11 application
xawtv-plugin-qt (3.107-1)
television viewer - QuickTime plugin
xawtv-plugins (3.107-1)
television viewer - plugins
xawtv-tools (3.107-1)
television viewer - tools
xbacklight (1.2.1-1+b3)
simple utility to set the backlight level
xball (3.0.1-2)
Simulate bouncing balls in a window
xbanish (1.7-2)
banish the mouse cursor when typing, show it again when the mouse moves
xbase-clients (1:7.7+22)
miscellaneous X clients - metapackage
xbattbar (1.4.9-2)
Display battery status in X11
xbill (2.1-9)
Get rid of those Wingdows Viruses!
xbindkeys (1.8.7-1)
Associate a combination of keys or mouse buttons with a shell command
xbindkeys-config (0.1.3-2.2)
an easy to use gtk program for configuring Xbindkeys
xbitmaps (1.1.1-2.1)
Base X bitmaps
xblast-tnt (2.10.4-4.1)
multiplayer blast-the-others game inspired by Dynablaster
xblast-tnt-images (20050106-3.1)
image files for xblast-tnt
xblast-tnt-levels (20050106+repack-0.1)
level files for xblast-tnt
xblast-tnt-models (20050106-4.1)
player models for xblast-tnt
xblast-tnt-musics (20050106-3.1)
music files for xblast-tnt
xblast-tnt-sounds (20040429-3.1)
sound files for xblast-tnt
xboard (4.9.1-2)
X Window System Chess Board
xbomb (2.2b-1+b1)
'minesweeper' game with squares, hexagons or triangles
xbrlapi (6.3+dfsg-1+deb11u1)
Access software for a blind person using a braille display - xbrlapi
xbs (0-10+b1)
3-d models and movies of molecules
xbubble (0.5.11.2-3.4)
A nice Puzzle Bubble clone
xbubble-data (0.5.11.2-3.4)
Data files for XBubble, a nice Puzzle Bubble clone
xbuffy (3.3.bl.3.dfsg-10+b2)
monitor mailboxes and/or newsgroups
xbuilder (1.0.1+nmu1)
Tool to cross-build a list of packages using sbuild, xdeb or pdebuild-cross
xc3sprog (0+svn795+dfsg-3)
JTAG flashing tool for FPGAs, CPLDs and EEPROMs
xca (2.3.0-1)
x509 Certification Authority management tool based on QT
xcal (4.1-19+b2)
a graphical calendar with memos and reminder alarms
xcalib (0.8.dfsg1-2.1)
Tiny monitor calibration loader for Xorg
xcape (1.2-3+b1 [amd64], 1.2-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Configure modifier keys to act as other keys when pressed and released
xcas (1.6.0.41+dfsg1-1)
Computer Algebra System - console and graphical calculator
xcb (2.4-7)
Manipulate X server cut and paste buffers/selections
xcb-proto (1.14.1-1)
X C Binding - protocol descriptions
xcfa (5.0.2-1.1)
X Convert File Audio
xcfa-dbg (5.0.2-1.1)
X Convert File Audio - debugging symbols
xchain (1.0.1-10)
strategy game for 2-4 players
xchm (2:1.31-2)
Compiled HTML Help (CHM) file viewer for X
xcircuit (3.9.73+dfsg.1-1)
Draw circuit schematics or almost anything
xcite (1.60-7)
Transition Package, xcite to elpa-xcite
xcite
本虛擬套件由這些套件填實: elpa-xcite
xclip (0.13-2)
command line interface to X selections
xcolmix (1.07-10+b2)
an RGB colour mixer
xcolors (1.5a-8+b1)
display all X11 color names and colors
xcolorsel (1.1a-22)
display colors and names in X
xcompmgr (1.1.8-1)
X composition manager
xcowsay (1.5.1-1)
Graphical configurable talking cow
xcrysden (1.6.2-4)
Crystalline and Molecular Structure Visualizer
xcrysden-data (1.6.2-4)
Crystalline and Molecular Structure Visualizer (Data Files)
xcscope-el (1.5-1.1)
Transition Package, xcscope-el to elpa-xcscope
xcscope-el
本虛擬套件由這些套件填實: elpa-xcscope
xcursor-themes (1.0.5-1)
Base X cursor themes
xcwcp (3.5.1-4)
Morse code tutor - graphical user interface
xcwd (0~2.gbpe2c777c-1+b1 [amd64], 0~2.gbpe2c777c-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
print the current working directory of the focused window
xd (3.29.00-2)
smart directory change utility
xdaliclock (2.44+debian-2)
Melting digital clock
xdelta (1.1.3-9.3)
A diff utility which works with binary files
xdelta3 (3.0.11-dfsg-1+b1)
Diff utility which works with binary files
xdemineur (2.1.1-21)
Yet another minesweeper for X
xdemorse (3.6.2-2)
GUI to decode Morse signals to text
xdesktopwaves (1.3-4+b1)
Simulation of water waves on the X Window System
xdeview (0.5.20-12)
Smart multi-file multi-part decoder (X11 GUI)
xdg-dbus-proxy (0.1.2-2)
filtering D-Bus proxy
xdg-dbus-proxy-tests (0.1.2-2)
filtering D-Bus proxy - as-installed tests
xdg-desktop-portal (1.8.1-1)
desktop integration portal for Flatpak and Snap
xdg-desktop-portal-backend
本虛擬套件由這些套件填實: xdg-desktop-portal-kde
xdg-desktop-portal-backend (= 1.7.1)
本虛擬套件由這些套件填實: xdg-desktop-portal-gtk
xdg-desktop-portal-dev (1.8.1-1)
desktop integration portal - development files
xdg-desktop-portal-gtk (1.8.0-1)
GTK+/GNOME portal backend for xdg-desktop-portal
xdg-desktop-portal-kde (5.20.5-1)
backend implementation for xdg-desktop-portal using Qt
xdg-desktop-portal-tests (1.8.1-1)
desktop integration portal - automated tests
xdg-desktop-portal-wlr (0.1.0-3)
xdg-desktop-portal backend for wlroots
xdg-user-dirs (0.17-2)
tool to manage well known user directories
xdg-user-dirs-gtk (0.10-3)
tool to manage well known user directories (Gtk extension)
xdg-utils (1.1.3-4.1)
desktop integration utilities from freedesktop.org
xdg-utils-cxx (1.0.1-2+b1)
Implementation of the Free Desktop Standards in C++
xdiskusage (1.48-10.1+b1)
Displays a graphic of your disk usage with du
xdm (1:1.1.11-3+b2)
X display manager
xdms (1.3.2-7)
Uncompressor for Amiga DMS archives
xdmx (2:1.20.11-1+deb11u13) [security]
distributed multihead X server
xdmx-tools (2:1.20.11-1+deb11u13) [security]
Distributed Multihead X tools
xdo (0.5.7-1+b2 [amd64], 0.5.7-1+b1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
small console-based utility to perform elementary actions on windows
xdot (1.2-2)
interactive viewer for Graphviz dot files
xdotool (1:3.20160805.1-4)
simulate (generate) X11 keyboard/mouse input events
xdrawchem (1:1.11.0-2)
Chemical structures and reactions editor
xdu (3.0-20)
display the output of "du" in an X window
xdvik-ja (22.87.05+j1.42-2)
Japanized DVI Previewer for the X Window System
xdx (2.5.0-3)
DX-cluster tcp/ip client for amateur radio
xe (0.11-5)
simple alternative to xargs and apply
xemacs21 (21.4.24-9)
highly customizable text editor metapackage
xemacs21
本虛擬套件由這些套件填實: xemacs21-nomule, xemacs21-mule, xemacs21-mule-canna-wnn
xemacs21-basesupport (2009.02.17.dfsg.2-5)
Editor and kitchen sink -- compiled elisp support files
xemacs21-basesupport-el (2009.02.17.dfsg.2-5)
Editor and kitchen sink -- source elisp support files
xemacs21-bin (21.4.24-9)
highly customizable text editor -- support binaries
xemacs21-mule (21.4.24-9)
highly customizable text editor -- Mule binary
xemacs21-mule-canna-wnn (21.4.24-9)
highly customizable text editor -- Mule binary compiled with Canna and Wnn
xemacs21-mulesupport (2009.02.17.dfsg.2-5)
Editor and kitchen sink -- Mule elisp support files
xemacs21-mulesupport-el (2009.02.17.dfsg.2-5)
Editor and kitchen sink -- source elisp support files
xemacs21-nomule (21.4.24-9)
highly customizable text editor -- Non-mule binary
xemacs21-support (21.4.24-9)
highly customizable text editor -- architecture independent support files
xemacs21-supportel (21.4.24-9)
highly customizable text editor -- non-required library files
xen-doc (4.14.6-1)
XEN documentation
xen-hypervisor
本虛擬套件由這些套件填實: xen-hypervisor-4.14-arm64, xen-hypervisor-4.14-amd64, xen-hypervisor-4.14-armhf
xen-hypervisor-4.14
本虛擬套件由這些套件填實: xen-hypervisor-4.14-armhf, xen-hypervisor-4.14-amd64, xen-hypervisor-4.14-arm64
xen-hypervisor-4.14-amd64 (4.14.6-1)
Xen Hypervisor on AMD64
xen-hypervisor-4.14-arm64 (4.14.6-1)
Xen Hypervisor on ARM64
xen-hypervisor-4.14-armhf (4.14.6-1)
Xen Hypervisor on ARMHF
xen-hypervisor-amd64
本虛擬套件由這些套件填實: xen-hypervisor-4.14-amd64
xen-hypervisor-arm64
本虛擬套件由這些套件填實: xen-hypervisor-4.14-arm64
xen-hypervisor-armhf
本虛擬套件由這些套件填實: xen-hypervisor-4.14-armhf
xen-hypervisor-common (4.14.6-1)
Xen Hypervisor - common files
xen-system
本虛擬套件由這些套件填實: xen-system-armhf, xen-system-arm64, xen-system-amd64
xen-system-amd64 (4.14.6-1)
Xen System on AMD64 (metapackage)
xen-system-arm64 (4.14.6-1)
Xen System on ARM64 (metapackage)
xen-system-armhf (4.14.6-1)
Xen System on ARMHF (metapackage)
xen-tools (4.9-1)
Tools to manage Xen virtual servers
xen-utils
本虛擬套件由這些套件填實: xen-utils-4.14
xen-utils-4.14 (4.14.6-1)
XEN administrative tools
xen-utils-common (4.14.6-1)
Xen administrative tools - common files
xenstore-utils (4.14.6-1)
Xenstore command line utilities for Xen
xeus-dev (0.25.3-1)
C++ Implementation of the Jupyter Kernel protocol (headers)
xeus-python-dev (0.9.5-1)
Native jupyter kernel for python (headers)
xevil (2.02r2-10.1)
A violent side-scrolling game for X
xfaces (3.3-29+b1)
Displays an image for each piece of mail in your mailbox
xfburn (0.6.2-1)
CD-burner application for Xfce Desktop Environment
xfce-keyboard-shortcuts
本虛擬套件由這些套件填實: libxfce4ui-common
xfce4 (4.16)
Meta-package for the Xfce Lightweight Desktop Environment
xfce4-appfinder (4.16.1-1)
Application finder for the Xfce4 desktop environment
xfce4-appmenu-plugin (0.7.6+dfsg1-3)
Application Menu plugin for xfce4-panel
xfce4-battery-plugin (1.1.3-1)
battery monitor plugin for the Xfce4 panel
xfce4-clipman (2:1.6.1-1)
clipboard history utility
xfce4-clipman-plugin (2:1.6.1-1)
clipboard history plugin for Xfce panel
xfce4-cpufreq-plugin (1.2.4-1)
cpufreq information plugin for the Xfce4 panel
xfce4-cpugraph-plugin (1.2.1-1)
CPU load graph plugin for the Xfce4 panel
xfce4-datetime-plugin (0.8.1-1)
date and time plugin for the Xfce4 panel
xfce4-dev-tools (4.16.0-1)
Script to help building Xfce from git
xfce4-dict (0.8.4-1)
Dictionary plugin for Xfce4 panel
xfce4-diskperf-plugin (2.6.3-1)
disk performance display plugin for the Xfce4 panel
xfce4-eyes-plugin (4.5.1-1)
eyes that follow your mouse for the Xfce4 panel
xfce4-fsguard-plugin (1.1.2-1)
filesystem monitor plugin for the Xfce4 panel
xfce4-genmon-plugin (4.1.1-1)
Generic Monitor for the Xfce4 panel
xfce4-goodies (4.14.0)
enhancements for the Xfce4 Desktop Environment
xfce4-helpers (4.16.0-1+deb11u1)
helpers for the Xfce4 desktop environment
xfce4-indicator-plugin (2.4.0-1)
plugin to display information from applications in the Xfce4 panel
xfce4-mailwatch-plugin (1.3.0-1)
mail watcher plugin for the Xfce4 panel
xfce4-mount-plugin (1.1.5-1)
mount plugin for the Xfce4 panel
xfce4-mpc-plugin (0.5.2-2)
Xfce panel plugin which serves as client for MPD music player
xfce4-netload-plugin (1.3.2-1)
network load monitor plugin for the Xfce4 panel
xfce4-notifyd (0.6.2-1)
simple, visually-appealing notification daemon for Xfce
xfce4-panel (4.16.2-1)
panel for Xfce4 desktop environment
xfce4-places-plugin (1.8.1-1)
quick access to folders, documents and removable media
xfce4-power-manager (4.16.0-1)
power manager for Xfce desktop
xfce4-power-manager-data (4.16.0-1)
power manager for Xfce desktop, arch-indep files
xfce4-power-manager-plugins (4.16.0-1)
power manager plugins for Xfce panel
xfce4-pulseaudio-plugin (0.4.3-1)
Xfce4 panel plugin to control pulseaudio
xfce4-screenshooter (1.9.8-1)
screenshots utility for Xfce
xfce4-sensors-plugin (1.3.0-3)
hardware sensors plugin for the Xfce4 panel
xfce4-session (4.16.0-1)
Xfce4 Session Manager
xfce4-settings (4.16.0-1+deb11u1)
graphical application for managing Xfce settings
xfce4-smartbookmark-plugin (0.5.2-1)
search the web via the Xfce4 panel
xfce4-sntray-plugin (0.4.13.1-1)
plugin for xfce4-panel to show StatusNotifierItems - Xfce
xfce4-sntray-plugin-common (0.4.13.1-1)
plugin for xfce4-panel to show StatusNotifierItems - commoner
xfce4-statusnotifier-plugin (0.2.3-1)
plugin to display status notifiers in the Xfce4 panel
xfce4-systemload-plugin (1.2.4-1)
system load monitor plugin for the Xfce4 panel
xfce4-taskmanager (1.4.0-1)
process manager for the Xfce4 Desktop Environment
xfce4-terminal (0.8.10-1)
Xfce 終端機
xfce4-timer-plugin (1.7.1-1)
timer plugin for Xfce panel
xfce4-verve-plugin (2.0.1-1)
Verve (command line) plugin for Xfce panel
xfce4-wavelan-plugin (0.6.2-1)
wavelan status plugin for the Xfce4 panel
xfce4-weather-plugin (0.11.0-1)
weather information plugin for the Xfce4 panel
xfce4-whiskermenu-plugin (2.5.3-1)
Alternate menu plugin for the Xfce desktop environment
xfce4-xkb-plugin (1:0.8.2-1)
xkb layout switch plugin for the Xfce4 panel
xfconf (4.16.0-2)
utilities for managing settings in Xfce
xfdesktop4 (4.16.0-1)
Xfce desktop background, icons and root menu manager
xfdesktop4-data (4.16.0-1)
Xfce desktop background, icons and root menu (common files)
xfe (1.43.2-3)
lightweight file manager for X11
xfe-i18n (1.43.2-3)
lightweight file manager for X11 (i18n support)
xfe-themes (1.43.2-3)
lightweight file manager for X11 (themes)
xfig (1:3.2.8-3+deb11u1)
Facility for Interactive Generation of figures under X11
xfig-doc (1:3.2.8-3+deb11u1)
XFig on-line documentation and examples
xfig-libs (1:3.2.8-3+deb11u1)
XFig image libraries and examples
xfireworks (1.3-13+b1 [amd64], 1.3-13 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fireworks in your root window
xfishtank (2.5-1+b1)
turns your X root into an aquarium
xflip (1.01-28)
programs to mirror-image or melt your display
xfont-nexus
本虛擬套件由這些套件填實: xfonts-nexus
xfonts-100dpi (1:1.0.4+nmu1.1)
100 dpi fonts for X
xfonts-100dpi-transcoded (1:1.0.4+nmu1.1)
100 dpi fonts for X (transcoded from ISO 10646-1)
xfonts-75dpi (1:1.0.4+nmu1.1)
75 dpi fonts for X
xfonts-75dpi-transcoded (1:1.0.4+nmu1.1)
75 dpi fonts for X (transcoded from ISO 10646-1)
xfonts-a12k12 (1-13)
12-dot Kanji & ASCII fonts for X
xfonts-ayu (1:1.7a-9)
Gothic 18/20 dot Japanese and ISO-8859-1 X11 bitmap fonts
xfonts-baekmuk (2.2-9)
Baekmuk bitmap Korean fonts for X
xfonts-base (1:1.0.5)
standard fonts for X
xfonts-biznet-100dpi (3.0.0-27)
100 dpi BIZNET ISO-8859-2 fonts for X servers
xfonts-biznet-75dpi (3.0.0-27)
75 dpi BIZNET ISO-8859-2 fonts for X servers
xfonts-biznet-base (3.0.0-27)
Standard BIZNET ISO-8859-2 fonts for X servers
xfonts-bolkhov-75dpi (1.1.20001007-8.2)
75 dpi Unicode Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-cp1251-75dpi (1.1.20001007-8.2)
75 dpi CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-cp1251-misc (1.1.20001007-8.2)
Character-cell CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-isocyr-75dpi (1.1.20001007-8.2)
75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-isocyr-misc (1.1.20001007-8.2)
Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-koi8r-75dpi (1.1.20001007-8.2)
75 dpi KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-koi8r-misc (1.1.20001007-8.2)
Character-cell KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-koi8u-75dpi (1.1.20001007-8.2)
75 dpi KOI8-U encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-koi8u-misc (1.1.20001007-8.2)
Character-cell KOI8-U encoded Cyrillic fonts for X (Cyr-RFX collection)
xfonts-bolkhov-misc (1.1.20001007-8.2)
Character-cell Unicode Cyrillic fonts for X (Cyr-RFX collection)
xfonts-cronyx-100dpi (2.3.8-9)
100 dpi Unicode Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-75dpi (2.3.8-9)
75 dpi Unicode Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-cp1251-100dpi (2.3.8-9)
100 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-cp1251-75dpi (2.3.8-9)
75 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-cp1251-misc (2.3.8-9)
Character-cell CP1251 encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-isocyr-100dpi (2.3.8-9)
100 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-isocyr-75dpi (2.3.8-9)
75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-isocyr-misc (2.3.8-9)
Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-koi8r-100dpi (2.3.8-9)
100 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-koi8r-75dpi (2.3.8-9)
75 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-koi8r-misc (2.3.8-9)
Character-cell KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-koi8u-100dpi (2.3.8-9)
100 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-koi8u-75dpi (2.3.8-9)
75 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-koi8u-misc (2.3.8-9)
Character-cell KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
xfonts-cronyx-misc (2.3.8-9)
Character-cell Unicode Cyrillic fonts for X (Cronyx collection)
xfonts-cyrillic (1:1.0.5)
Cyrillic fonts for X
xfonts-efont-unicode (0.4.2-12)
/efont/ Unicode fonts for X which cover various scripts
xfonts-efont-unicode-ib (0.4.2-12)
/efont/ Unicode fonts for X (italic and bold)
xfonts-encodings (1:1.0.4-2.1)
Encodings for X.Org fonts
xfonts-intl-arabic (1.2.1-10.1)
international fonts for X - Arabic
xfonts-intl-asian (1.2.1-10.1)
international fonts for X - (south-east) Asian
xfonts-intl-chinese (1.2.1-10.1)
international fonts for X - Chinese
xfonts-intl-chinese-big (1.2.1-10.1)
international fonts for X - large Chinese
xfonts-intl-european (1.2.1-10.1)
international fonts for X - European
xfonts-intl-japanese (1.2.1-10.1)
international fonts for X - Japanese
xfonts-intl-japanese-big (1.2.1-10.1)
international fonts for X - large Japanese
xfonts-intl-phonetic (1.2.1-10.1)
international fonts for X - International Phonetic Alphabet
xfonts-jisx0213 (0+20040511-7.1)
JIS X 0213 Japanese Kanji bitmap fonts for X
xfonts-jmk (3.0-23)
Jim Knoble's character-cell fonts for X
xfonts-kaname (1.1-13.1)
Kaname Cho 12 dot Japanese Kanji, Latin 1 fonts for X11
xfonts-kapl (4.22.1-10.1)
APL fonts for A+ development
xfonts-kappa20 (1:0.396-6.1)
X11 Kappa 20dot Fonts (ISO-8859-1..4/9/10/13..16, Japanese) with bold
xfonts-libdockapp (1:0.7.3-1)
Window Maker Dock App support (fonts)
xfonts-marumoji (0.2-12)
Roundish fonts (marumoji fonts) for X
xfonts-mona (2.90-9)
Proportional X fonts for 2ch ASCII art
xfonts-mplus (1:2.2.4-6)
M+ bitmap 10/12 dot Latin/Japanese fonts for X11
xfonts-naga10 (1.1-18) [non-free]
10x10 dot Japanese and ISO-8859-1 naga10 fonts
xfonts-nexus (0.0.2-17.1)
Nexus font for X
xfonts-scalable (1:1.0.3-1.2)
scalable fonts for X
xfonts-shinonome (1:0.9.11-7)
Various 12,14,16 dot Japanese Kanji, iso8859-1 fonts for X11
xfonts-terminus (4.48-3)
Terminus - fast reading fixed-width PCF fonts for X servers
xfonts-terminus-dos (4.48-3)
Fixed-width fonts for DOS encodings
xfonts-terminus-oblique (4.48-3)
Oblique version of the Terminus font
xfonts-thai (1:1.2.7-5)
Collection of Thai fonts for X (metapackage)
xfonts-thai-etl (1:1.2.7-5)
Thai etl fonts for X
xfonts-thai-manop (1:1.2.7-5)
Manop Wongsaisuwan's bitmap fonts for X
xfonts-thai-nectec (1:1.2.7-5)
Thai fixed fonts for X from Nectec
xfonts-thai-poonlap (1:1.2.7-5)
Poonlap Veerathanabutr's bitmap fonts for X
xfonts-thai-vor (1:1.2.7-5)
Voradesh Yenbut's bitmap fonts for X
xfonts-tipa (2:1.3-20.1)
X11 PostScript Type 1 font for the Phonetic Alphabet
xfonts-traditional (1.8.0+nmu1)
Traditional fixed-width fonts for X
xfonts-unifont (1:13.0.06-1)
PCF (bitmap) version of GNU Unifont
xfonts-utils (1:7.7+6)
X Window System font utility programs
xfonts-wqy (1.0.0~rc1-7)
WenQuanYi Bitmap Song CJK font for X
xfonts-x3270-misc (4.0ga12-3)
Font files for the x3270(1) IBM 3270 emulator
xfpt (0.11-1)
generate XML from plain text
xfractint (20.4.10-2.1) [non-free]
UNIX-based fractal generator
xfrisk (1.2-6+b1 [amd64], 1.2-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Server and X11 client for playing risk with humans or AIs
xfs-modules
本虛擬套件由這些套件填實: xfs-modules-5.10.0-26-octeon-di, xfs-modules-5.10.0-27-amd64-di, xfs-modules-5.10.0-28-686-pae-di, xfs-modules-5.10.0-27-loongson-3-di, xfs-modules-5.10.0-28-s390x-di, xfs-modules-5.10.0-28-powerpc64le-di, xfs-modules-5.10.0-26-5kc-malta-di, xfs-modules-5.10.0-28-loongson-3-di, xfs-modules-5.10.0-27-5kc-malta-di, xfs-modules-5.10.0-25-5kc-malta-di, xfs-modules-5.10.0-25-4kc-malta-di, xfs-modules-5.10.0-25-octeon-di, xfs-modules-5.10.0-25-amd64-di, xfs-modules-5.10.0-25-686-di, xfs-modules-5.10.0-28-686-di, xfs-modules-5.10.0-27-686-di, xfs-modules-5.10.0-26-4kc-malta-di, xfs-modules-5.10.0-25-686-pae-di, xfs-modules-5.10.0-25-arm64-di, xfs-modules-5.10.0-25-loongson-3-di, xfs-modules-5.10.0-28-5kc-malta-di, xfs-modules-5.10.0-27-4kc-malta-di, xfs-modules-5.10.0-28-arm64-di, xfs-modules-5.10.0-28-octeon-di, xfs-modules-5.10.0-25-powerpc64le-di, xfs-modules-5.10.0-26-s390x-di, xfs-modules-5.10.0-26-arm64-di, xfs-modules-5.10.0-27-686-pae-di, xfs-modules-5.10.0-25-s390x-di, xfs-modules-5.10.0-27-powerpc64le-di, xfs-modules-5.10.0-27-octeon-di, xfs-modules-5.10.0-28-4kc-malta-di, xfs-modules-5.10.0-26-loongson-3-di, xfs-modules-5.10.0-28-amd64-di, xfs-modules-5.10.0-26-686-pae-di, xfs-modules-5.10.0-27-arm64-di, xfs-modules-5.10.0-27-s390x-di, xfs-modules-5.10.0-26-amd64-di, xfs-modules-5.10.0-26-686-di, xfs-modules-5.10.0-26-powerpc64le-di
xfs-modules-5.10.0-25-4kc-malta-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-5kc-malta-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-686-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-686-pae-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-amd64-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-arm64-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-loongson-3-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-octeon-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-powerpc64le-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-25-s390x-di (5.10.191-1) [security]
XFS filesystem support
xfs-modules-5.10.0-26-4kc-malta-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-5kc-malta-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-686-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-686-pae-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-amd64-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-arm64-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-loongson-3-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-octeon-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-powerpc64le-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-26-s390x-di (5.10.197-1)
XFS filesystem support
xfs-modules-5.10.0-27-4kc-malta-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-5kc-malta-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-686-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-686-pae-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-amd64-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-arm64-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-loongson-3-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-octeon-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-powerpc64le-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-27-s390x-di (5.10.205-2) [security]
XFS filesystem support
xfs-modules-5.10.0-28-4kc-malta-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-5kc-malta-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-686-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-686-pae-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-amd64-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-arm64-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-loongson-3-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-octeon-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-powerpc64le-di (5.10.209-2)
XFS filesystem support
xfs-modules-5.10.0-28-s390x-di (5.10.209-2)
XFS filesystem support
xfsdump (3.1.9+0+b1 [amd64], 3.1.9+0 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Administrative utilities for the XFS filesystem
xfslibs-dev (5.10.0-4)
XFS filesystem-specific static libraries and headers
xfsprogs (5.10.0-4)
Utilities for managing the XFS filesystem
xfsprogs-udeb (5.10.0-4)
A stripped-down version of xfsprogs, for debian-installer
xfstt (1.11-2)
X Font Server for TrueType fonts
xfwm4 (4.16.1-1)
window manager of the Xfce project
xfwm4-theme-breeze (0.1.0-4)
Breeze theme files for xfwm4
xgalaga (2.1.1.0-6)
X version of the famous Galaga game
xgalaga++ (0.9-2+b1 [amd64], 0.9-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
classic single screen vertical shooter, inspired by xgalaga
xgammon (0.99.1128-4)
Implementation of backgammon under X
xgboost (1.2.1-1)
Scalable and Flexible Gradient Boosting (Executable)
xgnokii (0.6.30+dfsg-1.3)
Datasuite for mobile phone management (X interface)
xgridfit (2.3-4)
program for gridfitting, or "hinting," TrueType fonts
xgridfit-doc (2.3-4)
Documentation for xgridfit
xgterm (2.0+2020.06.15+dfsg-1)
Terminal emulator to work with IRAF
xhk (1.2-2)
XLib halfkey implementation
xhtml-relaxng (20181222+dfsg2-4)
Implementation in RELAX NG of the modularization of XHTML
xhtml2ps (1.0b7-4)
HTML to PostScript converter (Tcl/Tk GUI frontend)
xiccd (0.3.0-1)
X color management daemon
xidle (20200802)
run program after inactivity or edge sensitive
ximtool (2.0+2020.06.15+dfsg-1)
Interactive image display program for the X Window System
xindy (2.5.1.20160104-10)
index generator for structured documents like LaTeX or SGML
xindy-rules (2.5.1.20160104-10)
rule files for xindy
xine-console (0.99.9-2)
xine video player, console user interface
xine-ui (0.99.9-2)
xine video player, graphical user interface
xineliboutput-fbfe (2.1.0+git20191101-1.1)
Remote Framebuffer frontend for vdr-plugin-xineliboutput
xineliboutput-sxfe (2.1.0+git20191101-1.1)
Remote X-Server frontend for vdr-plugin-xineliboutput
xinetd (1:2.3.15.3-1+b1)
replacement for inetd with many enhancements
xininfo (0.14.11-1+b1)
small helper program for monitor layouts
xinit (1.4.0-1)
X server initialisation tool
xinput (1.6.3-1)
Runtime configuration and test of XInput devices
xinput-calibrator (0.7.5+git20140201-1+b2)
Generic touchscreen calibration program for X.Org
xinv3d (1.3.6-6+b2)
3D space invaders for X
xiphos (4.2.1+dfsg1-5)
environment for Bible reading, study, and research
xiphos-data (4.2.1+dfsg1-5)
data files for Xiphos Bible study software
xiterm+thai (1.10-2+b2)
X terminal program with Thai languague support
xjadeo (0.8.9-1)
Video player with JACK sync
xjdic (24-11)
Japanese-English dictionary search program
xjed (1:0.99.19-8)
editor for programmers (x11 version)
xjig (2.4-14.2)
X11 jigsaw puzzle
xjobs (20120412-1+b1)
reads job description line by line and executes them in parallel
xjokes (1.0-17)
X11 jokes
xjump (2.7.5-6.2+b1 [amd64], 2.7.5-6.2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
jumping game for X
xkb-data (2.29-2)
X Keyboard Extension (XKB) configuration data
xkb-data-udeb (2.29-2)
X Keyboard Extension (XKB) configuration data
xkbind (2010.05.20-1+b2)
X Keyboard Extension Indicator
xkbset (0.6-1)
Small utility to change the AccessX settings of XKEYBOARD
xkcdpass (1.16.5+dfsg.1-1.1)
secure passphrase generator inspired by XKCD 936
xkeycaps (2.47-7)
manipulate X11 keymaps (for xmodmap) graphically
xl2tpd (1.3.12-1.1)
layer 2 tunneling protocol implementation
xlassie (1.8-21+b2)
Dockable mail notifier w/ message count & POP3/APOP/IMAP support
xlax (2.4-2+b1)
send keyboard input to multiple X11 windows
xlbiff (4.5.2-1)
mail notification pop-up with configurable message scans
xless (1.7-14.3+b1)
file browsing tool for the X Window System
xletters (1.1.1-5.1)
Type falling words before they land
xli (1.17.0+20061110-6)
command line tool for viewing images in X11
xlibmesa-glu-dev
本虛擬套件由這些套件填實: libglu1-mesa-dev
xloadimage (4.1-25+b1 [amd64], 4.1-25 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Graphics file viewer under X11
xlog (2.0.20-1)
GTK+ Logging program for Hamradio Operators
xlog-data (2.0.20-1)
data for xlog, a GTK+ Logging program for Hamradio Operators
xlsx2csv (1:0.7.6-2)
convert xslx files to csv format
xlunzip (0.7-1)
data compressor based on the LZMA algorithm (decompressor)
xmabacus (8.3.4-1)
simulation of the ancient calculator (Motif version)
xmacro (0.3pre-20000911-7)
Record / Play keystrokes and mouse movements in X displays
xmahjongg (3.7-5)
tile-based solitaire game
xmakemol (5.16-10)
program for visualizing atomic and molecular systems
xmakemol-gl (5.16-10)
program for visualizing atomic and molecular systems (OpenGL)
xmaxima (5.44.0-3)
Computer algebra system -- x interface
xmbmon (2.05-8+b1)
Hardware monitoring without kernel dependencies (X client)
xmds2 (3.0.0+dfsg-5)
eXtensible Multi-Dimensional Simulator
xmds2-doc (3.0.0+dfsg-5)
documentation for the eXtensible Multi-Dimensional Simulator
xmedcon (0.16.3+dfsg-1)
Medical Image (DICOM, ECAT, ...) conversion tool (GUI)
xmille
本虛擬套件由這些套件填實: kgames
xmix (2.1-7+b1)
X11-based interface to the Linux sound driver mixer
xml-core (0.18+nmu1)
XML infrastructure and XML catalog file support
xml-i18n-tools
本虛擬套件由這些套件填實: intltool
xml-rpc-api2cpp
本虛擬套件由這些套件填實: xmlrpc-api-utils
xml-rpc-api2txt
本虛擬套件由這些套件填實: xmlrpc-api-utils
xml-rs (0.8.3-1+b1)
Show various statistics about XML files
xml-security-c-utils (2.0.2-4)
C++ library for XML Digital Signatures (utilities)
xml-twig-tools (1:3.52-1)
command line tools for processing XML documents
xml2 (0.5-4)
Convert between XML, HTML, CSV and a line-oriented format
xml2rfc (2.47.0-1) [non-free]
XML-based formatting tool for RFCs
xmlbeans (3.0.2-1)
Java library for accessing XML by binding it to Java types - tools
xmlcopyeditor (1.2.1.3-4.1)
fast, free, validating XML editor
xmlcopyeditor-dbg (1.2.1.3-4.1)
fast, free, validating XML editor - debug
xmldiff (2.4-2)
library and command line utility for diffing xml files
xmlformat
本虛擬套件由這些套件填實: xmlformat-perl, xmlformat-ruby
xmlformat-doc (1.04-2.1)
XML Document Formatter documentation
xmlformat-perl (1.04-2.1)
XML Document Formatter
xmlformat-ruby (1.04-2.1)
XML Document Formatter
xmlindent (0.2.17-6+b1 [amd64], 0.2.17-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
XML stream reformatter
xmlroff (0.6.2-1.4)
XSL formatter mainly for DocBook
xmlrpc-api-utils (1.33.14-9)
Generate C++ wrapper classes for XML-RPC servers
xmlsec1 (1.2.31-1)
XML security command line processor
xmlstarlet (1.6.1-2.1)
command line XML toolkit
xmltex
本虛擬套件由這些套件填實: texlive-formats-extra
xmlto (0.0.28-2.1)
XML-to-any converter
xmltoman (0.6-1)
simple XML to man converter
xmltooling-schemas (3.2.0-3+deb11u1)
XML schemas for XMLTooling
xmltv (1.0.0-1)
functionality related to the XMLTV file format for TV listings
xmltv-gui (1.0.0-1)
graphical user interface for XMLTV tv_check utility
xmltv-util (1.0.0-1)
utilities related to the XMLTV file format for TV listings
xmms2 (0.8+dfsg-21)
Client/server based media player system
xmms2-client-avahi (0.8+dfsg-21)
XMMS2 - avahi client
xmms2-client-cli (0.8+dfsg-21)
XMMS2 - cli client
xmms2-client-medialib-updater (0.8+dfsg-21)
XMMS2 - medialib-updater client
xmms2-client-nycli (0.8+dfsg-21)
XMMS2 - new cli client
xmms2-core (0.8+dfsg-21)
XMMS2 - core package
xmms2-dev (0.8+dfsg-21)
XMMS2 - plug-in development files
xmms2-icon (0.8+dfsg-21)
XMMS2 - icon package
xmms2-plugin-airplay (0.8+dfsg-21)
XMMS2 - airplay output plug-in
xmms2-plugin-all (0.8+dfsg-21)
XMMS2 - all plug-ins
xmms2-plugin-alsa (0.8+dfsg-21)
XMMS2 - ALSA output
xmms2-plugin-ao (0.8+dfsg-21)
XMMS2 - libao output plug-in
xmms2-plugin-apefile (0.8+dfsg-21)
XMMS2 - Monkey's Audio decoder plug-in
xmms2-plugin-asf (0.8+dfsg-21)
XMMS2 - ASF plug-in
xmms2-plugin-asx (0.8+dfsg-21)
XMMS2 - ASX playlist plug-in
xmms2-plugin-avcodec (0.8+dfsg-21)
XMMS2 - avcodec decoder
xmms2-plugin-cdda (0.8+dfsg-21)
XMMS2 - CDDA plug-in
xmms2-plugin-cue (0.8+dfsg-21)
XMMS2 - CUE playlist plug-in
xmms2-plugin-curl (0.8+dfsg-21)
XMMS2 - curl transport for HTTP
xmms2-plugin-daap (0.8+dfsg-21)
XMMS2 - daap plug-in
xmms2-plugin-faad (0.8+dfsg-21)
XMMS2 - faad decoder
xmms2-plugin-flac (0.8+dfsg-21)
XMMS2 - FLAC decoder
xmms2-plugin-flv (0.8+dfsg-21)
XMMS2 - Flash Video plug-in
xmms2-plugin-gme (0.8+dfsg-21)
XMMS2 - gme plug-in
xmms2-plugin-gvfs (0.8+dfsg-21)
XMMS2 - gvfs plug-in
xmms2-plugin-html (0.8+dfsg-21)
XMMS2 - HTML playlist plug-in
xmms2-plugin-ices (0.8+dfsg-21)
XMMS2 - Ogg streaming output
xmms2-plugin-icymetaint (0.8+dfsg-21)
XMMS2 - shoutcast metadata plug-in
xmms2-plugin-id3v2 (0.8+dfsg-21)
XMMS2 - ID3v2 plug-in
xmms2-plugin-jack (0.8+dfsg-21)
XMMS2 - JACK output
xmms2-plugin-karaoke (0.8+dfsg-21)
XMMS2 - karaoke plug-in
xmms2-plugin-m3u (0.8+dfsg-21)
XMMS2 - M3U playlist plug-in
xmms2-plugin-mad (0.8+dfsg-21)
XMMS2 - libmad based mp3 decoder
xmms2-plugin-mms (0.8+dfsg-21)
XMMS2 - MMS transport
xmms2-plugin-modplug (0.8+dfsg-21)
XMMS2 - modplug decoder
xmms2-plugin-mp4 (0.8+dfsg-21)
XMMS2 - MPEG-4 plug-in
xmms2-plugin-mpg123 (0.8+dfsg-21)
XMMS2 - libmpg123 based mp3 decoder
xmms2-plugin-musepack (0.8+dfsg-21)
XMMS2 - mpc decoder
xmms2-plugin-normalize (0.8+dfsg-21)
XMMS2 - Normalize plug-in
xmms2-plugin-ofa (0.8+dfsg-21)
XMMS2 - Open Fingerprint Architecture plug-in
xmms2-plugin-oss (0.8+dfsg-21)
XMMS2 - OSS output
xmms2-plugin-output
本虛擬套件由這些套件填實: xmms2-plugin-pulse, xmms2-plugin-jack, xmms2-plugin-oss, xmms2-plugin-ices, xmms2-plugin-alsa, xmms2-plugin-ao
xmms2-plugin-pls (0.8+dfsg-21)
XMMS2 - PLS playlist plug-in
xmms2-plugin-pulse (0.8+dfsg-21)
XMMS2 - PulseAudio output plug-in
xmms2-plugin-rss (0.8+dfsg-21)
XMMS2 - RSS podcast plug-in
xmms2-plugin-sid (0.8+dfsg-21)
XMMS2 - libsidplay2 based decoder
xmms2-plugin-smb (0.8+dfsg-21)
XMMS2 - Server Message Block (SMB) transport
xmms2-plugin-sndfile (0.8+dfsg-21)
XMMS2 - sndfile decoder
xmms2-plugin-speex (0.8+dfsg-21)
XMMS2 - Speex decoder
xmms2-plugin-tta (0.8+dfsg-21)
XMMS2 - TTA decoder plug-in
xmms2-plugin-vocoder (0.8+dfsg-21)
XMMS2 - vocoder plug-in
xmms2-plugin-vorbis (0.8+dfsg-21)
XMMS2 - vorbis decoder
xmms2-plugin-wavpack (0.8+dfsg-21)
XMMS2 - WavPack decoder plug-in
xmms2-plugin-xml (0.8+dfsg-21)
XMMS2 - XML plug-in
xmms2-plugin-xspf (0.8+dfsg-21)
XMMS2 - XSPF playlist plug-in
xmobar (0.36-2+b1 [ppc64el], 0.36-2 [amd64, arm64, armel, armhf, i386, mips64el, mipsel, s390x])
lightweight status bar for X11 window managers
xmonad (0.15-4+b1)
Lightweight X11 window manager written in Haskell
xmorph (1:20140707+nmu2.1)
digital image warp and morph (x11)
xmorph-example
本虛擬套件由這些套件填實: gtkmorph-example
xmotd (1.17.3b-10+b1 [amd64], 1.17.3b-10 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
message of the day browser for X
xmoto (0.6.1+repack-4)
2D motocross platform game
xmoto-data (0.6.1+repack-4)
2D motocross platform game - data files
xmount (0.7.6-3)
tool for crossmounting between disk image formats
xmountains (2.10-1)
Fractal landscape generator for X
xmp (4.1.0-3)
module player supporting AWE32, GUS, and software-mixing
xmp-player
本虛擬套件由這些套件填實: xmp
xmpi (2.2.3b8-14+b1 [amd64], 2.2.3b8-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Graphical user interface for MPI program development
xmpp-server
本虛擬套件由這些套件填實: ejabberd, prosody
xmppc (0.1.0-1+b1 [amd64], 0.1.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
XMPP Command Line Client
xmpsolve (3.2.1-2+b1)
Multiprecision polynomial solver (graphical version)
xmpuzzles (7.7.1-1.1)
collection of puzzles for X (Motif version)
xnec2c (1:4.1.1-2)
calculate and display radio antenna properties
xnecview (1.37-1)
NEC structure and gain pattern viewer
xnee (3.19-6)
X event recorder/replayer - metapackage
xnee-doc (3.19-6)
X event recorder/replayer - documentation
xnest (2:1.20.11-1+deb11u13) [security]
Nested X server
xneur (0.20.0-3)
in-place conversion of text typed in with a wrong keyboard layout
xonix (1.4-34)
game to carve up the screen whilst dodging monsters
xonsh (0.9.25+dfsg-1)
Python-powered, cross-platform, Unix-gazing shell
xonsh-doc (0.9.25+dfsg-1)
Python-powered, cross-platform, Unix-gazing shell (documentation)
xorg (1:7.7+22)
X.Org X Window System
xorg-dev (1:7.7+22)
X.Org X Window System development libraries
xorg-docs (1:1.7.1-1.2)
Miscellaneous documentation for the X.org X Window System
xorg-docs-core (1:1.7.1-1.2)
Core documentation for the X.org X Window System
xorg-driver-input
本虛擬套件由這些套件填實: xserver-xorg-input-evdev, xserver-xorg-input-joystick, xserver-xorg-input-elographics, xserver-xorg-input-aiptek, xserver-xorg-input-mouse, xserver-xorg-input-mtrack, xserver-xorg-input-wacom, xserver-xorg-input-multitouch, xserver-xorg-input-kbd, xserver-xorg-input-libinput, xserver-xorg-input-mutouch, xorgxrdp, xserver-xorg-input-libinput-udeb, xserver-xorg-input-synaptics, xserver-xorg-input-evdev-udeb, xserver-xorg-input-xwiimote
xorg-driver-synaptics
本虛擬套件由這些套件填實: xserver-xorg-input-synaptics
xorg-driver-video
本虛擬套件由這些套件填實: xserver-xorg-video-qxl, xserver-xorg-video-vmware, xserver-xorg-video-cirrus, xserver-xorg-video-mga, xserver-xorg-video-siliconmotion, xserver-xorg-video-nvidia-tesla-450, xserver-xorg-video-dummy, xserver-xorg-video-openchrome, xserver-xorg-video-intel, xserver-xorg-video-trident, xserver-xorg-video-fbdev-udeb, xserver-xorg-video-glide, xserver-xorg-video-savage, xserver-xorg-video-vesa, xserver-xorg-video-nvidia-legacy-390xx, xserver-xorg-video-nvidia-tesla-470, xserver-xorg-video-neomagic, xserver-xorg-video-omap, xserver-xorg-video-radeon, xserver-xorg-video-geode, xserver-xorg-video-ati, xserver-xorg-video-tdfx, xserver-xorg-video-r128, xserver-xorg-video-mach64, xserver-xorg-video-fbdev, xorgxrdp, xserver-xorg-video-nvidia-tesla-418, xserver-xorg-video-nouveau, xserver-xorg-video-ivtv, xserver-xorg-video-amdgpu, xserver-xorg-video-nvidia, xserver-xorg-video-sisusb
xorg-input-abi-24
本虛擬套件由這些套件填實: xserver-xorg-core-udeb, xserver-xorg-core
xorg-server-source (2:1.20.11-1+deb11u13) [security]
Xorg X server - source files
xorg-sgml-doctools (1:1.11-1.1)
Common tools for building X.Org SGML documentation
xorg-video-abi-24
本虛擬套件由這些套件填實: xserver-xorg-core, xserver-xorg-core-udeb
xorgxrdp (1:0.2.12-1+deb11u1)
Remote Desktop Protocol (RDP) modules for X.org
xorriso (1.5.2-1)
command line ISO-9660 and Rock Ridge manipulation tool
xorriso-tcltk (1.5.2-1)
educational GUI frontend for ISO-9660 manipulation tool xorriso
xoscope (2.2-3)
digital oscilloscope
xosd-bin (2.2.14-2.1+b1)
X On-Screen Display library - binary files
xosview (1.23-1)
X based system monitor
xotcl (1.6.8-4.1)
Extended Object Tcl (XOTcl): Object orientation for Tcl - shared library
xotcl-dev (1.6.8-4.1)
Extended Object Tcl (XOTcl): Object orientation for Tcl - development files
xotcl-doc (1.6.8-4.1)
Extended Object Tcl (XOTcl): Object orientation for Tcl - manual
xotcl-shells (1.6.8-4.1)
Extended Object Tcl (XOTcl): Object orientation for Tcl - shells
xournal (1:0.4.8.2016-7)
GTK+ Application for note taking
xpa-tools (2.1.20-1)
Tools for seamless communication between Unix programs
xpad (5.4.0-1)
sticky note application for X
xpaint (2.9.1.4-4)
simple paint program for X
xpaint-dev (2.9.1.4-4)
simple paint program for X (development files)
xpat2 (1.07-20+b1 [amd64], 1.07-20 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Generic patience game for X11
xpdf (3.04+git20210103-3)
Motif-based PDF reader using the Poppler library
xpdf-utils
本虛擬套件由這些套件填實: poppler-utils
xpenguins (2.2-11)
little penguins walk on your windows
xperia-flashtool (0.9.33+ds-1)
flashtool for xperia devices
xphoon (20000613+0-8)
sets the root window to a picture of the moon
xplanet (1.3.0-5.1)
planetary body renderer
xplanet-images (1.3.0-5.1)
imagery for xplanet
xplot (1.19-9+b2)
simple on-screen x-y column data plotter
xplot-xplot.org (0.90.7.1-4+b1 [amd64], 0.90.7.1-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
fast tool to graph and visualize lots of data
xpmutils (1:3.5.12-1.1+deb11u1)
X11 pixmap utilities
xppaut (6.11b+1.dfsg-1.1)
Phase Plane Plus Auto: Solves many kinds of equations
xpra (3.0.13+dfsg1-1)
tool to detach/reattach running X programs
xprintidle (0.2.4-1)
Small utility that prints user's idle time in X
xprobe (0.3-5)
Remote OS identification
xprobe2
本虛擬套件由這些套件填實: xprobe
xpuzzles (7.7.1-1.1)
collection of puzzles for X (plain X version)
xpython (0.9.5-1)
Native jupyter kernel for python (binary)
xqf (1.0.6-4)
X-based Quake Server Browser
xqilla (2.3.4-1+b1)
XQuery and XPath 2.0 command line interpreter
xr-hardware (0.4.0-1)
udev rules files for normal user access to XR input devices
xracer (0.96.9.1-11)
Futuristic racing game
xracer-tools (0.96.9.1-11)
Futuristic racing game - developer tools
xrayutilities (1.7.1-1)
transitional package
xrdesktop (0.15.1-5)
XR desktop interaction library -- settings application
xrdp (0.9.21.1-1~deb11u1)
Remote Desktop Protocol (RDP) server
xrestop (0.4+git20130926-3)
X11 server resource usage monitor
xringd (1.20-27+b1)
extended ring daemon - monitor phone rings and take action
xrootconsole (1:0.6-4+b1 [amd64], 1:0.6-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Fancy X console display
xrootd-client (5.0.3-4)
Xrootd command line client tools
xrootd-client-plugins (5.0.3-4)
Plugins used by xrootd clients
xrootd-doc (5.0.3-4)
Developer documentation for the xrootd libraries
xrootd-fuse (5.0.3-4)
Xrootd FUSE tool
xrootd-plugins (5.0.3-4)
Plugins used by xrootd servers and clients
xrootd-server (5.0.3-4)
Extended ROOT file server
xrootd-server-plugins (5.0.3-4)
Plugins used by xrootd servers
xrootd-voms-plugins (5.0.3-4)
VOMS attribute extractor plug-in for XRootD
xsane (0.999-10)
featureful graphical frontend for SANE (Scanner Access Now Easy)
xsane-common (0.999-10)
xsane architecture independent files
xscavenger (1.4.5-4+b1 [amd64], 1.4.5-4 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Lode-runner-like platform game for X
xschem (2.8.1-3)
schematic capture program
xscorch (0.2.1-1+nmu6)
Clone of Scorched Earth
xscreensaver (5.45+dfsg1-2)
Screensaver daemon and frontend for X11
xscreensaver-data (5.45+dfsg1-2)
Screen saver modules for screensaver frontends
xscreensaver-data-extra (5.45+dfsg1-2)
Extra screen saver modules for screensaver frontends
xscreensaver-gl (5.45+dfsg1-2)
GL(Mesa) screen saver modules for screensaver frontends
xscreensaver-gl-extra (5.45+dfsg1-2)
Extra GL(Mesa) screen saver modules for screensaver frontends
xscreensaver-screensaver-bsod (5.45+dfsg1-2)
BSOD screen saver module from XScreenSaver
xscreensaver-screensaver-dizzy (0.3-3.1)
Graphics demo that makes you dizzy (XScreenSaver hack)
xscreensaver-screensaver-webcollage (5.45+dfsg1-2)
Webcollage screen saver module from XScreenSaver
xsdcxx (4.0.0-8.1)
XML Data Binding for C++
xsddiagram (1.0-1)
XML Schema Definition (XSD) diagram viewer
xsecurelock (1.5.1-1)
X11 screen lock utility with the primary goal of security
xsel (1.2.0+git9bfc13d.20180109-3)
command-line tool to access X clipboard and selection buffers
xsensors (0.70-5+b1 [amd64], 0.70-5 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
hardware health information viewer
xserver
本虛擬套件由這些套件填實: xnest, tightvncserver, xvfb, xserver-xorg, xserver-xephyr, tigervnc-standalone-server
xserver-common (2:1.20.11-1+deb11u13) [security]
common files used by various X servers
xserver-xephyr (2:1.20.11-1+deb11u13) [security]
nested X server
xserver-xorg (1:7.7+22)
X.Org X server
xserver-xorg-core (2:1.20.11-1+deb11u13) [security]
Xorg X server - core server
xserver-xorg-core-udeb (2:1.20.11-1+deb11u13) [security]
Xorg X server - core server
xserver-xorg-dev (2:1.20.11-1+deb11u13) [security]
Xorg X server - development files
xserver-xorg-input-aiptek (1:1.4.1-3+b1 [amd64], 1:1.4.1-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- Aiptek input driver
xserver-xorg-input-all (1:7.7+22)
X.Org X server -- input driver metapackage
xserver-xorg-input-elographics (1:1.4.2-1)
X.Org X server -- ELOGraphics input driver
xserver-xorg-input-evdev (1:2.10.6-2)
X.Org X server -- evdev input driver
xserver-xorg-input-evdev-dev (1:2.10.6-2)
X.Org X server -- evdev input driver (development headers)
xserver-xorg-input-evdev-udeb (1:2.10.6-2)
X.Org X server -- evdev input driver
xserver-xorg-input-joystick (1:1.6.3-1+b1)
X.Org X server -- joystick input driver
xserver-xorg-input-joystick-dev (1:1.6.3-1)
X.Org X server -- joystick input driver (development headers)
xserver-xorg-input-kbd (1:1.9.0-1+b2)
X.Org X server -- keyboard input driver
xserver-xorg-input-libinput (0.30.0-1)
X.Org X server -- libinput input driver
xserver-xorg-input-libinput-dev (0.30.0-1)
X.Org X server -- libinput input driver (development headers)
xserver-xorg-input-libinput-udeb (0.30.0-1)
X.Org X server -- libinput input driver
xserver-xorg-input-mouse (1:1.9.3-1)
X.Org X server -- mouse input driver
xserver-xorg-input-mtrack (0.3.1-1+b3)
Multitouch X input driver
xserver-xorg-input-multitouch (1.0~rc3-2+b1 [amd64], 1.0~rc3-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
Multitouch X input driver
xserver-xorg-input-mutouch (1:1.3.0-2+b1 [amd64], 1:1.3.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- muTouch input driver
xserver-xorg-input-synaptics (1.9.1-2)
Synaptics TouchPad driver for X.Org server
xserver-xorg-input-synaptics-dev (1.9.1-2)
Synaptics TouchPad driver for X.Org server (development headers)
xserver-xorg-input-wacom (0.34.99.1-1+b1 [amd64], 0.34.99.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- Wacom input driver
xserver-xorg-input-xwiimote (0.5-1+b3)
X.Org Wii remote input driver
xserver-xorg-legacy (2:1.20.11-1+deb11u13) [security]
setuid root Xorg server wrapper
xserver-xorg-video-all (1:7.7+22)
X.Org X server -- output driver metapackage
xserver-xorg-video-amd
本虛擬套件由這些套件填實: xserver-xorg-video-geode
xserver-xorg-video-amdgpu (19.1.0-2)
X.Org X server -- AMDGPU display driver
xserver-xorg-video-ati (1:19.1.0-2)
X.Org X server -- AMD/ATI display driver wrapper
xserver-xorg-video-cirrus (1:1.5.3-1+b3)
X.Org X server -- Cirrus display driver
xserver-xorg-video-dummy (1:0.3.8-1+b1)
X.Org X server -- dummy display driver
xserver-xorg-video-fbdev (1:0.5.0-1)
X.Org X server -- fbdev display driver
xserver-xorg-video-fbdev-udeb (1:0.5.0-1)
X.Org X server -- fbdev display driver
xserver-xorg-video-geode (2.11.20-6)
X.Org X server -- Geode GX2/LX display driver
xserver-xorg-video-glide (1.2.2-1+b7)
X.Org X server -- Glide display driver
xserver-xorg-video-intel (2:2.99.917+git20200714-1+deb11u1)
X.Org X server -- Intel i8xx, i9xx display driver
xserver-xorg-video-ivtv (1.1.2-2+b6) [contrib]
X.Org X server -- IVTV display driver
xserver-xorg-video-ivtv-dbg (1.1.2-2+b6) [contrib]
X.Org X server -- IVTV display driver (debug symbols)
xserver-xorg-video-mach64 (6.9.6-3)
X.Org X server -- ATI Mach64 display driver
xserver-xorg-video-mga (1:2.0.0-1)
X.Org X server -- MGA display driver
xserver-xorg-video-modesetting
本虛擬套件由這些套件填實: xserver-xorg-core
xserver-xorg-video-neomagic (1:1.3.0-2+b1 [amd64], 1:1.3.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- Neomagic display driver
xserver-xorg-video-nouveau (1:1.0.17-1)
X.Org X server -- Nouveau display driver
xserver-xorg-video-nvidia (470.223.02-1) [non-free]
NVIDIA binary Xorg driver
xserver-xorg-video-nvidia-any
本虛擬套件由這些套件填實: xserver-xorg-video-nvidia-tesla-470, xserver-xorg-video-nvidia-tesla-418, xserver-xorg-video-nvidia, xserver-xorg-video-nvidia-legacy-390xx, xserver-xorg-video-nvidia-tesla-450
xserver-xorg-video-nvidia-legacy-390xx (390.157-1~deb11u1) [non-free]
NVIDIA binary Xorg driver (390xx legacy version)
xserver-xorg-video-nvidia-tesla-418 (418.226.00-6~deb11u1) [non-free]
NVIDIA binary Xorg driver (Tesla 418 version)
xserver-xorg-video-nvidia-tesla-450 (450.248.02-1~deb11u1) [non-free]
NVIDIA binary Xorg driver (Tesla 450 version)
xserver-xorg-video-nvidia-tesla-460 (460.106.00-6~deb11u1) [non-free]
NVIDIA binary Xorg driver (Tesla 460 version)
xserver-xorg-video-nvidia-tesla-470 (470.223.02-2~deb11u1) [non-free]
NVIDIA binary Xorg driver (Tesla 470 version)
xserver-xorg-video-omap (0.4.5-1.1)
X.Org X server -- OMAP display driver
xserver-xorg-video-openchrome (1:0.6.0-4)
X.Org X server -- OpenChrome display driver
xserver-xorg-video-qxl (0.1.5+git20200331-1)
X.Org X server -- QXL display driver
xserver-xorg-video-r128 (6.12.0-2+b1 [amd64], 6.12.0-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- ATI r128 display driver
xserver-xorg-video-radeon (1:19.1.0-2)
X.Org X server -- AMD/ATI Radeon display driver
xserver-xorg-video-savage (1:2.3.9-4)
X.Org X server -- Savage display driver
xserver-xorg-video-siliconmotion (1:1.7.9-3+b1 [amd64], 1:1.7.9-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- SiliconMotion display driver
xserver-xorg-video-sisusb (1:0.9.7-2+b1 [amd64], 1:0.9.7-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- SiS USB display driver
xserver-xorg-video-tdfx (1:1.5.0-4)
X.Org X server -- tdfx display driver
xserver-xorg-video-trident (1:1.3.8-2+b1 [amd64], 1:1.3.8-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el])
X.Org X server -- Trident display driver
xserver-xorg-video-vesa (1:2.5.0-1)
X.Org X server -- VESA display driver
xserver-xorg-video-vmware (1:13.3.0-3)
X.Org X server -- VMware display driver
xserver-xspice (0.1.5+git20200331-1)
Xspice X server
xsettings-kde (0.9-2+b2)
XSettings daemon for KDE
xsettingsd (1.0.2-1)
Provides settings to X11 applications
xshisen (1:1.51-6+b1 [amd64], 1:1.51-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Shisen-sho puzzle game for X11
xshogi (1.4.2-2+b1)
X11 frontend to gnushogi
xskat (4.0-7+b1)
3-player card game "Skat"
xsltproc (1.1.34-4+deb11u1)
XSLT 1.0 command line processor
xsnow (1:3.1.1-1)
brings Christmas to your desktop
xsok (1.02-19)
generic Sokoban game for X11
xsol (0.31-14+b1 [amd64], 0.31-14 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Solitaire game for the X Window system
xsoldier (1:1.8-6+b1 [amd64], 1:1.8-6 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
shoot 'em up game with the "not shooting" bonus
xspectemu
本虛擬套件由這些套件填實: spectemu-x11
xss-lock (0.3.0-10+b1)
invoke external screen lock in response to XScreenSaver events
xssproxy (1.0.0-1+b1 [amd64], 1.0.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Forward freedesktop.org Idle Inhibition Service calls to Xss
xssstate
本虛擬套件由這些套件填實: suckless-tools
xstarfish (1.1+ds-1)
X wallpaper generator
xstow (1.0.2-1)
Extended replacement of GNU Stow
xsunpinyin (2.0.3-6)
Standalone XIM server for Sunpinyin
xsynth-dssi (0.9.4-4)
classic-analog (VCOs-VCF-VCA) style software synthesizer
xsysinfo (1.7-9+b2)
display some Linux kernel parameters in graphical form
xsystem35 (1.7.3-pre5-8)
System 3.5 games engine for X Window System
xtables-addons-common (3.13-1+deb11u1)
Extensions targets and matches for iptables [tools, libs]
xtables-addons-common-3.13
本虛擬套件由這些套件填實: xtables-addons-common
xtables-addons-dkms (3.13-1+deb11u1)
Extensions targets and matches for iptables
xtables-addons-source (3.13-1+deb11u1)
Extensions targets and matches for iptables [modules sources]
xtail (2.1-8)
like "tail -f", but works on truncated files, directories, more
xteddy (2.2-5)
cuddly teddy bear (or other image) for your X desktop
xtel (3.3.0-24)
X emulator of the French Minitel
xterm (366-1+deb11u1)
X terminal emulator
xtermcontrol (3.6-1+b1 [amd64], 3.6-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
dynamic configuration of xterm properties
xtermset (0.5.2-6+b1)
change the characteristics of an xterm
xtide (2.15.2-1)
provides tide and current predictions
xtide-coastline (20020202-1.1)
coastline data for xtide
xtide-data (20191229-1)
Harmonics data for xtide
xtide-data-nonfree (20100529-1) [non-free]
Harmonics data for xtide (Canada, Netherlands, Germany and UK)
xtightvncviewer (1:1.3.10-3)
virtual network computing client software for X
xtitle (1.0.2-9)
set window title and icon name for X terminal
xtl-dev (0.6.23-1)
basic tools (containers, algorithms) used for xtensor and xeus
xtpcpp (0.4.18-1)
C++ version of X!TandemPipeline
xtrace (1.4.0-1+b1 [amd64], 1.4.0-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
trace communication between X client and server
xtrans-dev (1.4.0-1)
X transport library (development files)
xtrkcad (1:5.2.0Beta2.1-1)
CAD program for designing model railroad layouts
xtrkcad-common (1:5.2.0Beta2.1-1)
CAD program for designing model railroad layouts (common files)
xtrlock (2.15)
Minimal X display lock program
xtron (1.1a-14.1)
Tron game for X11
xtrs (4.9d-2+b1) [contrib]
emulator for TRS-80 Model I/III/4/4P computers
xtrx-dkms (0.0.1+git20190320.5ae3a3e-2)
XTRX PCI driver for linux
xtrx-fft (0.0.1+git20191219.98458ce-1+b1)
Simple spectrum browser for XTRX
xttitle (1.0-7+b1)
Changes X terminal emulator window titles
xtv (1.1-15+b1 [amd64], 1.1-15 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
View the screen of a remote X11 display
xul-ext-debianbuttons (2.3-2)
Buttons for Firefox - Transitional package
xul-ext-dispmua (1.8.4.6-1)
display Mail User Agent extension for Thunderbird
xul-ext-enigmail
本虛擬套件由這些套件填實: enigmail
xul-ext-treestyletab (3.5.20-1)
Show browser tabs like a tree - transitional package
xutils (1:7.7+22)
X Window System utility programs metapackage
xutils-dev (1:7.7+5+b1)
X Window System utility programs for development
xva-img (1.4.1-4)
Citrix XenServer .xva disk extraction tool
xvfb (2:1.20.11-1+deb11u13) [security]
Virtual Framebuffer 'fake' X server
xvier (1.0-7.6+b2 [amd64], 1.0-7.6+b1 [mips64el], 1.0-7.6 [arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
a "Four in a row" game
xvile (9.8u-2)
VI Like Emacs - vi work-alike (X11)
xvkbd (4.1-1)
software virtual keyboard for X11
xwallpaper (0.6.5-1)
utility for setting image files as X wallpaper
xwatch (2.11-16)
logfile monitor that displays in an X window.
xwax (1.7-1)
open-source vinyl emulation software for Linux
xwayland (2:1.20.11-1+deb11u13) [security]
Xwayland X server
xwelltris (1.0.1-17)
3D Tetris like popular game similar to Welltris
xwiimote (2-4)
Nintendo Wii Remote Linux Device Driver Tools
xwit (3.4-16+b1 [amd64], 3.4-16 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
collection of simple routines to call some X11 functions
xwpe (1.5.30a-3)
Programming environment and editor for console and X11
xwrited (3-1)
display write and wall messages as desktop notifications
xwrits (2.21-6.1+b2)
reminds you to take a break from typing
xxd (2:8.2.2434-3+deb11u1)
tool to make (or reverse) a hex dump
xxdiff (1:5.0~b1+git20200622+dfsg-2.1)
graphical file/directory comparison and merge tool
xxgdb (1.12-17+b2)
An X front-end to the GNU debugger gdb
xxhash (0.8.0-2)
Extremely fast hash algorithm
xxkb (1.11-4)
鍵盤狀態指示器暨 xkb 切換器
xye (0.12.2+dfsg-9)
puzzle game - get all the gems in the room
xye-data (0.12.2+dfsg-9)
data files for xye
xygrib (1.2.6-2)
Weather data visualization, GRIB file viewer
xygrib-maps (1.2.6-2)
Maps for XyGrib weather visualization
xymon (4.3.30-1)
monitoring system for systems, networks and applications
xymon-client (4.3.30-1)
client for the Xymon network monitor
xymonq (0.8-1)
query cli for Xymon
xyscan (4.50-1)
data thief for scientists
xz-utils (5.2.5-2.1~deb11u1)
XZ-format compression utilities
xzdec (5.2.5-2.1~deb11u1)
XZ-format compression utilities - tiny decompressors
xzgv (0.9.2-2)
Picture viewer for X with a thumbnail-based selector
xzip (1:1.8.2-4+b1)
Interpreter of Infocom-format story-files
xzoom (0.3-26)
magnify part of X display, with real-time updates
yabar (0.4.0-1.1)
modern and lightweight status bar for X window managers
yabasic (1:2.89.1-1)
Yet Another BASIC interpreter
yabause (0.9.14-4)
beautiful and under-rated Saturn emulator
yabause-common (0.9.14-4)
beautiful and under-rated Saturn emulator - common files
yabause-gtk (0.9.14-4+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.14-4 [armel, armhf])
beautiful and under-rated Saturn emulator - Gtk port
yabause-qt (0.9.14-4+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.9.14-4 [armel, armhf])
beautiful and under-rated Saturn emulator - Qt port
yacas (1.3.6-2.1)
Computer Algebra System
yacas-doc (1.3.6-2.1)
Documentation for Yacas
yacpi (3.0.1-2)
ncurses based acpi monitor for text mode
yad (0.40.0-1)
tool for creating graphical dialogs from shell scripts
yade (2021.01a-3)
Platform for discrete element modeling
yade-doc (2021.01a-3)
Platform for discrete element modeling. Documentation
yadifa (2.4.2-1)
Internet Domain Name Server
yadm (3.0.2-2)
Yet Another Dotfiles Manager
yafc (1.3.7-4)
yet another FTP client
yagf (0.9.5+repack1-1)
graphical interface for cuneiform and tesseract
yaggo (1.5.10-2)
generate command line parser using getopt_long
yagiuda (1.19-9+b1)
software to analyse performance of Yagi-Uda antennas
yagv (0.4~20171211.r234ef16+dfsg-2)
yet another G-code viewer
yaha (0.1.83-2)
find split-read mappings on single-end queries
yahtzeesharp (1.1-6.1)
game similar to poker with dice and less money
yajl-tools (2.1.0-3+deb11u2)
Yet Another JSON Library - tools
yakuake (20.12.1-1)
Quake-style terminal emulator based on KDE Konsole technology
yale (5.0.95-2) [non-free]
stellar data set from the Yale Bright Star Catalog
yale-viewer
本虛擬套件由這些套件填實: starplot
yamagi-quake2 (7.45+ctf1.07~dfsg-1) [contrib]
enhanced version of id Software's Quake II - client
yamagi-quake2-core (7.45+ctf1.07~dfsg-1) [contrib]
enhanced version of id Software's Quake II - non-GUI part
yamdi (1.4-3)
utility for adding metadata to flash video files
yaml-mode
本虛擬套件由這些套件填實: elpa-yaml-mode
yamllint (1.26.0-2)
Linter for YAML files
yample (0.30-3.1)
Yet Another Mail Processing Language
yanagiba (1.0.0-2)
filter low quality Oxford Nanopore reads basecalled with Albacore
yang-tools (1.0.225-1.1)
parser toolkit for IETF YANG data modeling [dummy package]
yangcli (2.11-1.1)
NETCONF/YANG command line client application
yangdump (2.11-1.1)
Validate YANG modules and convert them to different formats
yank (1.2.0-1)
interactively select and yank terminal output to stdout or xsel
yanosim (0.1-3)
read simulator nanopore DRS datasets
yapet (2.5-1)
Yet Another Password Encryption Tool
yapf3 (0.30.0-1)
Python code formatter for different styles (Python 3)
yapps2 (2.2.1-3.1)
Yet Another Python Parser System
yapra (0.1.2-7.1)
Yet Another Pragger implementation
yara (4.0.5-1)
Pattern matching swiss knife for malware researchers
yara-doc (4.0.5-1)
HTML documentation for YARA
yard (0.9.24-1+deb11u1) [security]
Ruby documentation tool
yard-doc (0.9.24-1+deb11u1) [security]
Ruby documentation tool - documentation
yaret (2.1.0-5.1)
console tool to turn CDs into encoded music
yarn
本虛擬套件由這些套件填實: cmdtest
yarnpkg (1.22.10+~cs22.25.14-3)
Fast, reliable and secure npm alternative
yasat (848-1.1)
simple stupid audit tool
yash (2.50-1)
yet another shell
yaskkserv (1.1.1-1+b1 [amd64], 1.1.1-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
small and fast SKK dictionary server intended for embedded use
yasm (1.3.0-2.1)
modular assembler with multiple syntaxes support
yasnippet (0.14.0+git20200603.5cbdbf0d-1)
transition Package, yasnippet to elpa-yasnippet
yasnippet
本虛擬套件由這些套件填實: elpa-yasnippet
yasnippet-snippets
本虛擬套件由這些套件填實: elpa-yasnippet-snippets
yasr (0.6.9-10)
General-purpose console screen reader
yasw (0.6-2+b1 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 0.6-2 [armel, armhf])
Yet Another Scan Wizard
yatex (1.82-1)
Yet Another TeX mode for Emacs
yatm (0.9-2+b1)
Command line audio file player with time stretching capabilities
yavta (0.0+git20190222.405e530-4)
Yet Another V4L2 Test Application
yaws (2.0.8+dfsg-3)
High performance HTTP 1.1 webserver written in Erlang
yaws-chat (2.0.8+dfsg-3)
Chat application for Yaws webserver
yaws-doc (2.0.8+dfsg-3)
Documentation and examples for Yaws webserver
yaws-mail (2.0.8+dfsg-3)
Webmail application for Yaws webserver
yaws-wiki (2.0.8+dfsg-3)
Wiki application for Yaws webserver
yaws-yapp (2.0.8+dfsg-3)
Provides an easy way to deploy applications for Yaws webserver
yaz (5.30.3-1)
utilities for YAZ Z39.50 toolkit
yaz-doc (5.30.3-1)
YAZ Z39.50 toolkit (documentation)
yaz-icu (5.30.3-1)
command line utility for ICU utilities of YAZ
yaz-illclient (5.30.3-1)
utility for ISO ILL of YAZ
yazc (0.4.3-1+b1)
Command line tool for the libzc library
yc-el (5.0.0-8.1)
Yet another Canna client for Emacsen
ycm-cmake-modules (0.12.1-1)
Extra CMake Modules for YARP and friends
ycmd (0+20201028+git1d415c5+ds-1+b2)
code-completion & comprehension server
ycmd-core-version (= 42)
本虛擬套件由這些套件填實: ycmd
ycmd-core-version (= 44)
本虛擬套件由這些套件填實: ycmd
ydotool (0.1.8-3)
Command-line automation tool - cli
ydotoold (0.1.8-3)
Command-line automation tool - daemon
ydpdict (1.0.3-1) [contrib]
interface for Collins and Langenscheidt dictionaries
yeahconsole (0.3.4-6)
drop-down X terminal emulator wrapper
yelp (3.38.3-1)
Help browser for GNOME
yelp-tools (3.38.0-1)
Yelp documentation tools
yelp-xsl (3.38.3-1)
XSL stylesheets for the yelp help browser
yersinia (0.8.2-2.1)
Network vulnerabilities check software
yforth (0.2.1-1+b1 [armel, i386], 0.2.1-1 [amd64, arm64, armhf, mips64el, mipsel, ppc64el, s390x])
small freeware Forth environment in ANSI C
yi (0.19.0-1)
Haskell-Scriptable Editor
yiyantang (0.7.0-8)
Terminal-based Chinese automatic encoding converter
ykcs11 (2.2.0-1)
PKCS#11 module for the YubiKey PIV applet
ykls (1.3.4-2+b5)
Utility for listing connected Yubikeys
ykush-control (1.2.5+ds-1)
control application for Yepkit YKUSH Switchable USB Hub board
ylva (1.6-3)
command line password manager
yodl (4.03.02-2)
Your Own Document Language (Yodl) is a pre-document language
yodl-doc (4.03.02-2)
Documentation for Your Own Document Language (Yodl)
yokadi (1.2.0-3)
commandline todo system
yorick (2.2.04+dfsg1-12)
interpreted language and scientific graphics
yorick-av (0.0.5-1+b1)
write movies from Yorick in various formats
yorick-cubeview (2.2-2.1)
3D FITS data viewer specialized in spectro-imaging
yorick-curses (0.1-6+b3 [mipsel], 0.1-6+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
interface to the (n)curses library for the Yorick language
yorick-data (2.2.04+dfsg1-12)
interpreted library for the Yorick language
yorick-dev (2.2.04+dfsg1-12)
development files for the Yorick interpreted language
yorick-doc (2.2.04+dfsg1-12)
documentation for the Yorick interpreted language
yorick-full (2.2.04+dfsg1+full+b1 [amd64], 2.2.04+dfsg1+full [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
full installation of the Yorick interpreter and add-ons
yorick-gl (1.1+cvs20070922+dfsg-6.1+b1)
OpenGL 3D graphics support for the Yorick language
yorick-gy (0.0.5-1+b1)
GObject introspection and Gtk bindings for Yorick
yorick-gyoto (1.4.4-3+b6)
General relativistic geodesic integration for the Yorick language
yorick-hdf5 (0.8.0-8+b2)
Hierarchical Data Format 5 interface for the Yorick language
yorick-imutil (0.5.7-3+b1)
fast image manipulation routines for the Yorick language
yorick-mira (1.1.0+git20170124.3bd1c3~dfsg1-2)
optical interferometry image reconstruction within Yorick
yorick-ml4 (0.6.0-3+b1)
Matlab file format support for the Yorick language
yorick-mpeg (0.1-3+b1)
MPEG output support for the Yorick language
yorick-mpy-common (2.2.04+dfsg1-12)
Message Passing Yorick (common files)
yorick-mpy-mpich2 (2.2.04+dfsg1-12)
Message Passing Yorick (MPICH2 build)
yorick-mpy-openmpi (2.2.04+dfsg1-12)
Message Passing Yorick (OpenMPI build)
yorick-optimpack (1.3.2+dfsg+1.4.0-1)
optimization of large scale problems for the Yorick language
yorick-optimpacklegacy
本虛擬套件由這些套件填實: yorick-optimpack
yorick-soy (1.4.0-3+b1)
sparse matrix operations for the Yorick language
yorick-svipc (0.16-5+b3)
interprocess communication (shared memory...) for Yorick
yorick-yeti (6.4.0-1)
utility plugin for the Yorick language
yorick-yeti-fftw (6.4.0-1)
FFT plugin for the Yorick language
yorick-yeti-gsl
本虛擬套件由這些套件填實: yorick-ygsl
yorick-yeti-regex (6.4.0-1)
POSIX regular expressions for the Yorick language
yorick-yeti-tiff (6.4.0-1)
TIFF image format input for the Yorick language
yorick-ygsl (1.2.1-1+b3 [mips64el], 1.2.1-1+b2 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
GSL special functions plugin for the Yorick language
yorick-ynfft (1.0.3-1+b1)
nonequispaced fast Fourier transform for Yorick
yorick-yutils (1.5.2-1.1)
various utilities for the Yorick language
yorick-z (1.2.0+cvs20080115-5+b3)
zlib, jpeg and png support for the Yorick language
yoshimi (1.7.4~dfsg0-1)
software synthesizer originally based on ZynAddSubFX2
yoshimi-data (1.7.4~dfsg0-1)
Presets for Yoshimi
yoshimi-doc (1.7.4~dfsg0-1)
Documentation for Yoshimi
yosys (0.9-1+b1)
Framework for Verilog RTL synthesis
yosys-dev (0.9-1+b1)
Framework for Verilog RTL synthesis (development files)
yosys-doc (0.9-1)
Documentation for Yosys
yotta (0.20.5-4)
build tool for C/C++ projects using modular components
yotta-doc (0.20.5-4)
build tool for C/C++ projects using modular components (documentation)
youtube-dl (2021.06.06-1)
downloader of videos from YouTube and other sites
yoyo (7.3.1+dfsg1-1)
database schema migration tool
yp-tools (4.2.3-3)
Tools for working with Network Information System (NIS)
ypbind-mt (2.7.2-2)
Client daemon for working with Network Information System (NIS)
ypserv (4.1-2)
Server daemon for working with Network Information System (NIS)
yrmcds (1.1.9-1+b1 [amd64], 1.1.9-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
memcached compatible KVS with master/slave replication
ytcc (2.1.0-2)
YouTube channel checker
ytnef-tools (1.9.3-3)
ytnef decoder commandline tools
ytree (1.99pl1-2)
File manager for terminals
yubico-piv-tool (2.2.0-1)
Command line tool for the YubiKey PIV applet
yubikey-luks (0.5.1+29.g5df2b95-6)
YubiKey two factor authentication for LUKS disks
yubikey-manager (4.0.0~a1-4)
Python library and command line tool for configuring a YubiKey
yubikey-personalization (1.20.0-3)
Personalization tool for Yubikey OTP tokens
yubikey-personalization-gui (3.1.24-1+b2 [amd64, arm64, i386, mips64el, mipsel, ppc64el, s390x], 3.1.24-1+b1 [armel, armhf])
Graphical personalization tool for YubiKey tokens
yubikey-server-c (0.5-1.1)
Yubikey validation server
yubioath-desktop (5.0.4+post1-1)
Graphical interface for displaying OATH codes with a Yubikey
yubiserver (0.6-3.1)
Yubikey OTP and HOTP/OATH Validation Server
yudit (3.0.7-3)
Unicode text editor (arch-dependent binaries)
yudit-common (3.0.7-3)
Unicode text editor (arch-independent files)
yudit-doc (3.0.7-3)
Unicode text editor (Documentation)
yui-compressor (2.4.8-2.1)
JavaScript/CSS minifier
yum4 (4.5.2-6)
transitional package
yum4 (= 4.2.23-1~)
本虛擬套件由這些套件填實: nextgen-yum4
yuview (2.9-1)
QT based YUV player with an advanced analytic toolset
yydebug (1.1.0-12)
support library for the Java-based parser generator jay
z3 (4.8.10-1)
theorem prover from Microsoft Research
z80asm (1.8-1+b2)
assembler for the Zilog Z80 microprocessor
z80dasm (1.1.6-1)
disassembler for the Zilog Z80 microprocessor
z8530-utils2 (3.0-1-10)
Utilities for Z8530 based HDLC cards for AX.25
z88 (13.0.0+dfsg2-6)
Finite Element Analysis Program - runtime
z88-data (13.0.0+dfsg2-6)
Finite Element Analysis Program - data
z88-doc (13.0.0+dfsg2-6)
Finite Element Analysis Program - documentation
zabbix-agent (1:5.0.8+dfsg-1)
network monitoring solution - agent
zabbix-frontend-php (1:5.0.8+dfsg-1)
network monitoring solution - PHP front-end
zabbix-java-gateway (1:5.0.8+dfsg-1)
network monitoring solution - Java gateway
zabbix-proxy-mysql (1:5.0.8+dfsg-1)
network monitoring solution - proxy (using MySQL)
zabbix-proxy-pgsql (1:5.0.8+dfsg-1)
network monitoring solution - proxy (using PostgreSQL)
zabbix-proxy-sqlite3 (1:5.0.8+dfsg-1)
network monitoring solution - proxy (using SQLite3)
zabbix-server-mysql (1:5.0.8+dfsg-1)
network monitoring solution - server (using MySQL)
zabbix-server-pgsql (1:5.0.8+dfsg-1)
network monitoring solution - server (using PostgreSQL)
zalign (0.9.1-5)
parallel local alignment of biological sequences
zam-plugins (3.14~repack3-1)
Collection of LV2, LADSPA, LINUX-VST and JACK plugins
zangband (1:2.7.5pre1-13) [non-free]
A single-player, text-based, roguelike game
zangband-data (1:2.7.5pre1-13) [non-free]
A single-player, text-based, roguelike game (datafiles)
zanshin (0.5.71-2)
to-do list manager
zatacka (0.1.8-7)
arcade multiplayer game like nibbles
zathura (0.4.7-1)
document viewer with a minimalistic interface
zathura-abi-4
本虛擬套件由這些套件填實: zathura
zathura-cb (0.1.8-2)
comic book archive support for zathura
zathura-dev (0.4.7-1)
development files for zathura plugins
zathura-djvu (0.2.9-1)
DjVu support for zathura
zathura-pdf-poppler (0.3.0-1)
PDF support for zathura
zathura-ps (0.2.6-1)
PostScript support for zathura
zaz (1.0.0~dfsg1-6)
arcade action puzzle game
zaz-data (1.0.0~dfsg1-6)
arcade action puzzle game - game data
zbackup (1.4.4-3+b4 [mips64el], 1.4.4-3+b3 [amd64, arm64, armel, armhf, i386, mipsel, ppc64el, s390x])
Versatile deduplicating backup tool
zbar-tools (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (utilities)
zbarcam-gtk (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (Gtk camera tool)
zbarcam-qt (0.23.90-1+deb11u1)
QR code / bar code scanner and decoder (Qt camera tool)
zbd-utils (1.2.0-1)
Utilities to manipulate zoned block devices
zcav
本虛擬套件由這些套件填實: bonnie++
zchunk (1.1.9+ds1-1)
compress a file into independent chunks
zcode-interpreter
本虛擬套件由這些套件填實: jzip, zoom-player, frotz, fizmo-sdl2, gargoyle-free, sdlfrotz, fizmo-ncursesw, fizmo-console, xzip
zd1211-firmware
本虛擬套件由這些套件填實: firmware-zd1211
zdbsp (1.19+20181027+dfsg.1-2+b1 [amd64], 1.19+20181027+dfsg.1-2 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
node builder library for OpenGL-based Doom-style games
zec (0.12-5)
Z-Shell Empire client
zegrapher (3.1.1-1)
plotting mathematical functions and sequences on the plane
zeitgeist (1.0.3-4)
event logging framework
zeitgeist-core (1.0.3-4)
event logging framework - engine
zeitgeist-datahub (1.0.3-4)
event logging framework - passive logging daemon
zeitgeist-extension-fts
本虛擬套件由這些套件填實: zeitgeist-core
zemberek-java-demo (2.1.1-8.3)
Zemberek demo application
zemberek-server (0.7.1-12.3)
Turkish spellcheck server
zenity (3.32.0-6)
Display graphical dialog boxes from shell scripts
zenity-common (3.32.0-6)
Display graphical dialog boxes from shell scripts (common files)
zenlisp (2013.11.22-3)
Interpreter for purely symbolic, pure, lexically scoped dialect of LISP
zephyr-clients (3.1.2-1+b3)
Project Athena's notification service - client programs
zephyr-server (3.1.2-1+b3)
Project Athena's notification service - non-Kerberos server
zephyr-server
本虛擬套件由這些套件填實: zephyr-server-krb5
zephyr-server-krb5 (3.1.2-1+b3)
The original "Instant Message" system-server with Kerberos 5
zeroc-glacier2 (3.7.5-2)
Glacier2 router
zeroc-ice-all-dev (3.7.5-2)
Ice development packages (metapackage)
zeroc-ice-all-runtime (3.7.5-2)
Ice run-time packages (metapackage)
zeroc-ice-compilers (3.7.5-2)
Slice compilers for developing Ice applications
zeroc-ice-slice (3.7.5-2)
Slice files for Ice
zeroc-ice-utils (3.7.5-2)
Ice utilities and admin tools
zeroc-ice-utils-java (3.7.5-2)
zeroc-icegridgui transitional package
zeroc-icebox (3.7.5-2)
IceBox server, a framework for Ice application services
zeroc-icebridge (3.7.5-2)
Bridge service
zeroc-icegrid (3.7.5-2)
Locate, deploy, and manage Ice servers
zeroc-icegridgui (3.7.5-2)
IceGrid Admin graphical client
zeroc-icepatch2 (3.7.5-2)
File distribution and patching
zerofree (1.1.1-1)
zero free blocks from ext2, ext3 and ext4 file-systems
zeroinstall-injector
本虛擬套件由這些套件填實: 0install-core
zfs-auto-snapshot (1.2.4-2) [contrib]
ZFS automatic snapshot service
zfs-dkms (2.0.3-9+deb11u1) [contrib]
OpenZFS filesystem kernel modules for Linux
zfs-dracut (2.0.3-9+deb11u1) [contrib]
OpenZFS root filesystem capabilities for Linux - dracut
zfs-fuse (0.7.0-21)
ZFS on FUSE
zfs-initramfs (2.0.3-9+deb11u1) [contrib]
OpenZFS root filesystem capabilities for Linux - initramfs
zfs-modules
本虛擬套件由這些套件填實: zfs-dkms
zfs-test (2.0.3-9+deb11u1) [contrib]
OpenZFS test infrastructure and support scripts
zfs-zed (2.0.3-9+deb11u1) [contrib]
OpenZFS Event Daemon
zfsnap (1.11.1-5.1)
Automatic snapshot creation and removal for ZFS
zfsutils
本虛擬套件由這些套件填實: zfsutils-linux
zfsutils-linux (2.0.3-9+deb11u1) [contrib]
command-line tools to manage OpenZFS filesystems
zgen (0~20150919-3.1)
Lightweight plugin manager for ZSH inspired by Antigen
zh-autoconvert (0.3.16-7)
Chinese HZ/GB/BIG5/UTF-16/UTF-7/UTF-8 encodings auto-converter
zhcon (1:0.2.6-18)
Fast console CJK system using FrameBuffer (main program)
zhcon-data (1:0.2.6-18)
Fast console CJK system using FrameBuffer (data files)
zile (2.4.15-2)
very small Emacs-subset editor
zim (0.73.5-1)
graphical text editor based on wiki technologies
zim-tools (2.1.0-1)
various ZIM command-line tools
zimwriterfs (2.1.0-1)
creates ZIM files from a directory - transitional package
zinnia-utils (0.06-6)
utils for the zinnia library
zint (2.9.1-1.1)
command line utility to encode data in barcode symbols
zint-qt (2.9.1-1.1)
Zint Barcode Studio, a QT frontend to zint
ziomon (2.15.1-2)
Performance analysis and reports for zFCP devices
zip (3.0-12)
Archiver for .zip files
zipalign (1:10.0.0+r36-1)
Zip archive alignment tool
zipalign
本虛擬套件由這些套件填實: google-android-build-tools-installer
zipcmp (1.7.3-1)
compare contents of zip archives
zipl-installer (0.0.43)
Make DASD bootable
zipmerge (1.7.3-1)
merge zip archives
zipper.app (1.5-3+b1)
Archive manager for GNUstep
ziproxy (3.3.1-2.2)
compressing HTTP proxy server
ziptime (1:10.0.0+r36-1)
Zip archive timestamp remover
ziptool (1.7.3-1)
modify zip archives
zita-ajbridge (0.8.4-1)
alsa to jack bridge
zita-alsa-pcmi-utils (0.3.2-2)
Two simple demo programs zita-alsa-pcmi library
zita-at1 (0.6.2-2)
JACK autotuner
zita-bls1 (0.3.3-3)
binaural stereo signals converter
zita-dc1 (0.3.3-1+b1 [amd64], 0.3.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
Dynamics Compressor
zita-dpl1 (0.3.3-1+b1 [amd64], 0.3.3-1 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
digital peak level limiter
zita-lrx (0.1.2-1)
Command line jack application providing crossover filters
zita-mu1 (0.3.3-2)
organise stereo monitoring for Jack Audio Connection Kit
zita-njbridge (0.4.4-1)
Jack clients to transmit multichannel audio over a local IP network
zita-resampler (1.8.0-2)
resampler application written with libzita-resampler
zita-rev1 (0.2.2-2)
pro-audio reverb effect
zkg (2.7.1-1)
Zeek Package Manager
zktop (1.0.0-3)
top for Apache ZooKeeper
zlib-gst (3.2.5-1.3+b2)
Zlib bindings for GNU Smalltalk
zlib1g (1:1.2.11.dfsg-2+deb11u2)
壓縮函式庫 - 跑程式時用(runtime)
zlib1g-dev (1:1.2.11.dfsg-2+deb11u2)
compression library - development
zlib1g-udeb (1:1.2.11.dfsg-2+deb11u2)
compression library - runtime for Debian installer
zmakebas (1.2-1.1+b2)
convert text files into ZX Spectrum Basic programs
zmap (2.1.1-2+b6)
network scanner for researchers
zmf2epub (0.9.6-2)
Zoner Draw to EPUB converter
zmf2odg (0.9.6-2)
Zoner Draw to OpenDocument converter
zmk (0.5.1-2)
collection of reusable Makefiles
zmk-doc (0.5.1-2)
collection of reusable Makefiles (manual pages)
znc (1.8.2-2+b1)
advanced modular IRC bouncer
znc-backlog (0.20180824+1.8.2-2+b1)
module for requesting backlog from znc bouncer
znc-dev (1.8.2-2+b1)
advanced modular IRC bouncer (development headers)
znc-perl (1.8.2-2+b1)
advanced modular IRC bouncer (Perl extension)
znc-push (1.0.0+git20190521.78d0385+1.8.2-2+b1)
znc plugin to send push notification to various services
znc-python (1.8.2-2+b1)
advanced modular IRC bouncer (Python extension)
znc-tcl (1.8.2-2+b1)
advanced modular IRC bouncer (Tcl extension)
zoem (11-166-2)
general-purpose macro/programming language for transforming text
zomg (0.8.1-2)
console-based libre.fm submission and radio client
zonemaster-cli (1.0.5-1.1)
run Zonemaster tests from the command line
zoneminder (1.34.23-1)
video camera security and surveillance solution
zoneminder-doc (1.34.23-1)
video camera security and surveillance solution (documentation)
zookeeper (3.4.13-6+deb11u1)
High-performance coordination service for distributed applications
zookeeper-bin (3.4.13-6+deb11u1)
Command line utilities for zookeeper
zookeeperd (3.4.13-6+deb11u1)
Init control scripts for zookeeper
zoom-player (1.1.5~dfsg-6)
player for Z-Code stories or games
zopfli (1.0.3-1)
zlib (gzip, deflate) compatible compressor
zoph (0.9.16-1)
Web based digital image presentation and management system
zoxide (0.4.3-2+b1)
Faster way to navigate your filesystem
zpaq (7.15+repack-1)
maximum reference compressor for ZPAQ open standard
zplug (2.4.2-1)
next-generation plugin manager for zsh
zpspell (0.4.3-4.1+b2)
Command line interface for zemberek-server
zram-tools (0.3.3.1-1)
utilities for working with zram
zsh (5.8-6+deb11u1)
shell with lots of features
zsh-antigen (2.2.3-4)
manage your zsh plugins
zsh-autosuggestions (0.6.4-1)
Fish-like fast/unobtrusive autosuggestions for zsh
zsh-common (5.8-6+deb11u1)
architecture independent files for Zsh
zsh-dev (5.8-6+deb11u1)
shell with lots of features (development files)
zsh-doc (5.8-6+deb11u1)
zsh documentation - info/HTML format
zsh-static (5.8-6+deb11u1)
shell with lots of features (static link)
zsh-syntax-highlighting (0.7.1-2)
Fish shell like syntax highlighting for zsh
zsh-theme-powerlevel9k (0.6.7-2)
powerlevel9k is a theme for zsh which uses powerline fonts
zsnapd (0.8.12-1) [contrib]
ZFS Snapshot Daemon written in python
zsnapd-rcmd (0.8.12-1) [contrib]
Remote sshd command checker for ZFS Snapshot Daemon
zsnes (1.510+bz2-10.1)
Emulator of the Super Nintendo Entertainment System
zssh (1.5c.debian.1-8)
interactive file transfers over ssh
zstd (1.4.8+dfsg-2.1)
fast lossless compression algorithm -- CLI tool
zsync (0.6.2-3+b1 [amd64], 0.6.2-3 [arm64, armel, armhf, i386, mips64el, mipsel, ppc64el, s390x])
client-side implementation of the rsync algorithm
ztex-bmp (20120314-2+b1 [amd64, arm64, armel, armhf, i386, mipsel], 20120314-2 [ppc64el])
universal macro processor
zulucrypt-cli (5.7.1-2)
tool for encrypting volumes
zulucrypt-gui (5.7.1-2)
graphical front end for zulucrypt-cli
zulumount-cli (5.7.1-2)
tool that manages encrypted volumes
zulumount-gui (5.7.1-2)
graphical front end for zulumount-cli
zulupolkit (5.7.1-2)
tool to execute privileged operations
zulusafe-cli (5.7.1-2)
cli that manages encrypted volumes
zurl (1.11.0-2)
HTTP client worker with ZeroMQ interface
zutils (1.10-1+b2)
utilities for dealing with compressed files transparently
zvbi (0.2.35-18)
Vertical Blanking Interval (VBI) utilities
zvmcloudconnector-api (1.4.1-4)
z/VM Development SDK for managing z/VM - z/VM SDK API Server
zvmcloudconnector-common (1.4.1-4)
z/VM Development SDK for managing z/VM - Common Files
zynaddsubfx (3.0.5-2)
Realtime software synthesizer for Linux
zynaddsubfx-data (3.0.5-2)
Realtime software synthesizer for Linux (data)
zynaddsubfx-dssi (3.0.5-2)
dssi plugin of zynaddsubfx
zynaddsubfx-lv2 (3.0.5-2)
lv2 plugin of zynaddsubfx
zynaddsubfx-vst (3.0.5-2)
vst plugin of zynaddsubfx
zypper (1.14.42-1)
command line software manager using libzypp
zypper-common (1.14.42-1)
command line software manager using libzypp (common files)
zypper-doc (1.14.42-1)
command line software manager using libzypp (documentation)
zytrax (0+git20201215-1)
Easy to use, tracker-inspired music sequencer
zziplib-bin (0.13.62-3.3+deb11u1)
library providing read access on ZIP-archives - binaries
zzuf (0.15-1+b1)
transparent application fuzzer