"sid" İçindeki Yazılım Paketleri, Altbölüm electronics

altos (1.9.17-1+b3 [riscv64], 1.9.17-1+b2 [alpha, amd64, arm64, armel, armhf, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 1.9.17-1 [sh4], 1.9.2-1 [ia64])
Altus Metrum firmware and utilities
arachne-pnr (0.1+20190728gitc40fb22-3+b1 [riscv64], 0.1+20190728gitc40fb22-3 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Place and route tool for iCE40 family FPGAs
arachne-pnr-chipdb (0.1+20190728gitc40fb22-3)
Chip db files for arachne-pnr
arduino (2:1.8.19+dfsg1-2)
AVR development board IDE from Arduino CC
arduino-builder (1.3.25-4+b6 [amd64, arm64, armel, armhf, i386, mips64el, ppc64, ppc64el, s390x, sparc64], 1.3.25-4+b4 [riscv64], 1.3.25-4+b1 [alpha], 1.3.25-2+b1 [x32])
Command line tool for compiling Arduino sketches
arduino-mighty-1284p (1-5)
Platform files for Arduino to run on ATmega1284P
arduino-mk (1.5.2-2.1)
Program your Arduino from the command line
atlc (4.6.1-6)
Arbitrary Transmission Line Calculator
atlc-examples (4.6.1-6)
Examples for Arbitrary Transmission Line Calculator
avarice (2.14+svn427-1+b2 [riscv64], 2.14+svn427-1+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
use GDB with Atmel AVR debuggers
avra (1.4.2+dfsg-1+b1 [riscv64], 1.4.2+dfsg-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
assembler for Atmel AVR microcontrollers
avrdude (7.1+dfsg-3+b3 [riscv64], 7.1+dfsg-3+b2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
software for programming Atmel AVR microcontrollers
avrp (1.0beta3-7.1)
Programmer for Atmel AVR microcontrollers
bcnc (0.9.14.318+ds-4)
GRBL CNC command sender, autoleveler and g-code editor
berkeley-abc (1.01+20230625git01b1bd1+dfsg-3) [debports]
ABC - A System for Sequential Synthesis and Verification
bossa (1.9.1-3+b7 [mips64el], 1.9.1-3+b6 [amd64, arm64, armel, armhf, hppa, i386, ppc64, ppc64el, riscv64, s390x], 1.9.1-3+b5 [sparc64], 1.9.1-3+b2 [alpha, sh4], 1.9.1-3 [ia64, m68k, x32])
Atmel SAM ARM microcontroller flash programming GUI
bossa-cli (1.9.1-3+b7 [mips64el], 1.9.1-3+b6 [amd64, arm64, armel, armhf, hppa, i386, ppc64, ppc64el, riscv64, s390x], 1.9.1-3+b5 [sparc64], 1.9.1-3+b2 [alpha, sh4], 1.9.1-3 [ia64, m68k, x32])
Atmel SAM ARM microcontroller flash programming utility
bottlerocket (0.05b3-18+b3 [riscv64], 0.05b3-18+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Utility to control X10 Firecracker devices for home automation
camv-rnd (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32], 1.0.1-1 [sparc64])
Standard installation of camv-rnd
camv-rnd-core (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32])
camv-rnd executable with the core functionality and boxsym-rnd
camv-rnd-doc (1.1.4-3)
Documentation for camv-rnd
camv-rnd-export-extra (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32])
Export formats: special/extra
camv-rnd-export-gd (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32])
Export formats that require libgd.
camv-rnd-export-vector (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32])
Export formats: vector graphics
camv-rnd-import (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32])
Standard import file format support (PCB).
camv-rnd-lib-gui (1.1.4-3+b1 [hppa], 1.1.4-3 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 1.1.4-1 [ppc64, x32])
Support library for building the GUI.
caneda (0.3.1+gitg08e22168-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 0.3.1+gitg08e22168-1+b1 [alpha, hppa, ia64, ppc64, sh4, sparc64], 0.3.1+gitg08e22168-1 [m68k, x32])
Electronic Design Automation software focused on easy of use and portability
canmatrix-utils (1.0~github-2)
Handle CAN (Controller Area Network) descriptions - cmdline utilities
circuit-macros (10.1.4-1)
Macros for drawing electric circuits
ckb-next (0.6.0+dfsg-0.2+b4 [amd64], 0.6.0+dfsg-0.2+b2 [arm64, armel, armhf, hppa, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sh4, sparc64], 0.6.0+dfsg-0.2 [alpha], 0.5.0+dfsg.1-0.1+b1 [m68k], 0.5.0+dfsg.1-0.1 [x32])
driver for Corsair keyboards and mice
covered (0.7.10-4+b1 [riscv64], 0.7.10-4 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Verilog code coverage analysis tool
cycfx2prog (0.47-1.2)
Cypress EZ-USB FX2 (LP) programmer
dfcgen-gtk (0.6-2+b2 [riscv64], 0.6-2+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Digital Filter Coefficients Generator (DFCGen) GTK+
dfu-programmer (0.6.1-1+b1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64, ppc64el, riscv64, s390x], 0.6.1-1 [alpha, hppa, ia64, m68k, sh4, sparc64, x32])
device firmware update (DFU) based USB programmer for Atmel chips
dfu-util (0.11-1+b2 [riscv64], 0.11-1+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Device firmware update (DFU) USB programmer
digitemp (3.7.2-2+b1 [riscv64], 3.7.2-2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
read temperature sensors in a 1-Wire net
dpic (2023.06.01-1+b1 [riscv64], 2023.06.01-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
pic-language converter to LaTeX-compatible and other formats
drawtiming (0.7.1-10+b3 [riscv64], 0.7.1-10+b2 [amd64, arm64, armel, armhf, i386, m68k, mips64el, ppc64el, s390x, sh4], 0.7.1-10+b1 [alpha, hppa, ia64, ppc64, sparc64, x32])
tool for documenting hardware designs through timing diagrams
electric (9.07+dfsg-7)
electrical CAD system
emu8051 (2.0.1-3+b2 [riscv64], 2.0.1-3+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Emulator and simulator for 8051 microcontrollers
esptool (4.7.0+dfsg-0.1)
create and flash firmware files to ESP8266 and ESP32 chips
flashrom (1.3.0-2.1+b1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 1.3.0-2.1 [alpha, hppa, ia64, m68k, ppc64, sh4, sparc64], 1.2-5 [x32])
Identify, read, write, erase, and verify BIOS/ROM/flash chips
fpga-icestorm (0~20230218gitd20a5e9-1+b1 [riscv64], 0~20230218gitd20a5e9-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Tools to handle the bitstream format of Lattice iCE40 FPGAs
fpga-icestorm-chipdb (0~20230218gitd20a5e9-1)
Chip database files for fpga-icestorm
fpga-trellis (1.4-2+b4 [riscv64], 1.4-2+b3 [armhf, mips64el], 1.4-2+b2 [amd64, arm64, armel, hppa, i386, ia64, m68k, ppc64, ppc64el, s390x, sparc64, x32], 1.4-2+b1 [alpha])
Tools for interacting with Lattice ECP5 FPGA bitstreams
fpga-trellis-database (1.4-2)
Lattice ECP5 FPGA bitstream database
fritzing (1.0.1-1+b4 [amd64], 1.0.1-1+b3 [riscv64], 1.0.1-1+b2 [arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32], 1.0.1-1+b1 [alpha])
Easy-to-use electronic design software
fritzing-data (1.0.1-1)
Easy-to-use electronic design software (data files)
fritzing-parts (0.9.6~unreleased-1)
Easy-to-use electronic design software (parts files)
gerbv (2.10.0-1+b2 [riscv64], 2.10.0-1+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Gerber file viewer (only RS 274 X format)
ghdl (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel], 2.0.0+dfsg-6.2 [arm64], 1.0.0+dfsg-6 [riscv64, x32])
VHDL compiler/simulator
ghdl-common (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel], 2.0.0+dfsg-6.2 [arm64], 1.0.0+dfsg-6 [riscv64, x32])
VHDL compiler/simulator (common files)
ghdl-gcc (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel], 2.0.0+dfsg-6.2 [arm64], 1.0.0+dfsg-6 [riscv64, x32])
VHDL compiler/simulator (GCC backend)
ghdl-llvm (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel], 2.0.0+dfsg-6.2 [arm64], 1.0.0+dfsg-6 [riscv64, x32])
VHDL compiler/simulator (LLVM backend)
ghdl-mcode (3.0.0+dfsg2-1 [amd64, i386], 1.0.0+dfsg-6 [x32])
VHDL compiler/simulator (mcode backend)
ghdl-tools (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel], 2.0.0+dfsg-6.2 [arm64])
VHDL compiler/simulator (tools)
glogic (2.6-6)
graphical logic circuit simulator
gnucap (1:0.36~20171003-1.1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 1:0.36~20171003-1.1+b1 [alpha, hppa, ia64, m68k, ppc64, sh4, sparc64, x32])
GNU Circuit Analysis package, main executable
gnucap-common (1:0.36~20171003-1.1)
GNU Circuit Analysis package, development headers
gnucap-default-plugins0 (1:0.36~20171003-1.1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 1:0.36~20171003-1.1+b1 [alpha, hppa, ia64, m68k, ppc64, sh4, sparc64, x32])
GNU Circuit Analysis package, default plugins
gnucap-python (0.0.2-1.2+b7 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sparc64], 0.0.2-1.2+b6 [riscv64], 0.0.2-1.2+b4 [sh4, x32])
GNU Circuit Analysis package, Python command plugin
gnusim8085 (1.4.1-1+b2 [alpha, amd64, arm64, armel, armhf, hppa, i386, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64, x32], 1.4.1-1+b1 [ia64, m68k, sh4])
Graphical Intel 8085 simulator, assembler and debugger
gpsim (0.32.1-2+b2 [riscv64], 0.32.1-2+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Simulator for Microchip's PIC microcontrollers
gputils (1.4.0-0.2+b1 [riscv64], 1.4.0-0.2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
GNU PIC utilities
gputils-common (1.4.0-0.2)
headers and linker scripts for gputils
graywolf (0.1.6-4+b2) [debports]
Placement for digital VLSI design
gtkwave (3.3.118-0.1)
VCD (Value Change Dump) file waveform viewer
horizon-eda (2.5.0-1+b2 [amd64], 2.5.0-1+b1 [arm64, armel, armhf, i386, mips64el, ppc64, ppc64el, s390x, sparc64], 2.4.0-1.1 [x32], 0.20180331-3 [alpha])
EDA layout and schematic application
imx-code-signing-tool (3.4.0+dfsg-2+b1)
code signing tool for i.MX platform
imx-usb-loader (0~git20200517.30b43d69-2+b1 [riscv64], 0~git20200517.30b43d69-2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
imx_loader - i.MX/Vybrid recovery utility
irsim (9.7.104-1)
Switch-level simulator
iverilog (12.0-2+b2 [riscv64], 12.0-2+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Icarus verilog compiler
jh7100-bootloader-recovery (0~2021.07.14~git2b268a1c-3)
StarFive JH7100 recovery bootloader
kicad (8.0.1+dfsg-1+b2 [amd64, arm64, i386, ppc64, ppc64el, riscv64], 8.0.1+dfsg-1+b1 [mips64el], 8.0.1+dfsg-1 [armhf], 7.0.9+dfsg-1 [x32], 0.20141025+bzr4029-2 [alpha, hppa], 0.20130727+bzr4024-2 [m68k, sh4, sparc64])
Electronic schematic and PCB design software
kicad-demos (8.0.1+dfsg-1)
Demo projects for kicad
kicad-doc-de (8.0.1+dfsg-1)
Kicad help files (German)
kicad-doc-en (8.0.1+dfsg-1)
Kicad help files (English)
kicad-doc-es (8.0.1+dfsg-1)
Kicad help files (Spanish)
kicad-doc-fr (8.0.1+dfsg-1)
Kicad help files (French)
kicad-doc-ja (8.0.1+dfsg-1)
Kicad help files (Japanese)
kicad-footprints (8.0.1-1)
Footprint symbols for KiCad's Pcbnew
kicad-packages3d (8.0.1-1)
3D models for 3D viewer in KiCad's Pcbnew and Footprint Editor
kicad-symbols (8.0.1-1)
Schematic symbols for KiCad's Eeschema
kicad-templates (8.0.1-1)
Project templates for KiCad
klayout (0.28.15-1+b3 [mips64el], 0.28.15-1+b2 [amd64, arm64, armel, armhf, hppa, i386, ppc64, ppc64el, riscv64, s390x, sh4, sparc64], 0.28.15-1 [alpha], 0.28.5-2 [m68k], 0.27.12-1+b1 [x32])
High Performance Layout Viewer and Editor
langford-utils (0.0.20130228-6.3+b1 [riscv64], 0.0.20130228-6.3 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Control programs for the Per Vices Noctar IQ demodulator board
lepton-eda (1.9.18-2+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, m68k, mips64el, ppc64el, riscv64, sh4], 1.9.18-1 [ia64, x32], 1.9.16-3 [ppc64, sparc64])
Lepton Electronic Design Automation
libghdl-2-0-0 (2.0.0+dfsg-6.2)
VHDL compiler/simulator (shared library)
libghdl-3-0-0 (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel])
VHDL compiler/simulator (shared library)
libghdl-dev (3.0.0+dfsg2-1 [amd64, i386, mips64el, ppc64, ppc64el], 3.0.0+dfsg-1 [armel], 2.0.0+dfsg-6.2 [arm64])
VHDL compiler/simulator (library development files)
libnxt (0.4.2-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, s390x], 0.4.2-1+b1 [alpha, hppa, ia64, m68k, ppc64, riscv64, sh4, sparc64, x32])
utility library for talking to the LEGO Mindstorms NXT brick
librnd3 (3.1.0-1) [debports]
Ringdove 2D CAD library framework
librnd3-cloud (3.1.0-1) [debports]
Networking plugins for accessing remote resources.
librnd3-dev (3.1.0-1) [debports]
Ringdove 2D cad library, files for development.
librnd3-hid-gtk2-gdk (3.1.0-1) [debports]
GUI: gtk2, software render
librnd3-hid-gtk2-gl (3.1.0-1) [debports]
GUI: gtk2, opengl
librnd3-hid-lesstif (3.1.0-1) [debports]
GUI: motif/lesstif, software render
librnd3-lib-gl (3.1.0-1) [debports]
Support library for rendering with opengl.
librnd3-lib-gtk (3.1.0-1) [debports]
Support library for building the GUI with gtk.
librnd3-lib-gui (3.1.0-1) [debports]
Support library for building the GUI.
librnd4 (4.0.2-1) [debports]
Ringdove 2D CAD library framework
librnd4-cloud (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
Networking plugins for accessing remote resources.
librnd4-dev (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
Ringdove 2D cad library, files for development.
librnd4-doc (4.2.0-1)
Documentation for librnd.
librnd4-hid-gtk2-gdk (4.0.2-1) [debports]
GUI: gtk2, software render
librnd4-hid-gtk2-gl (4.0.2-1) [debports]
GUI: gtk2, opengl
librnd4-hid-gtk4-gl (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
GUI: gtk4, opengl
librnd4-hid-lesstif (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
GUI: motif/lesstif, software render
librnd4-lib-gl (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
Support library for rendering with opengl.
librnd4-lib-gtk (4.0.2-1) [debports]
Support library for building the GUI with gtk.
librnd4-lib-gui (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
Support library for building the GUI.
librnd4-pixmap (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
Import and export pixmap images.
librnd4t64 (4.2.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 4.1.1-1.1 [ppc64, x32])
Ringdove 2D CAD library framework
listserialportsc (1.4.0+repack-1+b1 [riscv64], 1.4.0+repack-1 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
list serial ports
lpctools (1.07-2+b1 [riscv64], 1.07-2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
interface to NXP LPC Microcontrollers ISP serial interface
m16c-flash (0.1-1.3+b1 [riscv64], 0.1-1.3 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Flash programmer for Renesas M16C and R8C microcontrollers
magic (8.3.105+ds.1-1.1+b1 [riscv64], 8.3.105+ds.1-1.1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64])
VLSI layout tool
mcu8051ide (1.4.9-2)
Graphical Integrated Development Environment for 8051
mspdebug (0.22-2+b5 [amd64, arm64, armel, armhf, i386, mips64el, ppc64, ppc64el, s390x], 0.22-2+b4 [alpha, hppa, m68k, sh4, sparc64, x32], 0.22-2+b3 [ia64], 0.22-2+b2 [riscv64])
debugging tool for MSP430 microcontrollers
myhdl-cosimulation (0.11-1)
MyHDL cosimulation files
nanovna-saver (0.6.3-1)
view and export Touchstone data from a NanoVNA radio network tester device
nbc (1.2.1.r4+dfsg-11+b2 [amd64, arm64, armel, armhf, i386, ppc64el], 1.2.1.r4+dfsg-11+b1 [m68k, ppc64, sparc64])
C compiler for LEGO Mindstorms NXT bricks
netgen-lvs (1.5.133-1.2+b1 [riscv64], 1.5.133-1.2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64])
Netlist comparison - Layout vs Schematic (LVS)
nextpnr-ecp5 (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha])
FPGA place and route tool for Lattice ECP5
nextpnr-ecp5-chipdb (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha])
FPGA place and route tool for Lattice ECP5 -- chipdb files
nextpnr-ecp5-qt (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha])
FPGA place and route tool for Lattice ECP5 - with GUI
nextpnr-generic (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4], 0.0~git20210102.9b96280-1 [ia64])
FPGA place and route tool for Generic FPGAs
nextpnr-gowin (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4])
FPGA place and route tool for Gowin GW1N
nextpnr-gowin-chipdb (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4])
FPGA place and route tool for Gowin GW1N -- chipdb files
nextpnr-gowin-qt (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4])
FPGA place and route tool for Gowin GW1N - with GUI
nextpnr-ice40 (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4], 0.0~git20210102.9b96280-1 [ia64])
FPGA place and route tool for Lattice iCE40
nextpnr-ice40-chipdb (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4])
FPGA place and route tool for Lattice iCE40 -- chipdb files
nextpnr-ice40-qt (0.6-3+b3 [amd64, riscv64], 0.6-3+b2 [arm64, hppa, i386, m68k, mips64el, ppc64, ppc64el, s390x, sparc64, x32], 0.6-3+b1 [alpha], 0.6-2 [sh4], 0.0~git20210102.9b96280-1 [ia64])
FPGA place and route tool for Lattice iCE40 - with GUI
ngspice (42+ds-3)
Spice circuit simulator
nitpic (0.1-19+b2 [riscv64], 0.1-19+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
simulator for the Microchip PIC16C84 microcontroller
nxt-firmware (1.29.2-1)
Improved firmware for LEGO Mindstorms NXT bricks
octave-openems (0.0.35+git20190103.6a75e98+dfsg.1-3.2)
Octave interface for openems
ola (0.10.9.nojsmin-4.1+b1 [amd64, arm64, armel, armhf, i386, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 0.10.9.nojsmin-4.1 [ppc64, x32], 0.10.9.nojsmin-2 [hppa], 0.10.8.nojsmin-2 [alpha], 0.10.7.nojsmin-2 [ia64], 0.9.8-1 [sparc64])
Open Lighting Architecture
openems (0.0.35+git20190103.6a75e98+dfsg.1-3.2)
Electromagnetic simulator
openfpgaloader (0.12.0-1)
Universal utility for programming FPGAs
opensta (0~20191111gitc018cb2+dfsg-1)
Gate-level Static Timing Analyzer
ow-shell (3.2p4+dfsg1-4.3+b1 [alpha, amd64, arm64, armel, armhf, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 3.2p4+dfsg1-4.3 [hppa, m68k], 3.2p4+dfsg1-4.1 [sh4])
shell utilities to talk to an 1-Wire owserver
ow-tools (3.2p4+dfsg1-4.3)
tools to monitor or inspect a ow-server link
owfs (3.2p4+dfsg1-4.3)
Dallas 1-wire support
owfs-common (3.2p4+dfsg1-4.3)
common files used by any of the OWFS programs
owfs-fuse (3.2p4+dfsg1-4.3+b1 [alpha, amd64, arm64, armel, armhf, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 3.2p4+dfsg1-4.3 [hppa, m68k], 3.2p4+dfsg1-4.1 [sh4])
1-Wire filesystem
owftpd (3.2p4+dfsg1-4.3+b1 [alpha, amd64, arm64, armel, armhf, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 3.2p4+dfsg1-4.3 [hppa, m68k], 3.2p4+dfsg1-4.1 [sh4])
FTP daemon providing access to 1-Wire networks
owhttpd (3.2p4+dfsg1-4.3+b1 [alpha, amd64, arm64, armel, armhf, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 3.2p4+dfsg1-4.3 [hppa, m68k], 3.2p4+dfsg1-4.1 [sh4])
HTTP daemon providing access to 1-Wire networks
owserver (3.2p4+dfsg1-4.3+b1 [alpha, amd64, arm64, armel, armhf, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 3.2p4+dfsg1-4.3 [hppa, m68k], 3.2p4+dfsg1-4.1 [sh4])
Backend server for 1-Wire control
pcb (1:4.3.0-3)
printed circuit board (pcb) design program - metapackage
pcb-common (1:4.3.0-3)
printed circuit board (pcb) design program - common files
pcb-gtk (1:4.3.0-3+b2 [riscv64], 1:4.3.0-3+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
printed circuit board (pcb) design program - GTK+ interface
pcb-lesstif (1:4.3.0-3+b2 [riscv64], 1:4.3.0-3+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
printed circuit board (pcb) design program - LessTif interface
pcb-rnd (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Standard installation of pcb-rnd
pcb-rnd-auto (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Autoroute and autoplace.
pcb-rnd-cloud (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Networking plugins.
pcb-rnd-core (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
pcb-rnd executable with the core functionality
pcb-rnd-debug (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Debug and diagnostics.
pcb-rnd-doc (3.1.4-2)
Documentation for pcb-rnd
pcb-rnd-export (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Common export plugins.
pcb-rnd-export-extra (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Export formats: special/extra
pcb-rnd-export-sim (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Export plugins to simulators
pcb-rnd-extra (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Extra action commands and optional functionality.
pcb-rnd-import-geo (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Geometry import.
pcb-rnd-import-net (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Netlist/schematics import plugins.
pcb-rnd-io-alien (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
File format compatibility with other PCB layout designers.
pcb-rnd-io-standard (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Commonly used non-native board and footprint file formats
pcb-rnd-lib-gui (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Support library for building the GUI.
pcb-rnd-lib-io (3.1.4-2+b1 [hppa], 3.1.4-2 [alpha, amd64, arm64, armel, armhf, i386, ia64, m68k, mips64el, ppc64el, riscv64, s390x, sh4], 3.1.4-1 [ppc64, x32], 3.0.2-1 [sparc64])
Support library for alien file formats.
pd-pduino (0.8-4)
interfacing with the Arduino from within Pure Data (Pd)
pd-xbee (0.1~svn17672-5)
interfacing with your XBee from within Pure Data (Pd)
picprog (1.9.1-5+b1 [riscv64], 1.9.1-5 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Microchip PIC serial programmer software
platformio (4.3.4-3)
open source ecosystem for IoT development
pulseview (0.4.2-3+b4 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 0.4.2-3+b3 [alpha, hppa, ia64, ppc64, sh4, sparc64], 0.4.2-3 [m68k, x32])
sigrok logic analyzer, oscilloscope, and MSO GUI
pycirkuit (0.5.1-3)
front-end for "Circuit Macros" and the PIC language
python3-apycula (0.10.0+dfsg1-1)
Tools to generate Gowin FPGA bitstreams
python3-pytrellis (1.4-2+b4 [riscv64], 1.4-2+b3 [armhf, mips64el], 1.4-2+b2 [amd64, arm64, armel, hppa, i386, ia64, m68k, ppc64, ppc64el, s390x, sparc64, x32], 1.4-2+b1 [alpha])
Library for interacting with Lattice ECP5 FPGA bitstreams
qelectrotech (1:0.9-2+b2 [riscv64], 1:0.9-2+b1 [amd64, arm64, armel, armhf, hppa, i386, ia64, mips64el, ppc64, ppc64el, s390x, sh4, sparc64], 1:0.9-2 [alpha, m68k], 1:0.8.0-3 [x32])
Electric schematic editor
qelectrotech-data (1:0.9-2)
symbols needed for qelectrotech
qelectrotech-examples (1:0.9-2)
examples files for qelectrotech
qflipper (1.3.3-1+b1 [amd64, arm64, armel, armhf, hppa, i386, mips64el, ppc64, ppc64el, riscv64, s390x], 1.3.3-1 [alpha, sh4], 1.2.1-2 [x32])
Flipper Zero firmware updater
qflow (1.3.17+dfsg.1-3+b1) [debports]
Open-Source Digital Synthesis Flow
qrouter (1.4.71-2+b1 [riscv64], 1.4.71-2 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64])
Multi-level, over-the-cell maze router
rfdump (1.6-9.1+b2 [riscv64], 1.6-9.1+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
tool to decode RFID tag data
ringdove (2)
Electronics Design Automation (EDA) tool suite
route-rnd (0.9.2-1+b2 [amd64], 0.9.2-1+b1 [riscv64], 0.9.2-1 [alpha, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
external autorouter for pcb-rnd
s51dude (0.3.1-1.1+b1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 0.3.1-1.1 [alpha, hppa, ia64, m68k, sh4, x32])
In-System Programmer for 8051 MCUs using usbtiny
scantool (2.1-2.1+b2 [riscv64], 2.1-2.1+b1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
OBD-II vehicle diagnostic scanner
sch-rnd (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
Standard installation of sch-rnd
sch-rnd-core (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
sch-rnd executable with the core functionality and boxsym-rnd
sch-rnd-debug (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
Debug and diagnostics.
sch-rnd-doc (1.0.5-2)
Documentation for sch-rnd
sch-rnd-export-extra (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
Export formats: special/extra
sch-rnd-export-gd (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
Export formats that require libgd.
sch-rnd-export-vector (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
Export formats: vector graphics
sch-rnd-io-alien (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
File format compatibility with other schematics capture tools.
sch-rnd-lib-gui (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
Support library for building the GUI.
sch-rnd-sim (1.0.5-2+b1 [hppa], 1.0.5-2 [alpha, amd64, arm64, armel, armhf, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4], 1.0.4-2 [x32])
High level circuit simulation
sdcc (4.4.0+dfsg-2)
Small Device C Compiler
sdcc-libraries (4.4.0+dfsg-2)
Small Device C Compiler (libraries)
sdcc-ucsim (4.4.0+dfsg-2)
Micro-controller simulator for SDCC
sigrok (0.3)
Logic analyzer and protocol decoder software suite (metapackage)
sigrok-cli (0.7.2-1+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 0.7.2-1+b1 [alpha, hppa, ia64, m68k, ppc64, sh4, sparc64, x32])
command-line frontend for the sigrok software
sigrok-firmware-fx2lafw (0.1.7-3)
Firmware for Cypress FX2(LP) based logic analyzers
simavr (1.6+dfsg-3+b2 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 1.6+dfsg-3+b1 [alpha, hppa, ia64, m68k, ppc64, sh4, sparc64, x32])
lean and mean AVR simulator
simulavr (1.0.0+git20160221.e53413b-3 [amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4, sparc64], 1.0.0+git20160221.e53413b-2 [alpha, x32])
Atmel AVR simulator
simulide (1.1.0.1912+dfsg-4+b1 [amd64, i386], 0.1.7+dfsg-2+b1 [alpha, hppa, m68k, ppc64, riscv64, sh4, sparc64, x32], 0.1.7+dfsg-2 [ia64])
simple real time electronic circuit simulator
simulpic (1:2005-1-28-10+b1 [riscv64], 1:2005-1-28-10 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
simulator for Microchip PIC16F84 microcontroller
spectools (201601r1-4 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4, sparc64], 201601r1-3+b1 [x32])
Utilities for using the Wi-Spy USB spectrum analyzer hardware
spim (8.0+dfsg-7)
MIPS R2000/R3000 emulator
srcpd (2.1.6-2 [amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4, sparc64], 2.1.6-1 [alpha, x32])
SRCP server daemon to control digital model railroads
stlink-gui (1.8.0-1+b1)
OpenSource ST-Link tools replacement.
stlink-tools (1.8.0-1+b1)
OpenSource ST-Link tools replacement.
stm32flash (0.7-1+b1 [riscv64], 0.7-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
STM32 chip flashing utility using a serial bootloader
t2n (0.7-1 [amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, riscv64, s390x, sh4, sparc64], 0.6-11 [alpha], 0.6-9+b1 [x32])
Simple command-line tool for LEGO Mindstorms NXT
tkgate (2.1+repack-6+b1)
Tcl/Tk based digital circuit editor and simulator
tkgate-data (2.1+repack-6)
Tcl/Tk based digital circuit editor and simulator - data files
uhubctl (2.5.0-1+b1 [riscv64], 2.5.0-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
USB hub per-port power control
uisp (20050207-4.5+b1 [amd64, arm64, armel, armhf, i386, mips64el, ppc64el, riscv64, s390x], 20050207-4.5 [alpha, hppa, ia64, m68k, ppc64, sh4, sparc64, x32])
Micro In-System Programmer for Atmel's AVR MCUs
usbrelay (1.0-2.1+b3 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32], 1.0-2.1+b2 [riscv64])
USB HID relay driver
verilator (5.020-1 [amd64, arm64, armel, armhf, hppa, i386, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64], 5.012-1+b1 [ia64], 4.038-1 [alpha, m68k, sh4, x32])
fast free Verilog simulator
veroroute (2.38-1+b1 [amd64, arm64, armel, armhf, hppa, i386, ia64, mips64el, ppc64, ppc64el, riscv64, s390x, sparc64, x32], 2.38-1 [alpha, m68k, sh4])
Veroboard, Perfboard, and PCB layout and routing application
veroroute-data (2.38-1)
Veroboard, Perfboard, and PCB layout and routing application (data package)
vish (0.0.20130812-1+b1 [amd64, hppa, mips64el, ppc64, ppc64el, riscv64], 0.0.20130812-1 [alpha, arm64, armel, armhf, i386, ia64, m68k, s390x, sh4, sparc64, x32])
Commandline interface for Virtual Instrument Software Architecture
visolate (2.1.6~svn8+dfsg1-1.1)
tool for engraving PCBs using CNCs
w1retap (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors
w1retap-mongo (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (MongoDB plugin)
w1retap-mysql (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (MySQL plugin)
w1retap-odbc (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (ODBC plugin)
w1retap-pgsql (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (PostgreSQL plugin)
w1retap-sqlite (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (SQLite plugin)
wiredpanda (4.1.12-1+b2 [riscv64], 4.1.12-1+b1 [amd64, arm64, armel, armhf, hppa, i386, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32], 4.1.12-1 [alpha, m68k], 3.0.1-2 [ia64])
logic circuits simulator
xc3sprog (0+svn795+dfsg-4+b1 [riscv64], 0+svn795+dfsg-4 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
JTAG flashing tool for FPGAs, CPLDs and EEPROMs
xcircuit (3.9.73+dfsg.1-1+b1 [riscv64], 3.9.73+dfsg.1-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
Draw circuit schematics or almost anything
xschem (3.4.4-1+b1 [riscv64], 3.4.4-1 [alpha, amd64, arm64, armel, armhf, hppa, i386, ia64, m68k, mips64el, ppc64, ppc64el, s390x, sh4, sparc64, x32])
schematic capture program
yosys (0.33-5+b3 [riscv64], 0.33-5+b2 [alpha, amd64, arm64, armel, armhf, i386, m68k, mips64el, ppc64el], 0.33-5 [sh4, x32], 0.23-6 [ia64], 0.12-1 [hppa], 0.9-2+b1 [ppc64], 0.6-7+b1 [sparc64])
Framework for Verilog RTL synthesis
yosys-abc (0.33-5+b3 [riscv64], 0.33-5+b2 [alpha, amd64, arm64, armel, armhf, i386, m68k, mips64el, ppc64el], 0.33-5 [sh4, x32])
Sequential Logic Synthesis and Verification Algorithms
yosys-dev (0.33-5+b3 [riscv64], 0.33-5+b2 [alpha, amd64, arm64, armel, armhf, i386, m68k, mips64el, ppc64el], 0.33-5 [sh4, x32], 0.23-6 [ia64], 0.12-1 [hppa], 0.9-2+b1 [ppc64], 0.6-7+b1 [sparc64])
Framework for Verilog RTL synthesis (development files)
yosys-plugin-ghdl (0.0~git20230419.5b64ccf-1 [amd64, i386], 0.0~git20211127.09a32cd-3 [arm64, armel, mips64el, ppc64el])
VHDL to RTL synthesis plugin using GHDL