Zoznam súborov balíka jellyfish-examples v sid architektúry all

/usr/lib/jellyfish/bin/jellyfish
/usr/share/doc/jellyfish-examples/changelog.Debian.gz
/usr/share/doc/jellyfish-examples/changelog.gz
/usr/share/doc/jellyfish-examples/copyright
/usr/share/doc/jellyfish-examples/examples/big.sh
/usr/share/doc/jellyfish-examples/examples/bloom_counter.sh
/usr/share/doc/jellyfish-examples/examples/bloom_filter.sh
/usr/share/doc/jellyfish-examples/examples/compat.sh
/usr/share/doc/jellyfish-examples/examples/from_stream.sh
/usr/share/doc/jellyfish-examples/examples/from_stream_fastq.sh
/usr/share/doc/jellyfish-examples/examples/generate_fastq_sequence.sh
/usr/share/doc/jellyfish-examples/examples/generate_sequence.sh
/usr/share/doc/jellyfish-examples/examples/large_key.sh
/usr/share/doc/jellyfish-examples/examples/merge.sh
/usr/share/doc/jellyfish-examples/examples/min_qual.sh
/usr/share/doc/jellyfish-examples/examples/multi_file.sh
/usr/share/doc/jellyfish-examples/examples/multi_file_fastq.sh
/usr/share/doc/jellyfish-examples/examples/parallel_direct_indexing.sh
/usr/share/doc/jellyfish-examples/examples/parallel_fastq_direct_indexing.sh
/usr/share/doc/jellyfish-examples/examples/parallel_fastq_hashing.sh
/usr/share/doc/jellyfish-examples/examples/parallel_fastq_sequence_hashing.sh
/usr/share/doc/jellyfish-examples/examples/parallel_hashing.sh
/usr/share/doc/jellyfish-examples/examples/parsers.sh
/usr/share/doc/jellyfish-examples/examples/quality_filter.sh
/usr/share/doc/jellyfish-examples/examples/raw_hash.sh
/usr/share/doc/jellyfish-examples/examples/sam.sh
/usr/share/doc/jellyfish-examples/examples/serial_direct_indexing.sh
/usr/share/doc/jellyfish-examples/examples/serial_hashing.sh
/usr/share/doc/jellyfish-examples/examples/small.sh
/usr/share/doc/jellyfish-examples/examples/small_mers.sh
/usr/share/doc/jellyfish-examples/examples/subset_hashing.sh
/usr/share/doc/jellyfish-examples/examples/swig_perl.sh
/usr/share/doc/jellyfish-examples/examples/swig_python.sh
/usr/share/doc/jellyfish-examples/examples/swig_ruby.sh
/usr/share/doc/jellyfish-examples/examples/unit_tests.sh