Software Packages in "trixie", Subsection electronics

altos (1.9.17-1+b2)
Altus Metrum firmware and utilities
arachne-pnr (0.1+20190728gitc40fb22-3)
Place and route tool for iCE40 family FPGAs
arachne-pnr-chipdb (0.1+20190728gitc40fb22-3)
Chip db files for arachne-pnr
arduino (2:1.8.19+dfsg1-2)
AVR development board IDE from Arduino CC
arduino-builder (1.3.25-4+b6)
Command line tool for compiling Arduino sketches
arduino-mighty-1284p (1-5)
Platform files for Arduino to run on ATmega1284P
arduino-mk (1.5.2-2.1)
Program your Arduino from the command line
atlc (4.6.1-6)
Arbitrary Transmission Line Calculator
atlc-examples (4.6.1-6)
Examples for Arbitrary Transmission Line Calculator
avarice (2.14+svn427-1+b1)
use GDB with Atmel AVR debuggers
avra (1.4.2+dfsg-1)
assembler for Atmel AVR microcontrollers
avrdude (7.1+dfsg-3+b2)
software for programming Atmel AVR microcontrollers
avrp (1.0beta3-7.1)
Programmer for Atmel AVR microcontrollers
bcnc (0.9.14.318+ds-4)
GRBL CNC command sender, autoleveler and g-code editor
bossa (1.9.1-3+b2)
Atmel SAM ARM microcontroller flash programming GUI
bossa-cli (1.9.1-3+b2)
Atmel SAM ARM microcontroller flash programming utility
bottlerocket (0.05b3-18+b1)
Utility to control X10 Firecracker devices for home automation
camv-rnd (1.1.4-1)
Standard installation of camv-rnd
camv-rnd-core (1.1.4-1)
camv-rnd executable with the core functionality and boxsym-rnd
camv-rnd-doc (1.1.4-1)
Documentation for camv-rnd
camv-rnd-export-extra (1.1.4-1)
Export formats: special/extra
camv-rnd-export-gd (1.1.4-1)
Export formats that require libgd.
camv-rnd-export-vector (1.1.4-1)
Export formats: vector graphics
camv-rnd-import (1.1.4-1)
Standard import file format support (PCB).
camv-rnd-lib-gui (1.1.4-1)
Support library for building the GUI.
caneda (0.3.1+gitg08e22168-1+b1)
Electronic Design Automation software focused on easy of use and portability
canmatrix-utils (1.0~github-2)
Handle CAN (Controller Area Network) descriptions - cmdline utilities
circuit-macros (10.1.4-1)
Macros for drawing electric circuits
ckb-next (0.6.0+dfsg-0.2+b1)
driver for Corsair keyboards and mice
covered (0.7.10-4)
Verilog code coverage analysis tool
cycfx2prog (0.47-1.2)
Cypress EZ-USB FX2 (LP) programmer
dfcgen-gtk (0.6-2+b1 [armel, armhf], 0.6-2 [amd64, arm64, i386, mips64el, ppc64el, s390x])
Digital Filter Coefficients Generator (DFCGen) GTK+
dfu-programmer (0.6.1-1+b1)
device firmware update (DFU) based USB programmer for Atmel chips
dfu-util (0.11-1+b1)
Device firmware update (DFU) USB programmer
digitemp (3.7.2-2)
read temperature sensors in a 1-Wire net
dpic (2023.06.01-1)
pic-language converter to LaTeX-compatible and other formats
drawtiming (0.7.1-10+b1)
tool for documenting hardware designs through timing diagrams
electric (9.07+dfsg-7)
electrical CAD system
emu8051 (2.0.1-3+b1)
Emulator and simulator for 8051 microcontrollers
esptool (4.7.0+dfsg-0.1)
create and flash firmware files to ESP8266 and ESP32 chips
flashrom (1.3.0-2.1+b1)
Identify, read, write, erase, and verify BIOS/ROM/flash chips
fpga-icestorm (0~20230218gitd20a5e9-1)
Tools to handle the bitstream format of Lattice iCE40 FPGAs
fpga-icestorm-chipdb (0~20230218gitd20a5e9-1)
Chip database files for fpga-icestorm
fpga-trellis (1.4-2+b1)
Tools for interacting with Lattice ECP5 FPGA bitstreams
fpga-trellis-database (1.4-2)
Lattice ECP5 FPGA bitstream database
fritzing (1.0.1-1+b2 [armel, armhf], 1.0.1-1+b1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
Easy-to-use electronic design software
fritzing-data (1.0.1-1)
Easy-to-use electronic design software (data files)
fritzing-parts (0.9.6~unreleased-1)
Easy-to-use electronic design software (parts files)
gerbv (2.10.0-1+b1 [armel, armhf], 2.10.0-1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
Gerber file viewer (only RS 274 X format)
gnucap (1:0.36~20171003-1.1+b2)
GNU Circuit Analysis package, main executable
gnucap-common (1:0.36~20171003-1.1)
GNU Circuit Analysis package, development headers
gnucap-default-plugins0 (1:0.36~20171003-1.1+b2)
GNU Circuit Analysis package, default plugins
gnusim8085 (1.4.1-1+b2 [armel, armhf], 1.4.1-1+b1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
Graphical Intel 8085 simulator, assembler and debugger
gpsim (0.32.1-2+b1 [amd64, arm64, i386, mips64el, ppc64el, s390x], 0.32.1-2 [armel, armhf])
Simulator for Microchip's PIC microcontrollers
gputils (1.4.0-0.2)
GNU PIC utilities
gputils-common (1.4.0-0.2)
headers and linker scripts for gputils
horizon-eda (2.5.0-1+b1 [amd64, armel, armhf], 2.5.0-1 [arm64, i386, mips64el, ppc64el, s390x])
EDA layout and schematic application
imx-code-signing-tool (3.4.0+dfsg-2+b1 [amd64, arm64, i386, mips64el, ppc64el, s390x], 3.4.0+dfsg-2 [armel, armhf])
code signing tool for i.MX platform
imx-usb-loader (0~git20200517.30b43d69-2)
imx_loader - i.MX/Vybrid recovery utility
irsim (9.7.104-1)
Switch-level simulator
iverilog (12.0-2+b1)
Icarus verilog compiler
kicad (7.0.11+dfsg-1)
Electronic schematic and PCB design software
kicad-demos (7.0.11+dfsg-1)
Demo projects for kicad
kicad-doc-de (7.0.11+dfsg-1)
Kicad help files (German)
kicad-doc-en (7.0.11+dfsg-1)
Kicad help files (English)
kicad-doc-es (7.0.11+dfsg-1)
Kicad help files (Spanish)
kicad-doc-fr (7.0.11+dfsg-1)
Kicad help files (French)
kicad-doc-ja (7.0.11+dfsg-1)
Kicad help files (Japanese)
kicad-footprints (8.0.1-1)
Footprint symbols for KiCad's Pcbnew
kicad-packages3d (8.0.1-1)
3D models for 3D viewer in KiCad's Pcbnew and Footprint Editor
kicad-symbols (8.0.1-1)
Schematic symbols for KiCad's Eeschema
kicad-templates (8.0.1-1)
Project templates for KiCad
klayout (0.28.15-1+b2 [armel, armhf], 0.28.15-1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
High Performance Layout Viewer and Editor
langford-utils (0.0.20130228-6.3)
Control programs for the Per Vices Noctar IQ demodulator board
lepton-eda (1.9.18-2+b1 [armel, armhf], 1.9.18-2 [amd64, arm64, i386, mips64el, ppc64el])
Lepton Electronic Design Automation
libnxt (0.4.2-1+b2)
utility library for talking to the LEGO Mindstorms NXT brick
librnd4 (4.1.1-1)
Ringdove 2D CAD library framework
librnd4-cloud (4.1.1-1)
Networking plugins for accessing remote resources.
librnd4-dev (4.1.1-1)
Ringdove 2D cad library, files for development.
librnd4-doc (4.1.1-1)
Documentation for librnd.
librnd4-hid-gtk4-gl (4.1.1-1)
GUI: gtk4, opengl
librnd4-hid-lesstif (4.1.1-1)
GUI: motif/lesstif, software render
librnd4-lib-gl (4.1.1-1)
Support library for rendering with opengl.
librnd4-lib-gui (4.1.1-1)
Support library for building the GUI.
librnd4-pixmap (4.1.1-1)
Import and export pixmap images.
listserialportsc (1.4.0+repack-1)
list serial ports
lpctools (1.07-2)
interface to NXP LPC Microcontrollers ISP serial interface
m16c-flash (0.1-1.3)
Flash programmer for Renesas M16C and R8C microcontrollers
magic (8.3.105+ds.1-1.1)
VLSI layout tool
mcu8051ide (1.4.9-2)
Graphical Integrated Development Environment for 8051
mspdebug (0.22-2+b5)
debugging tool for MSP430 microcontrollers
nanovna-saver (0.6.3-1)
view and export Touchstone data from a NanoVNA radio network tester device
nbc (1.2.1.r4+dfsg-11+b2)
C compiler for LEGO Mindstorms NXT bricks
netgen-lvs (1.5.133-1.2)
Netlist comparison - Layout vs Schematic (LVS)
nextpnr-ecp5 (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Lattice ECP5
nextpnr-ecp5-chipdb (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Lattice ECP5 -- chipdb files
nextpnr-ecp5-qt (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Lattice ECP5 - with GUI
nextpnr-generic (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Generic FPGAs
nextpnr-gowin (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Gowin GW1N
nextpnr-gowin-chipdb (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Gowin GW1N -- chipdb files
nextpnr-gowin-qt (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Gowin GW1N - with GUI
nextpnr-ice40 (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Lattice iCE40
nextpnr-ice40-chipdb (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Lattice iCE40 -- chipdb files
nextpnr-ice40-qt (0.6-3+b2 [amd64], 0.6-3+b1 [arm64, i386, mips64el, ppc64el, s390x])
FPGA place and route tool for Lattice iCE40 - with GUI
ngspice (42+ds-2)
Spice circuit simulator
nitpic (0.1-19+b1 [amd64, arm64, i386, mips64el, ppc64el, s390x], 0.1-19 [armel, armhf])
simulator for the Microchip PIC16C84 microcontroller
nxt-firmware (1.29.2-1)
Improved firmware for LEGO Mindstorms NXT bricks
openfpgaloader (0.12.0-1)
Universal utility for programming FPGAs
ow-shell (3.2p4+dfsg1-4.2+b2)
shell utilities to talk to an 1-Wire owserver
ow-tools (3.2p4+dfsg1-4.2)
tools to monitor or inspect a ow-server link
owfs (3.2p4+dfsg1-4.2)
Dallas 1-wire support
owfs-common (3.2p4+dfsg1-4.2)
common files used by any of the OWFS programs
owfs-fuse (3.2p4+dfsg1-4.2+b2)
1-Wire filesystem
owftpd (3.2p4+dfsg1-4.2+b2)
FTP daemon providing access to 1-Wire networks
owhttpd (3.2p4+dfsg1-4.2+b2)
HTTP daemon providing access to 1-Wire networks
owserver (3.2p4+dfsg1-4.2+b2)
Backend server for 1-Wire control
pcb-rnd (3.1.3-1)
Standard installation of pcb-rnd
pcb-rnd-auto (3.1.3-1)
Autoroute and autoplace.
pcb-rnd-cloud (3.1.3-1)
Networking plugins.
pcb-rnd-core (3.1.3-1)
pcb-rnd executable with the core functionality
pcb-rnd-debug (3.1.3-1)
Debug and diagnostics.
pcb-rnd-doc (3.1.3-1)
Documentation for pcb-rnd
pcb-rnd-export (3.1.3-1)
Common export plugins.
pcb-rnd-export-extra (3.1.3-1)
Export formats: special/extra
pcb-rnd-export-sim (3.1.3-1)
Export plugins to simulators
pcb-rnd-extra (3.1.3-1)
Extra action commands and optional functionality.
pcb-rnd-import-geo (3.1.3-1)
Geometry import.
pcb-rnd-import-net (3.1.3-1)
Netlist/schematics import plugins.
pcb-rnd-io-alien (3.1.3-1)
File format compatibility with other PCB layout designers.
pcb-rnd-io-standard (3.1.3-1)
Commonly used non-native board and footprint file formats
pcb-rnd-lib-gui (3.1.3-1)
Support library for building the GUI.
pcb-rnd-lib-io (3.1.3-1)
Support library for alien file formats.
pd-pduino (0.8-4)
interfacing with the Arduino from within Pure Data (Pd)
pd-xbee (0.1~svn17672-5)
interfacing with your XBee from within Pure Data (Pd)
pulseview (0.4.2-3+b2)
sigrok logic analyzer, oscilloscope, and MSO GUI
pycirkuit (0.5.1-3)
front-end for "Circuit Macros" and the PIC language
python3-apycula (0.10.0+dfsg1-1)
Tools to generate Gowin FPGA bitstreams
python3-pytrellis (1.4-2+b1)
Library for interacting with Lattice ECP5 FPGA bitstreams
qelectrotech (1:0.9-2)
Electric schematic editor
qelectrotech-data (1:0.9-2)
symbols needed for qelectrotech
qelectrotech-examples (1:0.9-2)
examples files for qelectrotech
qflipper (1.3.3-1+b1 [armel, armhf], 1.3.3-1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
Flipper Zero firmware updater
rfdump (1.6-9.1+b1 [armel, armhf], 1.6-9.1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
tool to decode RFID tag data
ringdove (2)
Electronics Design Automation (EDA) tool suite
route-rnd (0.9.2-1+b2 [amd64], 0.9.2-1 [arm64, armel, armhf, i386, mips64el, ppc64el, s390x])
external autorouter for pcb-rnd
s51dude (0.3.1-1.1+b1)
In-System Programmer for 8051 MCUs using usbtiny
scantool (2.1-2.1)
OBD-II vehicle diagnostic scanner
sch-rnd (1.0.4-2)
Standard installation of sch-rnd
sch-rnd-core (1.0.4-2)
sch-rnd executable with the core functionality and boxsym-rnd
sch-rnd-debug (1.0.4-2)
Debug and diagnostics.
sch-rnd-doc (1.0.4-2)
Documentation for sch-rnd
sch-rnd-export-extra (1.0.4-2)
Export formats: special/extra
sch-rnd-export-gd (1.0.4-2)
Export formats that require libgd.
sch-rnd-export-vector (1.0.4-2)
Export formats: vector graphics
sch-rnd-io-alien (1.0.4-2)
File format compatibility with other schematics capture tools.
sch-rnd-lib-gui (1.0.4-2)
Support library for building the GUI.
sch-rnd-sim (1.0.4-2)
High level circuit simulation
sdcc (4.4.0+dfsg-2)
Small Device C Compiler
sdcc-libraries (4.4.0+dfsg-2)
Small Device C Compiler (libraries)
sdcc-ucsim (4.4.0+dfsg-2)
Micro-controller simulator for SDCC
sigrok (0.3)
Logic analyzer and protocol decoder software suite (metapackage)
sigrok-cli (0.7.2-1+b1)
command-line frontend for the sigrok software
sigrok-firmware-fx2lafw (0.1.7-3)
Firmware for Cypress FX2(LP) based logic analyzers
simavr (1.6+dfsg-3+b2 [amd64, arm64, i386, mips64el, ppc64el, s390x], 1.6+dfsg-3+b1 [armel, armhf])
lean and mean AVR simulator
simulavr (1.0.0+git20160221.e53413b-3)
Atmel AVR simulator
simulide (1.1.0.1912+dfsg-4)
simple real time electronic circuit simulator
simulpic (1:2005-1-28-10)
simulator for Microchip PIC16F84 microcontroller
spectools (201601r1-4)
Utilities for using the Wi-Spy USB spectrum analyzer hardware
srcpd (2.1.6-2)
SRCP server daemon to control digital model railroads
stlink-gui (1.8.0-1+b1 [armel, armhf], 1.8.0-1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
OpenSource ST-Link tools replacement.
stlink-tools (1.8.0-1+b1 [armel, armhf], 1.8.0-1 [amd64, arm64, i386, mips64el, ppc64el, s390x])
OpenSource ST-Link tools replacement.
stm32flash (0.7-1)
STM32 chip flashing utility using a serial bootloader
t2n (0.7-1)
Simple command-line tool for LEGO Mindstorms NXT
tkgate (2.1+repack-6+b1)
Tcl/Tk based digital circuit editor and simulator
tkgate-data (2.1+repack-6)
Tcl/Tk based digital circuit editor and simulator - data files
uhubctl (2.5.0-1)
USB hub per-port power control
uisp (20050207-4.5+b1)
Micro In-System Programmer for Atmel's AVR MCUs
usbrelay (1.0-2.1+b3)
USB HID relay driver
verilator (5.020-1)
fast free Verilog simulator
veroroute (2.38-1)
Veroboard, Perfboard, and PCB layout and routing application
veroroute-data (2.38-1)
Veroboard, Perfboard, and PCB layout and routing application (data package)
vish (0.0.20130812-1+b1 [amd64, mips64el, ppc64el], 0.0.20130812-1 [arm64, armel, armhf, i386, s390x])
Commandline interface for Virtual Instrument Software Architecture
visolate (2.1.6~svn8+dfsg1-1.1)
tool for engraving PCBs using CNCs
w1retap (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors
w1retap-mongo (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (MongoDB plugin)
w1retap-mysql (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (MySQL plugin)
w1retap-odbc (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (ODBC plugin)
w1retap-pgsql (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (PostgreSQL plugin)
w1retap-sqlite (1.4.6-1.1+b4)
Data logger for 1-Wire weather sensors (SQLite plugin)
wiredpanda (4.1.12-1)
logic circuits simulator
xc3sprog (0+svn795+dfsg-4)
JTAG flashing tool for FPGAs, CPLDs and EEPROMs
xschem (3.4.4-1)
schematic capture program
yosys (0.33-5+b2 [amd64, arm64, i386, mips64el, ppc64el], 0.33-5 [armel, armhf])
Framework for Verilog RTL synthesis
yosys-abc (0.33-5+b2 [amd64, arm64, i386, mips64el, ppc64el], 0.33-5 [armel, armhf])
Sequential Logic Synthesis and Verification Algorithms
yosys-dev (0.33-5+b2 [amd64, arm64, i386, mips64el, ppc64el], 0.33-5 [armel, armhf])
Framework for Verilog RTL synthesis (development files)